diff --git a/library/memory.lib b/library/memory.lib index 21c3b26d..87f13e2d 100644 --- a/library/memory.lib +++ b/library/memory.lib @@ -83,9 +83,9 @@ ENDDEF # # 24LC16 # -DEF ~24LC16 U 0 30 Y Y 1 F N +DEF 24LC16 U 0 30 Y Y 1 F N F0 "U" -250 250 50 H V C CNN -F1 "~24LC16" 200 250 50 H I C CNN +F1 "24LC16" 200 250 50 H I C CNN F2 "SOIC-8_3.9x4.9mm_Pitch1.27mm" 50 -250 50 H I L CNN F3 "" 0 -100 50 H I C CNN ALIAS 24LC00 24LC01 24LC02 24LC04 24LC08 24LC32 24LC64 24LC128 24LC256 24LC512 24LC1025