From 5c7d5498bb6b2a5285276a24a509138fb9f8488d Mon Sep 17 00:00:00 2001 From: wiebus Date: Mon, 16 Sep 2013 23:01:11 +0200 Subject: [PATCH] Some Building Blocks added --- ...uildingBlock-ModA_RevC_19Apr2011-cache.bak | 213 + ...uildingBlock-ModA_RevC_19Apr2011-cache.lib | 213 + ...ator-BuildingBlock-ModA_RevC_19Apr2011.bak | 206 + ...ator-BuildingBlock-ModA_RevC_19Apr2011.pdf | Bin 0 -> 36989 bytes ...ator-BuildingBlock-ModA_RevC_19Apr2011.pro | 35 + ...ator-BuildingBlock-ModA_RevC_19Apr2011.sch | 206 + ...ator-BuildingBlock-ModA_RevC_19Apr2011.svg | 8966 +++++++++++ ...uildingBlock-ModB_RevC_19Apr2011-cache.lib | 229 + ...ator-BuildingBlock-ModB_RevC_19Apr2011.pdf | Bin 0 -> 38076 bytes ...ator-BuildingBlock-ModB_RevC_19Apr2011.pro | 35 + ...ator-BuildingBlock-ModB_RevC_19Apr2011.sch | 213 + ...ator-BuildingBlock-ModB_RevC_19Apr2011.svg | 9227 +++++++++++ ...uildingBlock-ModC_RevC_19Apr2011-cache.bak | 213 + ...uildingBlock-ModC_RevC_19Apr2011-cache.lib | 227 + ...ator-BuildingBlock-ModC_RevC_19Apr2011.bak | 217 + ...ator-BuildingBlock-ModC_RevC_19Apr2011.pdf | Bin 0 -> 38598 bytes ...ator-BuildingBlock-ModC_RevC_19Apr2011.pro | 35 + ...ator-BuildingBlock-ModC_RevC_19Apr2011.sch | 243 + ...ator-BuildingBlock-ModC_RevC_19Apr2011.svg | 9335 ++++++++++++ ...ngBlock-ModD_RevB_RevC_19Apr2011-cache.lib | 158 + ...uildingBlock-ModD_RevC_19Apr2011-cache.bak | 217 + ...uildingBlock-ModD_RevC_19Apr2011-cache.lib | 217 + ...ator-BuildingBlock-ModD_RevC_19Apr2011.bak | 225 + ...ator-BuildingBlock-ModD_RevC_19Apr2011.pdf | Bin 0 -> 39240 bytes ...ator-BuildingBlock-ModD_RevC_19Apr2011.pro | 35 + ...ator-BuildingBlock-ModD_RevC_19Apr2011.sch | 224 + ...ator-BuildingBlock-ModD_RevC_19Apr2011.svg | 9556 ++++++++++++ ...uildingBlock-ModE_RevC_19Apr2011-cache.bak | 213 + ...uildingBlock-ModE_RevC_19Apr2011-cache.lib | 213 + ...gler-BuildingBlock-ModE_RevC_19Apr2011.bak | 299 + ...gler-BuildingBlock-ModE_RevC_19Apr2011.pdf | Bin 0 -> 45220 bytes ...gler-BuildingBlock-ModE_RevC_19Apr2011.pro | 35 + ...gler-BuildingBlock-ModE_RevC_19Apr2011.sch | 298 + ...gler-BuildingBlock-ModE_RevC_19Apr2011.svg | 11117 ++++++++++++++ ...uildingBlock-ModF_RevC_19Apr2011-cache.bak | 213 + ...uildingBlock-ModF_RevC_19Apr2011-cache.lib | 213 + ...gler-BuildingBlock-ModF_RevC_19Apr2011.bak | 334 + ...gler-BuildingBlock-ModF_RevC_19Apr2011.pdf | Bin 0 -> 50736 bytes ...gler-BuildingBlock-ModF_RevC_19Apr2011.pro | 35 + ...gler-BuildingBlock-ModF_RevC_19Apr2011.sch | 334 + ...gler-BuildingBlock-ModF_RevC_19Apr2011.svg | 12643 ++++++++++++++++ ...uildingBlock-ModG_RevC_19Apr2011-cache.bak | 229 + ...uildingBlock-ModG_RevC_19Apr2011-cache.lib | 229 + ...gler-BuildingBlock-ModG_RevC_19Apr2011.bak | 260 + ...gler-BuildingBlock-ModG_RevC_19Apr2011.pdf | Bin 0 -> 43132 bytes ...gler-BuildingBlock-ModG_RevC_19Apr2011.pro | 35 + ...gler-BuildingBlock-ModG_RevC_19Apr2011.sch | 260 + ...gler-BuildingBlock-ModG_RevC_19Apr2011.svg | 10618 +++++++++++++ ...uildingBlock-ModH_RevC_19Apr2011-cache.bak | 213 + ...uildingBlock-ModH_RevC_19Apr2011-cache.lib | 213 + ...gler-BuildingBlock-ModH_RevC_19Apr2011.bak | 256 + ...gler-BuildingBlock-ModH_RevC_19Apr2011.pdf | Bin 0 -> 42363 bytes ...gler-BuildingBlock-ModH_RevC_19Apr2011.pro | 35 + ...gler-BuildingBlock-ModH_RevC_19Apr2011.sch | 256 + ...gler-BuildingBlock-ModH_RevC_19Apr2011.svg | 10373 +++++++++++++ ...ustable-BuildingBlock_RevB_19Apr2011-1.svg | 8719 +++++++++++ ...ble-BuildingBlock_RevB_19Apr2011-cache.bak | 200 + ...ble-BuildingBlock_RevB_19Apr2011-cache.lib | 200 + ...djustable-BuildingBlock_RevB_19Apr2011.bak | 227 + ...djustable-BuildingBlock_RevB_19Apr2011.pdf | Bin 0 -> 35200 bytes ...djustable-BuildingBlock_RevB_19Apr2011.pro | 39 + ...djustable-BuildingBlock_RevB_19Apr2011.sch | 227 + ...ard-BuildingBlock_RevB_19Apr2011-cache.bak | 113 + ...ard-BuildingBlock_RevB_19Apr2011-cache.lib | 184 + ...-Standard-BuildingBlock_RevB_19Apr2011.bak | 226 + ...-Standard-BuildingBlock_RevB_19Apr2011.pdf | Bin 0 -> 34493 bytes ...-Standard-BuildingBlock_RevB_19Apr2011.pro | 39 + ...-Standard-BuildingBlock_RevB_19Apr2011.sch | 227 + ...-Standard-BuildingBlock_RevB_19Apr2011.svg | 8472 +++++++++++ ...tor-BuildingBlock_RevB_19Apr2011-cache.bak | 184 + ...tor-BuildingBlock_RevB_19Apr2011-cache.lib | 184 + ...Regulator-BuildingBlock_RevB_19Apr2011.bak | 306 + ...Regulator-BuildingBlock_RevB_19Apr2011.pdf | Bin 0 -> 44778 bytes ...Regulator-BuildingBlock_RevB_19Apr2011.png | Bin 0 -> 52713 bytes ...Regulator-BuildingBlock_RevB_19Apr2011.pro | 35 + ...Regulator-BuildingBlock_RevB_19Apr2011.sch | 306 + ...Regulator-BuildingBlock_RevB_19Apr2011.svg | 11020 ++++++++++++++ ...x-Regler-BuildingBlock_ModA_RevA-cache.bak | 201 + ...x-Regler-BuildingBlock_ModA_RevA-cache.lib | 201 + .../78xx-Regler-BuildingBlock_ModA_RevA.bak | 153 + .../78xx-Regler-BuildingBlock_ModA_RevA.pdf | Bin 0 -> 28396 bytes .../78xx-Regler-BuildingBlock_ModA_RevA.pro | 39 + .../78xx-Regler-BuildingBlock_ModA_RevA.sch | 153 + .../78xx-Regler-BuildingBlock_ModA_RevA.svg | 6893 +++++++++ ...x-Regler-BuildingBlock_ModB_RevA-cache.bak | 181 + ...x-Regler-BuildingBlock_ModB_RevA-cache.lib | 181 + .../78xx-Regler-BuildingBlock_ModB_RevA.bak | 137 + .../78xx-Regler-BuildingBlock_ModB_RevA.pdf | Bin 0 -> 26981 bytes .../78xx-Regler-BuildingBlock_ModB_RevA.pro | 35 + .../78xx-Regler-BuildingBlock_ModB_RevA.sch | 137 + .../78xx-Regler-BuildingBlock_ModB_RevA.svg | 6513 ++++++++ ...x-Regler-BuildingBlock_ModA_RevA-cache.bak | 201 + ...x-Regler-BuildingBlock_ModA_RevA-cache.lib | 201 + .../79xx-Regler-BuildingBlock_ModA_RevA.bak | 152 + .../79xx-Regler-BuildingBlock_ModA_RevA.pdf | Bin 0 -> 28416 bytes .../79xx-Regler-BuildingBlock_ModA_RevA.pro | 35 + .../79xx-Regler-BuildingBlock_ModA_RevA.sch | 168 + .../79xx-Regler-BuildingBlock_ModA_RevA.svg | 6912 +++++++++ ...x-Regler-BuildingBlock_ModB_RevA-cache.lib | 181 + .../79xx-Regler-BuildingBlock_ModB_RevA.bak | 150 + .../79xx-Regler-BuildingBlock_ModB_RevA.pdf | Bin 0 -> 26721 bytes .../79xx-Regler-BuildingBlock_ModB_RevA.pro | 35 + .../79xx-Regler-BuildingBlock_ModB_RevA.sch | 150 + .../79xx-Regler-BuildingBlock_ModB_RevA.svg | 6490 ++++++++ .../$savepcb.000 | 229 + .../$savepcb.brd | 273 + .../12VRegulatorBuildingBlock.sch | 212 + .../5VRegulatorBuildingBlock.sch | 238 + .../VoltageDetectorBuildingBlock-cache.lib | 73 + .../VoltageDetectorBuildingBlock.pro | 39 + .../VoltageDetectorBuildingBlock.sch | 368 + .../VoltageRegulatorBuildingBlock-cache.lib | 150 + .../VoltageRegulatorBuildingBlock.pro | 39 + .../VoltageRegulatorBuildingBlock.sch | 212 + ...eDetector24V-2Group_Experimental-cache.lib | 397 + ...VoltageDetector24V-2Group_Experimental.000 | 165 + ...VoltageDetector24V-2Group_Experimental.brd | 181 + ...VoltageDetector24V-2Group_Experimental.lib | 397 + ...VoltageDetector24V-2Group_Experimental.pro | 78 + ...VoltageDetector24V-2Group_Experimental.sch | 674 + .../UnderVoltageDetectorBuildingBlock.sch | 174 + .../VoltageDetectorBuildingBlock.sch | 368 + ...alogUeberKiCadBuildingBlocks_21Apr2013.pdf | Bin 0 -> 568750 bytes ...hcalSchematicsAndBuildingBlocksRevA-EN.pdf | Bin 0 -> 174459 bytes ...ischeSchaltplaeneUndBuildingBlocks3-DE.pdf | Bin 0 -> 118285 bytes 125 files changed, 154043 insertions(+) create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011-cache.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011-cache.lib create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.pdf create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.pro create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.sch create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.svg create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011-cache.lib create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.pdf create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.pro create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.sch create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.svg create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache.lib create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.pdf create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.pro create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.sch create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.svg create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevB_RevC_19Apr2011-cache.lib create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011-cache.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011-cache.lib create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.pdf create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.pro create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.sch create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.svg create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011-cache.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011-cache.lib create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011.pdf create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011.pro create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011.sch create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011.svg create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011-cache.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011-cache.lib create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011.pdf create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011.pro create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011.sch create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011.svg create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011-cache.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011-cache.lib create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.pdf create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.pro create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.sch create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.svg create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011-cache.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011-cache.lib create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.bak create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.pdf create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.pro create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.sch create mode 100644 library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.svg create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-1.svg create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-cache.bak create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-cache.lib create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011.bak create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011.pdf create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011.pro create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011.sch create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Standard-BuildingBlock_RevB/555-Oscillator-Standard-BuildingBlock_RevB_19Apr2011-cache.bak create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Standard-BuildingBlock_RevB/555-Oscillator-Standard-BuildingBlock_RevB_19Apr2011-cache.lib create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Standard-BuildingBlock_RevB/555-Oscillator-Standard-BuildingBlock_RevB_19Apr2011.bak create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Standard-BuildingBlock_RevB/555-Oscillator-Standard-BuildingBlock_RevB_19Apr2011.pdf create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Standard-BuildingBlock_RevB/555-Oscillator-Standard-BuildingBlock_RevB_19Apr2011.pro create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Standard-BuildingBlock_RevB/555-Oscillator-Standard-BuildingBlock_RevB_19Apr2011.sch create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Standard-BuildingBlock_RevB/555-Oscillator-Standard-BuildingBlock_RevB_19Apr2011.svg create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011-cache.bak create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011-cache.lib create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.bak create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.pdf create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.png create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.pro create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.sch create mode 100644 library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.svg create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA-cache.bak create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA-cache.lib create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA.bak create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA.pdf create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA.pro create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA.sch create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA.svg create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA-cache.bak create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA-cache.lib create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.bak create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.pdf create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.pro create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.sch create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.svg create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA-cache.bak create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA-cache.lib create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.bak create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.pdf create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.pro create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.sch create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.svg create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA-cache.lib create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA.bak create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA.pdf create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA.pro create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA.sch create mode 100644 library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA.svg create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/$savepcb.000 create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/$savepcb.brd create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/12VRegulatorBuildingBlock.sch create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/5VRegulatorBuildingBlock.sch create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageDetectorBuildingBlock-cache.lib create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageDetectorBuildingBlock.pro create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageDetectorBuildingBlock.sch create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageRegulatorBuildingBlock-cache.lib create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageRegulatorBuildingBlock.pro create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageRegulatorBuildingBlock.sch create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental-cache.lib create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.000 create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.brd create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.lib create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.pro create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.sch create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetectorBuildingBlock.sch create mode 100644 library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/VoltageDetectorBuildingBlock.sch create mode 100644 library/Buildingblocks/KatalogUeberKiCadBuildingBlocks_21Apr2013.pdf create mode 100644 library/Buildingblocks/KiCAD-HierarchcalSchematicsAndBuildingBlocksRevA-EN.pdf create mode 100644 library/Buildingblocks/KiCAD-HierarchischeSchaltplaeneUndBuildingBlocks3-DE.pdf diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011-cache.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011-cache.bak new file mode 100644 index 00000000..ede78dae --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011-cache.bak @@ -0,0 +1,213 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 15:54:23 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011-cache.lib b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011-cache.lib new file mode 100644 index 00000000..13886a96 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011-cache.lib @@ -0,0 +1,213 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 15:54:26 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.bak new file mode 100644 index 00000000..d3f58fe5 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.bak @@ -0,0 +1,206 @@ +EESchema Schematic File Version 2 date 19.04.2011 15:54:23 +LIBS:317Regulator-BuildingBlock-ModA_RevC_04072010-cache +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD9407 +P 4800 6700 +F 0 "Sym?" H 4826 6496 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4676 6934 60 0001 C CNN + 1 4800 6700 + 1 0 0 -1 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC1 +U 1 1 4C308F6A +P 5550 2050 +F 0 "IC1" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R1 +U 1 1 4C308DEB +P 6350 3450 +F 0 "R1" H 6350 3550 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6350 3350 30 0000 C CNN + 1 6350 3450 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C308D91 +P 3650 3000 +F 0 "C1" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C308D51 +P 5550 3450 +F 0 "C3" H 5550 3600 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 5550 3300 30 0000 C CNN + 1 5550 3450 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C308D40 +P 4400 3000 +F 0 "C2" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN + 1 4400 3000 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C5 +U 1 1 4C308AD6 +P 9050 3000 +F 0 "C5" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C4 +U 1 1 4C308ABB +P 8550 3050 +F 0 "C4" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN + 1 8550 3050 + 0 -1 -1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C308A99 +P 7200 2500 +F 0 "R2" H 7200 2600 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7200 2400 30 0000 C CNN + 1 7200 2500 + 0 -1 -1 0 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C308A76 +P 5500 1400 +F 0 "HS1" H 5500 1600 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1250 30 0000 C CNN + 1 5500 1400 + -1 0 0 -1 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D2 +U 1 1 4C308A33 +P 6650 2450 +F 0 "D2" H 6650 2600 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6650 2300 30 0000 C CNN + 1 6650 2450 + 0 -1 -1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4C308A22 +P 5450 850 +F 0 "D1" H 5450 1000 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5450 700 30 0000 C CNN + 1 5450 850 + -1 0 0 -1 +$EndComp +Text Notes 750 7600 0 60 Italic 12 +Author: Bernd Wiebus, Uedem /Germany, 19th April 2011 +Text Notes 7550 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.A Rev.C 19Apr2011 +Connection ~ 6350 4450 +Wire Wire Line + 6350 3700 6350 4450 +Connection ~ 5550 2900 +Wire Wire Line + 5550 3250 5550 2500 +Connection ~ 6350 2900 +Wire Wire Line + 6350 3200 6350 2900 +Connection ~ 7200 2050 +Wire Wire Line + 7200 2250 7200 2050 +Connection ~ 6400 1450 +Wire Wire Line + 6050 1450 6400 1450 +Wire Wire Line + 6400 2050 6400 850 +Wire Wire Line + 6400 850 5650 850 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 8550 2850 8550 2050 +Wire Wire Line + 9050 3200 9050 4450 +Connection ~ 4700 2050 +Connection ~ 3650 4450 +Connection ~ 4400 2050 +Connection ~ 8550 4450 +Connection ~ 8550 2050 +Wire Wire Line + 4950 2050 1800 2050 +Wire Wire Line + 1750 4450 9750 4450 +Wire Wire Line + 9700 2050 6150 2050 +Connection ~ 9050 2050 +Connection ~ 9050 4450 +Connection ~ 3650 2050 +Connection ~ 4400 4450 +Connection ~ 6400 2050 +Wire Wire Line + 9050 2800 9050 2050 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 5250 850 4700 850 +Wire Wire Line + 4700 850 4700 2050 +Wire Wire Line + 6650 2250 6650 2050 +Connection ~ 6650 2050 +Wire Wire Line + 7200 2750 7200 2900 +Wire Wire Line + 7200 2900 5550 2900 +Wire Wire Line + 6650 2650 6650 2900 +Connection ~ 6650 2900 +Wire Wire Line + 5550 3650 5550 4450 +Connection ~ 5550 4450 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.pdf b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.pdf new file mode 100644 index 0000000000000000000000000000000000000000..4ede5f2dc58aab4da76d062db3a8f5ab0f231009 GIT binary patch literal 36989 zcmV)VK(D_gP((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58`QQKZ`rrQdkKuFp_`hE5EnN`gnyzj&!2ny zi2vWV_Bl=S$1*sh7ct~XX zoQACu31B)t$MvLPMEADa?{#enw0-}WPdT4_e|@}I@9;Ubr}ZYk%esCph5uz8KifL? zNnlx*&o)&N0O^uJB?Dyq+@~UiWt~3fsfxjn)w}Ga%BRm`cv$(|rq4DvX##)_O_qNE|R43<%+Q>2;>Iq>$P4v4%O;SA8E#ofv*zaMk0%CVVLIhrrQ7sf4Ct2}l zz|!U$5(@EBsrj=FRZdb_73)yqb{wD6A~nk29a_}J!!1~-#Ytg0nO`QVNt?_J`!GL^ zode@JN@f8sL)tNYPzem!%)AWF^%sfGqqVO5K7}~Wps|HTYA!Ppo!ml{dZ9?xvT-V! z<-F|kTFNAIr21T>&^`X_P(4(eiX@>@vmjMdh;iQwdqOBzX$_=g$g)()8gg8L_PK2r z*_vhzYZa~`)3#lzhxS3dLMY!-jGTh>1M#fO`Z<(YFn@O_?XvCX1nx>{1NQraK!=rC zw(YYuH*bTh{<5u~YiQ{@*kCuR`&fYI%t3wlvqOErjP>czDtleF0sL9rX2isx>4pzo zFi7A5*==4vZRSdQv7Ozvj+$odcUupQeSARc@G|7siUrx`7UNdH5Gchx2{7ygem`?4Lb1m2 zq_w$6VY7E5@RZ27?q`{BhrUhs=IQ*seoniIat;pwA3P$uk7w_h7f1GX``bG8F}M%M z=e8e(!+jim^mnmnWC{mH<&@lBnKZilu=H`6eR$ex_uSEk`29@$VT7lHG-9Y5Ft2mS ze_D12PQwKD0jUc*z9?e>IR=X``?#@}_a1u7>%mVm(@hSD| zgAFafek=~yG#t%{S{9$HOEXR}kK+|sw%V?9MY2RYKy^DOm{#kBP}#B$DMKICRnW(- z&N98(x3Tn=>CLw%(yPdQC(Z(1brb6wUK$!szC}}7PXPR(3?8*u^NLauM~4iT?WA(9j+f=^_}Tr;bGdxZBTHMt z$yl~IJctTd6PC96Kb-Ad&PEJNK+6*DcZ)U2i5%|X7&6<2Ogm+|kNDxp4K^<=)J9k|cKDggD!K9IMx}`SfW> zbSB;O6EWVr>h#kI88Rm0QLM8y;aeEu8=v6G=uXF6fyE7#B=a(88<>7T3Qj;&YjE zYOQrcD6%2TusgE2o%8lAwgp3I@lkiE_a1R|4v=}Ia89oF(LG>^>j|0t*h?@9B8sQ zHECZjQqONBj3tIg6~>j$52YTpW_9BY#-la!HdcdEzJVwfpE~r-8=;?yJ!#J|`#_O7 zImYPo9;S{dY^I1zp?@^S_(GQVtY>enSl*XGZ46x+=f`!QQ&ycAPB*A6)!dk0G}f+M z%rBa1PreLVhozdE6EWwP+P9qE-#EnN5vy4H#7FOm%nf9=rA#>n%x;e;h&6VED=-}G zGEJHDw-vhJvDCcKpr_8@6FayNmEpp`X^7`)E+vSH*d|gLb0(Q>EtJJJDu1$PHJlE? zs&2C1VV0q+hWJ!Mg*F5uBQ41~%)VF@WXP~}PFtllVIB>biqaU>=?Y9SAITsXw6;2F z`A1{bB14Y3PFhB}U3$S=6TJz@kkEkVlh!(HvANGlYqgUk>&(SGu7{JB6+-WZfil9r zrBY;m>cTqR5ch4^3onCw|AuN}$gvkq(kDlHtA?Bf>d-W3p6mFv2HB}c>6|Twrhqk+ zmSvuBZW|F79X)|#TKgt_D-?5GaA{;l-=CEnP9P>WYWj(Uw znrzl-@;;?kWk8q-a5PP6`Plv`4(e#A4H(x}+kYZq9oZ@ThQpGCp|9SWa5$l`-kfxR zQ(x`8r1}X4xZ_GE&k(m_rO*&wav^+5W<2U>u_K;~;dtpIv5}+;tE2Cas5h-W`&8$k zo0K2+%&Bb4QU=h}!jJCi-le*`Mr4igukKx_Q}ojQ-RH$VU&suWx!%hTSC>f3?fFM!=2TCS8B-2x z$KepkMBTMDwlVdT$`a>Nr7=L3lvWoRHD(FN>qNG2pvyPMo`5@R{N#8=aquJ!;M#j&$K1i1<8mE0IXc$+j4!6AJf>maC1q0YvL|BH^^r z0urH-WGN0uQs#hV^%5DHD5HJj?3p-PoSK#1IT7DmP+jGl?4CCH5o+z88=;?yJ!(&j z!}wDg=7WB_t1_gWG2}5BOjp^9>{1%<6Nt88ATzU}MHCb*-O zZ5>0lR<=L3b;zB`j;(^t!o>zHTu-_d#5L80E5A`q=K|>%FH*kI`JvRq)fzwq11cM(3wu4;NC~HWv-F70~tkWSo4-R)615wZ_@c-^+=?iTGIBsF=p|F=c|06;@Loq28D3=33)GjX3 z!cuIySx_N7j9~RW2Y^%a+Xv+U(DtQ{y4%KYB#joEe^nY+IzN=^Y7Gwn(Hb4*ly4x4 zY)%=?H$p!Zd(@ueEI!cynVUUkXaG#oLo#j?I8^81W&zGs3Qk=g3v0&49>)uiE-H>bwxGo)Y^QjzjU7`r-Sa_NHb=|fT@`q$%v2Dn5aa7G`+t&4l#PJF} zUW3MQD;}>qcDX``)wU3B?R5Y24Vcd; zlgj*+&`-s>+7nFF_CP-Mo7fOgdx{LNgnlaa$ibS!(Up$Tm+N6`&2W!G1_)HukT?dw zdRv|)*4r=DzNDY=sP;WKbeijx)!uSiJ2Eu$37KsQKfEw;@`LSIy zK0b3upG@q;5&ikQ?ctK?i*1;z1IBOeWPU33&`Y!MQgHC?yWhLb(S1DSQM!0qGp=le z-!bMvb&DY0+?#jL)1{f4^ByG# zx@@d(VQ<&p;T@tO?$yOv7n@>N#as;!4+;yUg-+R#{FfYB_DHm5s)}bj-|lmiVsqZh z7G(?d*c{0KS))Ce^0f$geeBqIoYBcectF#V(KQr#`6Pt_*RKfULgt4;kJ_@tHc|2& zjd367p+ z9vUC(?m{<#@p;T>7Rh>phDY_B+C8PcN~!}-+0r=ilRKFo3O#B|p57IP(iEMDnar>} zUiIuzR|2{OX8{Pd?oYDcWCc~rtP~&&51Zo0hVB)X#sGnSu+*5F%cMJ&#bH!E;&2SD zV;K*sm@3(VguC~ub=&U#V@v3ZrjrG8M7~+Ph@9V06w?%Qc9F%6&`-s>+B0H%p6wa) zo`}AYG|(P=^G4{WVh>LO{Rl|o!>(*BRpFK?d>M_)>9@{+tnt*fWL2RW|@=D4N7pWesr!jl3!;j0zc|;~xwuMY0 zk~7W=b9@BiE}X{-g>+E)_le_rz4as7ZV-Kci z@d@}^y&%oAjZ{n2TEuDq-mE?J0+3LQ;{{C)k0PXta7RQxmC7ZqKS?9 z{t$4k8~yqmHu&5@ijAsvgj0JW=B<0>N7}{ZGd#K6Cb|G&U{I*5dFm6g9BNsxNEUKbagmI&4?MYXsMpHYd{Mi>*Th zu&OE|99QcQ_JzH>93C2^!D@$#*WTUJ0`>H6X-g{hSy9Y6lJI_4byg+CUiOG@DzXt0 z7b8^+mO-Q^p5n89Kvgl|C0JxhgkikKr!lR(6#ZL6h5rkZ4~pQ^U3 zyl2d;3l$K0($eozU2%Q0*pn0SZNB0_5u!n1G5TgCO^svqRJjv@M;9u$uCz-iw9+hr z@R|k~mA?ehWJlo+2`t;Z%6DXaQwamu`5}OT}jgH z$`h#;Ft54#5u%I?5P5jn=nHUn`B)iUJ~oIh9}&3BDy8KMX|qSKGAk|YT3_u`oZHhd z5$I9keMlQaDgbDh>?Z7ZS=OG2-`Oc*$3;zv{X~}Qz+(e@=F`3980Rn}(xL4rBLjRQ zVJWy-L%QtoeMwJ9YH=g63N;9 z1g^HUSgbQ?Z9vXnmKQqn<#I?I=|CICD6b*lSm> zSf-P?E+&pO;%qc~orp)bl|rkp)ry5A($T(k7~-xOxDm%n)d|2MUTa>WF;sT__X;!XLev{8bp~fZQD%3=}RK1W%V==F{HQH*im6z?Lb#B~qg0Yyf<1f~A zP5|*}uO9uUa{?F((pG0I*S$0~u>i|b&H5wOwK;AIf3j}3w3?8IWVV~{zs`9oprK_K z!nR)X;gKFOX3aEO`yze}$U`y_n_1e|)|_ZM4v$W;-t`SgVKc6lUXk?@?C>%uyb-!S zY$07ABIsZilAe$>{Tx&;^~VxD6kqy8d}BTgg>0zRZrzVTnTmkpUO+si_zyeuVGg|x{C>Zcni8C3-H zHHHegrH$RRH@rU$L|nIt$3{8#ZjEO$&*$Flx}R)h<~W{^ShwYNQ2vPU>VcOseFSnd zr;|v;S{Z_Ko3Q1Um-46+o$dNxjQh(V9A;+++hM^vUOE8?eJz$M`VHC|1gKsEWxbup ziVKu_!up=k?CKaI9Ww>X;NZ)Uwq5-FeaN6H&W8JV^$uT#w7qrKJ*9CP@{Jxt;zW?6 zdY^BhYO7_dT(3(e+xd4Bt71zoQ8=nkWV#G$0I-8E6%yxDp2V@MP^w4M_snO=P3Lvr zFUQbdj=;o$g`H*)M$fk2$L+$zLBAbc1B51qrL@W;ZLTC(KNS~kEW9Tl#Xs1$TZo4t z*Fj-Oj0p~$eZ8%(UxLI4iiuf}R;QwR+4GyQpY_l&GZ-|-k{wZuod?nDF{O7bGSl5% zKSrK6IF`>jnw9s=(UxhwT{dRySfF=j%T5Kx%TfzSVPVNdxmZ}Zx(5pj*8d$G z91)#Hr}a24E9b8denOo*4o**46I{vsQ0U1GqhVxM#tOxd^|FPq4HKPXA^TQ`!%0Z{ zdEqZtB*ue^VPY0(?yy_^`z6-KkPPk5TXt+TCasFZy5+@E7-%A#rdyR4VBhKl@JNCy z_roB;mAl)JSEdQNAa!Te8{G292_0%k>|{!UK*TvpaXBm6VjcC9Q#7#v!9^GA*R4!r zJ&_)(w2iSXNg^Y*Df1%e`5SxW;OB_k9GsU8fxDj!?YCnhwYI~%WU>N#PRH|IGEKug z;qWW3o?HN5@@1i#sKK6BiElDnfxU^btQX|8oO!bhg+@jok@T4NhFJUHmzBPhDZw^0 z$0HHHwqTo{A2m(GJY;%)@@dcHWtKM~@s@-x#~hhfUwIm)kanqk+L_iZ6EzehIc2Gy z91AJtlB$7(yKc;h3=qjH?x_^%HgC|BO0 z6A;%{si|v3#oT%#=4wYRn@V zYX-2yXfY~@6w$1450vsV}CGaP5v8etFLjiQrKto6)fQO;}v&Te$ z27X_g(dLuJ{1+t7@$Qc?HCto)^Ka&_pW16c+>AD4Jk5MXCQsm!g}&_RFWW5(GVvDD zWVy833GC_58e);!hS(~qA_pR!SnOO0#@ocCd?FSl7M)}BR(h{i+3OYm{$#nJWZ>Zdann)4h9uGg21}4I9o>XO)MO|Z0&;?+jhyJv$ z0cOYwmvi`NlEllPb)IW$>~MKnZ-{xCMSyd-qayt>XxfiU{fTt3Wr<`@J(5=1p~<{f z0osKXWeeQd%;;wpOJiA{{_6DP zMJd5&DHe$(N_PRN4N5{?TDctl*kRF1AQIs^_#1T<8U31O5$bB0+oLO*FQg2yja@_H zQAQyVdLBrWf}md_9g+97`orDpuhK*yPdHs8=~CfzozL!>s^o#()N-BXb2;NA|J#55 zxcvT)|KY>DtAAvW=Vloqyqdu$DSP9aLnL^_Csds_hQZ}0cQRie|MEZlO5-9sHPqNz z7yAf?#9MRa6MNVr5W8u|Pu|G z*V=c`YFYr7wa*qvJCQF(U`BH|mv3NeZ-joUeU>eCrD$w)PdNr#?pBsh^1;F-UXsu! zcQU`$xJZZA_R+Qe+s$nAiFNd3ykU6pjhzez;!iH}n>Rwg)xL9*+Kzb3(QZM0e+X&0 zCGj(|;-SGfk$H#iq<^i&drpW;9spJ(vZVZsxMe(%CE9xh?qq+hwUJQLtnKWtqgNzn znja85t%;c?w!~iV(4F+J4fogrX#3z(Y?yK&IbHpT*do69lX@{%8?@~tbS)OhubFqB zVJ+1d&AUBN+_up-w7xEg9To+$%??B|AkGPP{vA&N-w6L=d(B(0sohpy+1^CV@PGXU zV(q0r@One;gnw}Yuw3KmO@{q<^98NlbIqe~E_8pfwOcL(?0hqt z3uHd_toa>@2@LN0>m9n2{>2H*`*C*j8WUK!jB{iMGr*opHed|xEy$7&NOMk`Gqc@| z@UJy@_N$jRa!fU2N^{3!8(|I#G9(MLZvYB;y+e1>zt-X{R)YQ{ZMXE0m9y-$?(W4v$Ni zO87Zb7^6hK7Te>D0P@(N&8za-`b-=3;lBa<|St*(V2L zdGZE0l~`U)TGQ#FCu(guh+) z9HO#c^Ou_YKsKOSSnoM9UfM7;2kpy|n5bv&(6m^q`ODBTR!=CDM8#%5MsNP;^v}Sx z@?Yd>IyM)l-I)6>930psAP0MH@LTJAOTaJM!^Pg*Uhec0g}^BU3z5tqDVN%Rjj1(t zn5))#b1$i^QRgkSruhYzKx}y}#0l-kc=;$Ycb4Vvk4UZl*M`s#TM}uJYzPhcT6<&- z`Etb8Z&x5Z%iQf6Vh3@H{3Y>)h3WDeeKK)l?OOA%c|(z1)1Q_Pqt+tbryiR(#8ydV zCOI8--@3GcFgAMgL(FtxH{jlDGPF`-lu9qf(rA`-<6ConkxT5^HgdVtT`%K$A1}L4 z_TcE`Nc7{&AUm=xyFvq9Kr9JVD(9ybIaV(l9oOdkqGxNmZaP2sd6E!#c#c^6_SwDd zK+GF3WNvV5r}PW6E91zoxR<5SLKolnbBypr2f4QA*T&%Lr>bVK_So8A;@vACU)mLy z0X-34AJfdayXFhz6T+sqz4>?pd_Va@$5Iy{cT`(#<1gfDLs?A zWr3>gs%~^AsHOW9uB!p&?UgPc^4MxdI%k7oIR?lOXhE(hZf*WW*K4qj*&{PfSyG(t zMXuKivH=LcYRJ`YIA7aZAh}xDm++0WJ?LPoz2$c6z@@bBMq8B_4?&x8(&4jiMAM}v{!+z=d1Zg~c(VSVH57mpkKnD3mG zBl=~wN8sj|r(x{%4a`ofP=kw=8%=~iqKl-{Nb z_KrLx9B2HoAT+|Kaa`j(G(vLUk%xri2qg*y;iziKB>eBlBf@)3wx#Q&eCb!dAzg&G zh!wQWFiXE#0uJ7gM|5)|`&40zhW}}#{_)rifAw(x;rrt*o39^`F2X!V!%pG8W{~(h z@`&(New8p!+TM_d0}q>Mx$9F#L%Lg(F*ecOk%x*Mqe0!7GG-tJmH!wqISYu#dmk!f z1h=WCJj5wWZ6R2W+>x#xAARkeVFyP&K4f#YJwsmKk*9WUwA#hF(`lyS-27)G1~8WSuXpI7A#joAYB#js<94|ImG)~blzUa# zHM!??g}ThpoYy3+`{wY1(;r;ZQHBp}h_6SuM%Ki+T_zpaZ4@G=O%d5s7L9y5F)Gi%H zbSzI!GZ5?AS3z*Zj=h#O?=f)GkXtLanlHg7?UIe+p#vK|Cx=i2F#+w1!SO7+SBMJJ zfVS-Z?K%%NZg;@4F5D9dwRycmT|=Bq&{7O#cl?;E8gtJbe2GqBRkH!4ajokoq>S_W z(G__#J58~)m6Hm?S%S=x4ZA_UFE?bzsXdCV`2Z*nJWH`LBB?YY=(Wi3K#H`2ci`cu z8ONC$6W<@&&Y~tsFV7idImCSjZ7_1<#*+0cb+gIdcRwy0Ic08CAW5hk zX3IQ7Dpa5rn&y@%qlQaV2;v-}aq@trOc7YT;##pt%OlrvAh%5!=~BI&a2K)oteXbM zSna2x#kr*+!l?$kC*r>BURR5XbA_;$Rl%^EGx^shLEG%hnJ!LzH9vFL*R7?)QZOhAR=y#qilwIusr+zo!kpZ3aKzE9(F6116Ykt(V z)uTk5NS$9WRBVXTo1hY-D0X9U`^S(egE2A+75krz#*ux{M(^w|X!}`bo;T;o>^A4R zVxAXl@{K$9Nc}ulCysV*y-)Qle$5TM)sHK`O3>+oXPH?!`cB`lTkKNVaR4m7PHk`(Y6L6-<4O1A9I!qCbYytU6}T#g&(AtJu`4V*g#DE%-5 z)H?{xQn#l`q2xIq7NuJut{BD|0u%+hv}}*#Tt2t=wnjs}=jjZ-DUlBfCquZ_n~CFC zLYz7+<%^L*+nO(Y3RxJAA5l|^-@#cLMJNYLa$d#^FVG=V1YYQiAwwHRI`AcWEY*pG zM;+u1N3n98y37gielrFReasgB_3Wdq%<_@uF`Jm` zT0nCh;+e}_mow`LSwf{c4{#dgIT%)zKl)cIuPng!wLBo=GJ^(b&#Whg#5B5oA{+=4 zL7hJ0Ob8vufx<(|H^btLO*!0UYuv3+N4Lm-QNMwgDh)b?=8?9=ASo>TuaJknc0?M4 zmH#f5L~{e2UH0=Fj}22_UNW>CaX8$UoGA^?rj&+;E_GqyC8N;~4T=e%$Ye_pD^!$f zVqjeytjUYvOpRFea>NLv5X$qJAI>4gY%Y^82ZT`kS*~hqy(+{B2uCZnQ%*vNcGxoR z1Y_!j4Juh%SW*qU-0ajR8601SZ~FhLil$4(BbIL^ayJ2qhrzP!RU*_EtQrboAkdE2 z4~9Jfx-GCUgMi0nB z9&%wwYpahKr!dwT)}mPVcKIO25}Nm_pFqek^r{;5EQn8JU^q&>>PO)N=p!MRD>=MM zggF9ra>+>rD}m|=U2k^>#1gA6b+UZbk8+LoLtqEGOLH#7F)He2zWaw|2F0A33Z+lt zrPOM&shIi*h#I8%T$4TmG}A@9BTtO64TjV88_g^8^QAV$WpN;1LYCNXIO|3!B5#Dg zKK{!O#Gkat-v2mhg7!H*0I}HZfjc@S0tA2YFp$5YzWYELEv#qv{pBOF){a};EO{n2 zlCkWr?o}VnO&gG}g2YyYA#u2B$dVTESUf({pcYzv#6>)`@XK3hE8i<(+MrS|gUs@Y zwir|WK+wjAteSBfSDyil)8u{K5o$@~z<6e%gYnLX?|c}N`)O$L1+lD9Dn-^w`6Woz zqu8E?fs}VlpGRCV6!J32#+a&qBn(u(_#_a@Y0emsFGtLF7&66?G8A}?;2f|0@C_M* zwwNJ^te+79#8NS1clMSVh|WRfLQZBFh4s!cy%fF!NIk*_KxI>4LaoveZkx z1KJXz>X%s2MwEhB-)`&w{a|my?5k|_`f1#NY(q{@4`eDb;m|~<+lm0lX(NZoZfwZn zj+P;|1!Bk%$02QqQ|Z3iVSVkC$?ailu|Ng#&)CB@t)X>o@>ZJt2eM>fg(2owj<)Z) zHb*w^IYTn&*dUw14GK@CO}n4_T@mvuRjhd`s!IB0kR1%y`hiR-$tPl)!_PvRp-{u5fe8~TkS;R5BUPy+u*T-N{dQAR+TYx5x^NJSq@rJQ z7>im=%?r^yYTg=SxwLQdeLr>HLo$bR#i2!nd~O^fXz<1%LOxOXRm6R!kllS+`e;jR zmK!kcMME}sjzg<#5hBD@&*xQ@i3M*`jgIqqw(WUMM96`wf+}_7sgJeJR^Fp|x$A`x zksfE3rV>{ee#2B+0-39+6tXZ4&U`bK^V;sF(x9uUwEQ);%)262Q|UQwQdiPc8gw<4 zLOM+4ylymu84N?DL*G?M^4ZdKMz*f6LU?w!H;^!$_~wn!PsJX!=b-J3VJxJ>SXDA+ zl!Hu+3>a=h>^`F8HE;1=eX;)r*=AWOb|Ps9*KnHw{1YSHRKRUu3|njN?%sw5^1QCT zwzdI?wY0GgUpSq#e!E-{)eM`UWb3=I7fO0qm|kV#Lk3^3Hlo{>BU>_=2@J9fj0 zC5tzb@*pD@#F=F=3dE2S1Njf7XpXW^dF%}HrfoqX2|XlZJ3N(4B5bh{_BolX({ZPG zUaH;Bp&n|&HiQpj_nFfi9x?AF@>P(rL&a=`f3!-N2*}KK_-MLo=L~6DX5XUMH!nf+ zbD3Hpmrl~L+LsuXrS=w+m-!2^mbDFE8{b4;vnNanB-GOOsLviSB*JS*cuHj!VpsKI zJAkET>=}UYjDf?-DRdqz#iL2>F+xIxL66u#gws&6Z2f`+z6m^i4M@}3!2|X0+>gV!KC$6^d z@r+s(;^q-uRnVL7epV~D$C1Xh7_4qgN%J0=C5(;SFf2$LK_^PlVC`|hT*Zn~U87>p zi9($29xGFu91p!!PUEcf!s+2>gx6wrgj_haJdbI1u{A0LoT8LC-9OVd!eK$79!8JI z^H)ZS-4oj{Y#pNqz84;jF%2_#I0;4CnaaeJ2Awkw5m*+L(&RzfoIF@R2L{_H=D~+% zkHap#-b#)8jW8zLsNH0EwS6x^Vgtp*YEo90X5AWaH$gw_ohjCrmOy6S@<=15la@=f zM`YHVJZM#M#9`C;*q)MU9*9}V0FkcbNy!DF(SR%#J3X%ALIWjHAr0p=N7etA2*WpF z-C#=X>tb{DvzeqDi;i_wJ&$N?X)PowP?gF8k*GG>m<}D;FOFucZs2G}Rpu*S9nFWH z`k~=^RG z_L38^WV6H`Ns-@@GqYqYgdKrm>Mf8~kD`9{I@(xN*mBmzy6Pd7 z@SY{p?KYho5_5M~^Y$Ek7>;c?Jl3Lf@a?f_S=tArhm1Yav=m#`{I#GiTh{C~p>?fI zAyp20A`~7=bv1XoEU2uiDZOhEo^u3oV4ldBE1~p84Du~6PAG=VOP$7rV6ImwdLyC@ zr$O~ovDrq6W4bIAozRpk!&=ODVhiah!#q&GA$H5M_#=YE2#SeWkcLE5z0AQ)*bjSX zi9OVqm#u>U^bC@*r2!c;QHmkHqsw)T5njf+(t1RAiz=ST990@ z8RVz$rBBzi4_%Dzmx{rLt$y~i#L-yl31dsbPdm?bRGvLD@j9k=y z^sle|{JShZ?1Xx_7>TcfbQ1RX9Im?@IWEK9UfCNjbM-WNXlPk?8Fb8-QZqMDa!A;F zC9N*IDiX^smEv?b#S5C1QIzUYjH#t|Q!(sW@A<-2^T@@@gFkCo?cC(oSt<)loXN^M z5TThvAz3*PrV6rdDifL~wj0MMY@9#7HQq|WI*78xR2L1L8W-rWafHMLiiuN@R)?Z` z*|?jSANEb;NUGYs_Ajlikng)+$ONK3UOjTLxMb6s{sZ?5Rr~Y(LJ#67-om#>Y+U!_ z+7z#sRs}n_ZA=Y2au`eSNBFern*^j_2aN$W?BFgj6alqMS$3{3pkv^o=vc<9T#B`u z_U?BpH|@8bhnFDnvC~-st2-A8&@ohBbnGhXKZ^yh>lx?2PM*rv`wPgrW<2MU_y!be zV}?Z$u>hIpWM;ntRmiM^$2S4_5|S-G5tJhGM#v&i---YE31PFFLX+{k!;!F4q|vA! z&j$;s9KKCpRCYh%1oCx3a->=&fK%VBZm5Dm=j32ejxqbkvlcY)%NuA{sjtXV%Dfz? zgez01T*%{{4e_~B$$)Hmb+wC(UuUe++9FKS02`bJMD(<^*SzMbZ3seiL5yQ(-4>N` z)-m}(kSk{MaGl9gsm%{hD@0|uu>wnFienyLz&`??^LKj)}Yh(&*yylm)97U(&r0By7xuJ7hZKH5Ya9fQuUrO#wyRw=2dtlhvv_6&Y)xmvj)MC1+JHWY}8OT(a8J?dzTy z%1AhozAjxi*j5tW@Ka#7 zN~f+HHlW$5>y|lY*r~~E>C_7{)ng`{3dw(z8?g~SEO zS_(!Elv_r`zf^n6>qcr|MW&^cs%!@3H|cct%#iO7^yhPpnuvr>n{koSNkor=UrLn+Nefai6U~e*Y-{H0@S`c5~aF^%o5itG-Nz+e`j;so^K?uDT$QES%_@f@l^G)hDb}Q zb;b$U-wcUNA5?5EPB8xiaGPzXf3;8=_Ch#~KPwj#Xy6-P4~3CVON%Ts-*RKxa!FPD zP^MhmQGyHSD}1x``2Qf|JAjq$oL6GHnip^3R5I<7$@JNHX_LFMWxQCzlpZCH){Gt? zP{vCVt_t{$M5(^2<^x+4tZL|3yO%;~fY6xXlfF`ZAeS}9!cbjScToGP&YCPQe7khr zyyqoD_JU>OxlSnjMh>%Oo0u_Nfne#2Vw)DsL3zttneyOg+#umn;DY#afyFq2LAi8| zY8QeQqLf$#<-KR$0uu9UMrDl8xOW7TGxNq6tJaM^wr?#?DUkl@%r0k*Xif-l;o=i) zHoAOhk1oEk_LeuCD-tQxYB9NLL3);_i2CRIU?b8s*d~r^kePDK>YMStxFYh<5}B7} z*9^xk4UtbzCz4mFNO#B^97LuAsp42{IykWe<>@G+#QnDunGbr+HjatBIB-faFgjp2 z5(ta+D9f~GMq(jb=1&w8n+GEmCN|!gBNv-rekh~g4qOJf^}bxcAg&lJrJVrMrizv7 z!Ks=EWJe|?72ESxxJd7r2}1Snp4z3hamQ2eVg!(wRk0L^C_`qb{Y}(;I&TMk<54G= zX}zRRcueND=u1RXj2%ePIQtZHBGbgJu29Xc%2CyP-)x$AN>6z)>Ifpm(SFgf*$=3z zj`4Jg{a2CbW0Wd7#;^e@i>imj)I=dTx@WPL?ukgZOzW@uTVAD88ynIFi4j0zR>e{v zq70d#_BT=g(Q%b+0osAwH0<8V-ye76(K!<~!K_duBe^60U6RSukYV%rE@Tr3vY)*p ze@xg9_Y(Q~0qG>X`DN2eCbr$*kuJi!8;nYLPZRQvJR-dMlvedmNOaXZ@=ymXaZ@EM z1cdL%L&B#WxzbHQWG_Ic%8Kk&W&c=-(JKEzJ5Ud z$rek-cjO`Azc!*Gd__75!;syr^Pk!4JO?X;3zCH2kUu7D8`eb>Z%CIO$7P+W6-TbK z-jRQA!l6q4iiDS&l}9GKcchbW?88a_hyCGxV693B2>(6uu&TA)s{$gze}{CMUl=pZJDTc$V{W=xn5z|%-K?|0 zPe>P6Yo63e1FMq=)s9WAIv_H_>K*DFSg}|9!<2S2$v+`)cKfG~9<^ukk0*;#&-Nr@ z7jvGo1u_TOO5_&(_IJ-#{%LD1ihT%MaYr6LnE7m)Ose0EwJSBhA-_^Hscsk=mcO(h zUq2wfQ}dPTzhTXk_P=?}f33)>`3;Gh|0s^q0?m9Y!LB{TgqZPkhD16`Lu`Z6kX#cM zBmg#v$$wOVw1uUI}0OXfn|38xfYr{)mSAw`REyfXkjA4eED0lS=zbURET0eeS}T!=8CQE-hCqw)C9e=lI7@B> zxd1J;q^E8_w~mA7G3NATa;Z(8?LO2!Qh*t<+@B*$Gc^#vL(p_BT5@v_I3g z%CGIu%%18r_oYBM60gNZmq8_MN!6xO{mUSaMr9)_vRn#@(Kr%^Fdj>=<=J?u0FZWM zH2)(q5NhN!{8oGYDV!>I1_bxeZSlHvrMu?=g%BKkQ#L#te^EI8fLcn+eaN5m9|pP9iel>CUe4JSx zdJp;FkZE(CnThU9-4~KM@>Q(mnH@(OkJLHRTHK8(Bh8R)yo@wL9BHLkjuJN}q(4k8J7=7_D!WD558jTIS^!yRI#{6SScSF1oKk$$Xmx2 zRv?%_DKQGlZ}REu8yvmUsGL9y<1Q*2jS3pGVK0IR4(!FlnubTl)0%_sbK{9f0LrHP z_Th;VGMyw_c|z4dw#&xI-*Rc^coqg=Zr1mQkU4_lyJR){b81yqfmB&dnM+Wzn(~0H z$ZE=iISFgxdMBk-fe;r86?uWQq@(JApov`rcJSbh$|+Wy;%ndtfvyjT*#s9B7jU3~ zK4dOPjH}^o>s)=FHdCzKAv`oo-pVw~J$ZB9DXHRH$V*GL_Qq z<}GCs4#X31l)jr53&V z9vMW_qZVVNMy(w>V7Y?!-hnLBS<#*`;UcqhS_ZOx=KPg{8Mo?vyCJo0iwV8!-nZqh z*e@=?xD{95wS>rvzJ4i|cD;~J#by0sBb)ffSfA%GuCDaObKuTJmr& zMW)@|`b28q%o+8k&Y3g+1IL49hT$0UA(IW14ZHx+S+fQaG&w0(u2A{Jz1=oG_DVr_ za`REg%=r91ti(0Oja~s0jTxl%j*PK_=<%0y@PL|hRETeGhnvp?p#v!**MOC0f;bDc z>ujL&9=7it+4`n0A0CS4A+kJAWTp1C`^qzsuM2WrgAa39a2cT!ftQ#@=tSazA@$mj zk@L10AtEwDrC1uFLOP8Qs;^97m2_pR&%YZckJT>z-7sbpc$Y{ge_67Mbi!g8pNtvs z*`xfHk?yEQX*`bSm!wtqfOC8j9xkW$k4B8u9Tzf$?EPMt6&l-KMhpmlUD&)Mdu{@C zRTcS@YgOCj4T8sHBC(-bvt|Yn3*E6JL+og8P(bsI#}q4lHEQR>d%eMY;&K-PWG9jVLB&L3-)g zZ-dOHyfcN74n%gxkQk$?e8N={wQ%OjQb_N#HXdVHScVA4vL6$Cj%Cj#nls|{5LG^S zCeYfPA@3Qg*gzK!qz6P{tN!6_$PN7~($R7qN0xayAWRUMpH%d5jhoGGHH)UFJ-8B{@Z=Ys}UT`M7S+pi;2|;9T&1ZPcWrM$EjtyFi_ik>bH3<|_2m_D%|IcpTt%5GR%!>IY@mSoxSaeMgzaKb zZu#_(YHxV~MlEc(cq}#=_rAcXia{JA4UwVxH$ivm{8;ut1F<9bPM_KeU6+SI$~3c2 zdAWtt%s%B!7ELpKwWF>fFNIVj!)zh24QW9r)a}r5Zc2WO*sY>u_Wo_{!1CL>m?flP zq)S~XbwgfipO84*Eh;u}CkrYzPu#Oz7;VjLf zRBL0*Y}(J6R%7#o5=?9hzJm_JZQ{0<^rkN&h~b|=-DR7b zP-_=w-)+oyFq7&3K*fvRmr|!&6uS1<@tQC@rObA}Cep7lI1P&cS&520^<1684e*K1 z>+0r3{{FuIpc##-)K5rpWd9Z3MgPjxOP7k~SCd@`WoU>Z{{A2ypLG|* zw5UwG5X^h9M)0ae} zkJ(Ic5e6dmHF~Pt$I-g^*tSzmfSJ;JNSZXr60A<1XSg|8o4gT$0b(93w2N!bjbAdJ z2F>RAMYDF~3l%XX2QBJ!Lec(WxZuwuZXj_?h7)gu(`aB&<8LB^Mhju6Oi1s>&=FI* z4%s|4f?ev;aEXQQbR#LeqL%YvtMXeM!6qZ#w(~3S)1-WNs{{Q1M$7(Lu zPd^nNGu_MM7Aj_N1+Bvs!*YJ(WMbI0WV!HABqt>c#Z0g{NJfZ|b|Jhl)qz1yr!R3+ z9Q!|Ln3Yo-XPb32Zbp!$*A?1Fl?6}QE+P)V%Hq}dr9NnHodsw(_)-GukO?PK`Uc#*+uqQDB^o;DNskpw?LegZ|(hIEsEz5+g|AV zL+uxC?lixFRzT>N^BiygZVe2i3wra)Y|8RjNqbirwGDnM6B*kb^wTKnvDF69^u0a; z>R^3$HmU7-Ogh5{wE^s;TiR@jkJG%L7D|_k;S9@%Sbq*u&xg=Q1aU|l$V5nvm@dkY zc;+wb@D)Wle|zk7dOCUd;3ca#(N!#en?eg1&827 zoAFF{uY@I`Rn@y{x4!JE)%ri8N|~I6`E;u=ywm$%*>XTH;Ab6qvhXPqPAX6s^$LWl zF!D9~!`WnWQ756I{SO#I(pm{=4Rqz{s!Mu2Xf=w4Ns^h&5*gHEXQPHsG!G#m+UuLq zV3P4WM2&S38fi8e0h{SkqTJo2yGfQ+R2wEP#)ka9(O2`IUbdR*LwaQ{+y6!!(T3e2#uY0UZ6}p=?nJ-)!>!?0Kag5#n43-W`oM>6 zi2tQ==|Gb8X%S=N!b>sf{=l|44Sf@8PHmpvl8bM=nH?*;9I|8>Q|m*|JZYnXS@mWW z2oG1~BQ*?z5;fED8(B^S&!l8bHvZ-s6OdK^?!pl&5#fIbW*g+)OOdvLH>FWd1j1V9oPNyYEBIqp>qtF3>rYQCkp7Wi;WN~*6dR_Kz-))>s6dWE z9f`nfs;A)=OyqtI-js_rqcn?Zw=78xqb0kvHwF7QskEc=PA%H#C~cHSMbTpXuu%~p z4zOfuV>z4axsYXdEXR%2^7?C?0^N{7C*F2TM&s!p^EqoLvK+Ci4ybkv&!4qam5i(i zMgXf@tt!HWmC|$}po348WtXpUj7e*s zlz(Ki@lS=-bdodByDG5`O=xkUGw6)qBqWj*veFG9FPJN|#>|gJEZ*Y@SFcJVE#g7^ z`YhOdM*JGIF7EH&bO9lXM>s$nC)6ygLfJ+YZ+vCfvBkw?VdI*#%`k~8My&&kb#ukX znB_5*wk%n& zJN!kPrrNjh{S&HI>lp!5Y6Ap~%7E+f-y**QEohtb($u)yj_Wrn0>*vIR$4^*@qjiB zbS=5h4z@}@R_VB1M%B6O^oJneZ=5{nB*LBub>`q#fJ=dG3`GGDs3*5%xqI2qD4gvCH zwQF|^or&ZM9{*j<^C&l>Z<6KKLTiW(e#lrq(s`xrM9T;u3`HGCk%tWM$)0e%lK-gd zQd_(rEo;3>7fwG5_smy&QR>+Fq9#~>j?#~Bk~TPFs+QM?KYi;b#rD&(F|-Q8F06~T zuj3U@r>TV*$~GWx$OIDDGil7G&n&MmA1lmrwhZw<(P!0Bs;mavPU3;RNhfLGs85VcY#@yn@uz*Mx|C0)3$T9oW?yekxz*zJ-LWzNGv_; z_HSmiN5THhj{^R?rfcLo0;vN%mXIs8q?>HQzoD!>jQ!?Q3|qSeJrZw&Zj0nPXr|PL zOX=#r+-B&C663p@>~6o!rD$7{pOO4b%tA+_ur3L}BlO`W7}^d@!swY{*P(p?p!Svs z7brfeAO6xn$4kbvY1Ev0@x>mcv(nlIj_RO0KsIUEp`z6ALwGK!B?6uw{8`S z(MIZDzO~n?cd|bPe$wZn1v;AQH&)$c8u+eh`K`24_C*=lwWCsz!LW05FK|<6mG#K6 zqBoN=8RY6IC!F19+9PWBzhzo|T!_((n9|Do0TZgu16HS!D-WM!{JQig}hnu%2yda%9$Df2o`BB*=ggM8*l~j%Wo8`d7G4bDS zL%ZCAPyjOY?sqVS_OjD`JQns};gZGoL7q?B^Cj;rZi~xO{tN9{yUZ8!U80D2)t@ZmP0BmY0Uc2pM7>FeLGkd73 zM7p7)xLT%Sx{P;nhrO6z{;^n79XT{5hvXvo_Fob;k!c3+=nD7X77qJNpdJL}8=oa! zO4+q9C6)$R>BJ;oZWq<6!^kLZRW(IM&Bs^DFncR3$BpyitG;vp%tYC}q-XH=5t_tO zp&H^Z(DpO4681j-iM)0zD~Z;S+pa#zj<{}+TI3JdXiQr-L8#5hC}#fPotvLHn~&cf~I)RM4>Vp>uWvQpdyLFbMMZm{n&b8mv6W5jPcx}WFvXdgDz$2L^ef=t-rd}k}=nH zlMX^g##z!T_qQ0MV6SB>tKz+iHIc4mkx#w(W|(G@=CN)e5#5ycZU_- zp}&0*Il%K(4?Sdb%-(|XaRZBrQaAo7-oK{Qmb`jkW%i?T7I2iI?{X`4E6P$dSrqiR zlj0+aR|vq7z5?>&_a8H579pb>_@zZpjr1+O;^lKHD2%^=wmOQ14XGONDz7O3jaaIn5YM^7O1^6t8-9nM}`*DU6c^eDoP@kmOI#Y!W|sLUTB|)MOO+F{@$v zj}_D)#{|3Z{Mu!l&z-zk<-q*tjWWK-V2q()^Lc%rsWOr;sZGl=Q@)V!4|j69`zX+_ z&c*8YYW4K1-3Rp%06pnv$Cv=Bt&Nl{drKn`)$XX zi{H*LJ{pQ)m#%CFH&L zDO9$cgt=C+Rud9>1J7o4^5^;)ibLH+h)cU}E%6@vjwcwCY`qeUg;_y2b}7FR3NOge z&;*XXcTv@Nz7Seg-d-=+W7R2IZGnW0V*z?1L^1{Yy^IsgJ25z%_$F3HUu5&X6P5x+ zP9>Cta)$XuuVsSl$pfKJyxHU(2kh0PynBXMdTGe(Wn3&7zeGaD?A7c{y6gF0f2hmU zu{ie;$lMPF+GkUWy}`v}09JX;8(qA_y0Icn{tuU)-T1L0SND@zMi_DxnL;*SX8Cb7zKH$<@>6eS z`OsU<)WrC)Z04+wLhXQt8WE~5Gm1r_2tGVzRlVm*Sb0(CuSeRaA=g~Uq_o=2$peyx zjQ$qG4-4gzDVrir|3^l=%_Wv5GC!v9d4s&DWYrDFQayu6b91~BVW}ND4iVfit~#3{ zQ{Lzztx?O;+j$1k@74D{?Fn<7oY{~9*iwHgaM93`{oK$Rc- zSciuQ?#TM9BHjcioWag7HO7F&TSdH07E#==Q@J@%6z6~67`Ll(iQv*LC=fFqb)I@K zU|4=MH)_a7Eq3q#O7_zjTZ!vITA_bG-2{4N55t;7;o!dh!i^B$37s4{!2jI)i$+b8 z0;epv(zPk-37?8GO1)CMEj$Eh?5ck0eHn#*FokSh=pIHpPy-5J((2iVn0dL1a7>^6oa*z5R} zO_NajE%f1{@@|g-`=}|@K{K7N%HQ?{qkrn^denznGGd^OerJUle^r(s!F; z(Z0R>#R7`Y{bs1-%kSw^2su_vD|PlAq}N5V-IXD--3^P4bSQQh-7NN16QIE`Qt798 z$?gq99?tLSpqeja#1FVQ{ZMt`4@h0gKS~Gze=1x_(~kiLKL@i1q38W>MVdAY)m8kJ zQ&$j5=6D|-K#i8;GZlg~zg6*13V50xeW|-(-lA7CW{kMeL-PYAWG2!5WS&1%U{$`6 z%%SL8^uQ$V%Ia|RCn7LcBgt9n!pV8IVx&&D_2oyTsKpdB3)1cFi)pY3JXWE*7r>83 znaLv6A5SF)(DhZK{Wu{C6ES_5!PTz!bP-AEM@3dL^M-G=HNRmZ{t)mcRv3?1f5S_0 zr~TIm=%ie8%O2OA2N%z`{qyLgXRtCkon1Iw2y=vO<<0#$bZ0dV` z6v-!_)@yR&ykp-3C`mCPsQtXR0ntmVJHfUv!9C{W?g7lGlzOnoLuyn^=|l8D93gEw$lU$e2b^a!PP4W9azKlVkDfKy zm=axk5q*Qh^aY-K!&U%IACTAf^_!nP*oahFD(XTYQj!DhEkJ#j-?&>`&;>_m^%GUx z)I4L`ZW*eavo~Kxh^`))1DYRSV>E3d^b65oiQz%jSy(|7BfW9T-QRa5d^rcn9t>F@ zz{uIE6LN;3+H-Px0gn%x@rUj~&+$_}I_e9S>Dz&S{`d0gXFq^In)!01C!@sZP2-Z` zw^qe$unxAz+;gF9iG8Xf(NY1>j5>k?As*Ww_#hClYnf~1svt6j9-~6dhGZ$w8*`q)w||!H`{+qKmN*J2YyJrSt6WpJz#zykaEbK zfISYXqXtD3cH_^={FEr8e^S=bdlG%2mN6=DrI9_@zkk1Qh-3Xz`RbqC5?fq;eb=mM za?WniBVf*OYj#hGhMPp}mgCP=?~H$^h4t-;#C*F`+0O)HWWv>urR``{GadoKJmfuE zS2tR|7j3<*Gm*fgrtfo?$Rp2u|K&JxgyJ{IMO4Z>ZWP?GlxGi2iMiiz?UJ73zruRr z*o@<*c?p4pdK{%qEUY}H-B54$I)MbFm*cY+d6VYS8&_PMwXTH3B_4XSjqw-LNh>l) zA`odnv`1kF+B!`1rK|lv(xs_FN9LB1%rnC3g#3Hl-SlHzsiWhZ zoa9woia+deiRmdhv`-&epE0cwSBJ?<87p7P(f|$UJS06 zIIxwWeyV^JgY6&ZOHov1^L>jg>InnvR4*QjR0gVlKSYC)%~H+tqxoo!&Jly*)|gwx z#f1p6Zi>I7?moW$!SPs4KUPh<*>5Jq4<=I;xH=|F4p=0Pr)Ut z&9R}%UFPy0F*Dgszmwd|Sz^X5Xe|HucLi~n)w;U`I*hQPn)TAyW3*DZwQ~66n`p85 zTva&>!NiStg<9*#Db79rT*_v;A41KFVZple1D74oxwv?9mg$Y(y!Ya_I*(iO+s!1p zD1bUV1B;x&S6aQF6jVOx4y4)iiW3qwp301fB?S~#a;gMIJ`al-$(P!4q%OWU`?>}Z z^xZz{qE7p)we{Rfn9g*gisHIm;tMxm{79NJM4n9$A@s{3kl5qhCMc6mV5&&FQVykd`T=xsc&X z=vw@VAK1^tN=+_;@?#?Gh==oKDXV{Yn!M7fTW8_wb?zKDPdDve!Fe>-doHzZ^5vmr z9aZ-On~N;h?^rt-^`;sCOnPsdM=|mERGMg3(a}LosbOjV3-+!u`z@>Q7DfWuDJp)` z-5XbgDX_zpn1>GkFUZUmCG)|o{e6v{qBtfAZx;W0Rm(TZJ^42><24Lw6q^704tDji z^QmmAT_LGNsUJA+2l-xn+6letNgp-l$t)NyF^L^Y?c*H&u|+e``hWEaC-FbOz^a~Z zCo#CUdtUNGg$fV_zwu*0bWI~E%k=MXFtff?o)z}# z-hjuZ?y*lZ-uL>xC!rR2^t8kP73f2=5+LKb{n7wESvI+XYPzIFznx7cARq=jL%ZA{ ze3W4fC5%1+DECKi9KxEa`_-2Y-Imf`?;UL*(f6YY;MTW{)MSs4-?)c=%|wA+qd-k; zTAtNb>`9BQ0CD=hzYO`@{wodw{TfS)61$<#*yrh>(4daAoNc9i1OItj$P;KS%qnEF zvf!0dU&3@40SYf(h}^N$1%a*=`bKsxWA=R1Pt&vDN14J)pkn;a>C9i{ z-`OVFnsT6gAP8Zr1W=-mQ9K;2Y##}fHU@iE3kw84atF7O$44t6D)#%mYW6v7F|~OD zo6vWev7Jk$tuxOk^80&4Q8Qmb9#JyJy-pJm?abNi*Qflgh(y<5zx_ zrTlx04=~>P;Vy$LEp5%+2+5{Wrtts|{yi zK+4^BO|JKEU|#1&`${Jh8pLcnW4FP;n@3~@v=EUQ!d`Y75k>y~Cnqg=kB}z*G5%gb zV-}phCD8-Nc(yO4HMvG{A5h$T`~$4`_Q^U*_k2+;L4<d@vPYX7O-y7^P65LrxD4azfAFo}E(veO7U47B&oTg;hYwwtRAE@#>N?>x8 zlWQ;I3Xok2fJyHtJ6VZZHJYq4K)AHP?-c#aWkjDCT;kN2>K@Zl30FhuJ4hhaJ`U?K zb+>%!$ClC_j-uCXuc>8EaxyC2iULQ`l)6gRL7AF8(p9RbL;Y`FtF!HpSljxKh#v}) zb5<{n3Ic4;) z*c@lj8BuM?dXvu2ydHx5jj$4CIr}5VM;DPpSS1!|sQ-8u)qbdwxMIUWHJ0CWR<9k! zQTApU_apVk>%uMw2mKCQtS-}{WQEddZPLeAfffxTf0nKX83B}ZF?1RA_!D?`%qy;8 zj0@<-TO@?t=o7e8yzHK+K9c6laBZK3Xi5TB5c~dd6^|u8hhGE^k8R98uZfNq!T9WX z0bC?)r-r#WLPXFRcg{G6SIWadp)SovsfxbXIzDJo=lT-H2$vdc9w3T5sn;7&URCd1 zqAjA6gGcnB_CBFnO@bR=<#ckCWoS!}AmFui8ky#H_Ya!%9zEbrHPaIC#)2J9ub>7j zFz_}%1f`?-m@|p!1G*sdx9W!-_Z0}*w3!lITqp}4y3LC$!J#Y}>seH3sj^rb^-J8k zsOqSWTt?oR3*rTJ<=G|mT1Y`DBPc3~Jv6#&1a|#-bVV9o`0nps=CwKUX~#)w*opCMaRz+7WWbxUE;_Yl;Qfnd? zCS8|4uFZTauXj3VCw9)6twOa2RULW16zfYfrPb3ge$$w?QsE-{2V$*=X zP_-^(f8|?4mdPW@l#?zftDNd79pRFM^K43$m)2uE#`^d*VtP2;ZE94wSQ)MLtIT!Z zM^??eK3lNm9Va9Gj3uAvpQ1B8r`{FJr!7YwFd#S5+!Kvk7wKuBrZvG(%h=aniy^Se zZ=?uco_MmItVc>UJp$ruC$x+ZR1@Z(FP{6GyH6^fn%htI?BEmE#jZ#8R`CHnA+2MI zE{t`fJ%KdK2!Lg4rYb%r>DK1ENhzU6{xS*$7_Vo5Fc-G5>pAwPO&*rBpDBmZnos`m zF}aD5Q-YY7Pqj}|Q3v=A%)gK)nBtGYcg$puDiWS}Q;aIJ#2lr?_r#t7%hq8ETz04#VFp5s* zxBppqB9-pDLCb zt&=h6ZPOl!h;bmnu(*X-2*h>-Ps*sN99Gz^Ve6_K{Ft2e8wi|=4d!T(*hlB37WU-O zvzxP|1N4-GE9mx-W#m=sM8ZrxCe4ZZOIWk2d;#D!;yd+UDeehlI!bgkyo+R?LmAZ3 zl($V;c%3()tWNCh>_&Jl@9%ON7N58CgGJ<+xX=^Oo4!ncRruiw(}Fjki6mYtLQR6yP_RR7I>ye z1T>WWvH?8EH;^VA#t$iNIfh$MJDB15N!q>m99so@aFRb)vO++1n%xxgJ3ObiHEJeb0 zmu!oEa;9u4(U1yny1I&0vghe#K{xtyHB4<nwb|+I(da@LA6ECLl6C2>=jt=vUBvT01TUdkCg}D$n9qxN zKkH-{*QcCOMY-(5KkdxVIcx+WZO+H7zLN4c%VOHvf=T$H_;|x!WwLOidZn>MgG570 zinpz%E15~t*}O;yjVU6O3;@A{{|?l|0zlSoaH#12j6i&Ec&yMfv#{_FQni;S9bMMM zxJL<&laHcbFguC;2|NjpVH|E{E~a|WxcpoI-QAS;mFff{0d|yc;F^#KkSMTJY>y9Rr$=?3A;J zO+9k~e#GWk(*gf1rd7x?&z^B))l)f(W(l)wnwd=v>@t9S`2+$Nlh@1h za%%oYpj%b{nEaY_c8J7Y%*AK;$m8*9jCE)WM?K3z7UquMPZnyDFq#9bH27#mI4asK z(AFRR06NwbC{(lNZCp|$N4ywXZO2oeSei7E>18|uV3G?6PtM9{WOHmbqU=kDlEf)Z zn9(m?Zx0=RG)B$^_VW3~Ts+~up#D^48(aBtmoo7+Vyt8*i7pbpDVJjb^u2h2E1~u? z9sXYX4ndau46>{P8Pyz?;LsHk^oGQM=ua}CSAUcV*NXzE(ksP8hJD8#_ z3-)01tIRtgIIIj21zzNaY|&t8_CPlO=-erVJfQm zkuhW2VP{^}x_o1(nD^R0-7g!xEw~OuY{*cvlZ=2jxTXfviVS*o(v^{oGp{%lH{{4H zNU=#^2Zw%V3`0Zolhc>A!cW&n8P(BGI0aAE#J0}rX;0Q83)>FaEzgs)`z+m_7F*MI zu{kw@jOM-X<5SNJ8?>VqH!)ZN0WPK;MI0EqVR!+X7QOGYm2gI8myitiA$8l$n7l*h zDqrt^(1XS%Xezq_n{)92JNvOs12BcZ2~Gbl&SurL}peLmT(!fMN7513y~frf!}`l z-!LzO&xFX0?hCOSx9BJ5BMn>DpxXZ#Ddkk#Jmx;D`Lzr~bUSoybzzJ^1lM?I$ZwZt z>n@|2grW+<$@B-35;#);Betz`yCY%tVTxS!9sm0`sUhfAR;Mw7u!N~b%@@Kma^czB zJMB-Wm;3isQs7wxY>o7PHC!Gq)*~?r0BHv|WeH;$E#f8Q>@2^Vks##I7-52evjh+? zd-1x?=?2ZS8VV=dPk=??E5wlIy$z2LD%Jqjb-Waw7#w?HI7y=JRsXJjxpb_$@u+KZ zRY8rrB966&21W$rSm-mOXZ0q?D_GCPX#{$DRG>jJ>?bsEy4y%BQ1IK&Gku3=T}dmU zDkAnt&g#o*i7?5R9dvD-KU^Q2id~Iwg=;qS&$kwZr5M|Ld5p>du!eKLRChh;M44e| zEUeXJEdWjf1W!$h8R}&D9uv;bsBZ17Sy}G^T)Y9%qf5vQ+Q>du5yx!b&7kPpmluW;uLve!iK!1`!%WitL zo3Swv%I%cg_qK2DJ|w;FIy&pzS02kU5&^_smb{b)_-jDQg1tQC0h~4VYhC+~GdI;#2T2-7^m<0QU`{3qF#|3Y3)OzPxE z4!WI}0#t~p6R}`IBYU(LMt}6662BSZDTlC};C%nGPtv9EjvS}Vpb#`(K(i=aheFlW za`n)yUcZ+Xg6)Syt80MIC03)@jR3^G+*ll)DfvWb5m~DMBQ8Uu`HL_x#1txw!S(F` zhvmDWFq7q^;3-}Lp>D3+?!VPUPdLxQR8{MnW@u&2C#|0KjDVt=C^@eq4ydFb+ai`| zxq9HE->XBf;QB&Rmuc0rdOGy6N|ZPhCrs>0(bkUSON;>51}9&B3iEjFGZ~^ng_o*~ z{86Ob5|d9Ev9hPsJ^5W&ISi(_E8)A8VU;Jlm6s;w zQA~toL7w0n2a)!};sMQcq`3XVGO=>DH=6E5 zZ+XmzjKz-c{8+6no_+5Io!51y2Ea%>9pZEh(j~8A9Q0P$cKm8Gh|@-V`^8PN=XUd6 z&QrfeF3Cjyl5ybeIU#QQ&eWzfGa@*W%7(V#7Tu`f=AxWqEu)ySJ$gS%HGTQ15%#mR zrSJ-~?6hjZ-76|FMarHS&0zM_v@t09Eb~U+!PjE8VPJD^*dHI)!m5ghJ!$0t)!#nW z2uG|aBElh(CxUhQSr*hvDm?s#Es*7334~I8=04-UVoo4o9d$@u+H{N#DD*^@8}S21 ztX5G}CUhx}EYybZG2!-Wl%#wA*e2g7wwzuPPFecBEjD?lf?t^not!%pgVAAdaS=dT zbj;ioApBF)7kt%mU=+-_X3SgiH%ecfs&(d*!?k-K%Tp-%#KH0J5LQ_MB$PiBK5^!V za|zf+IfPggnRe7cR4+TSNEHsYT_rpU6ZcD&tr*a1&zcG@^5qiVgmIh-QIkIFx}0gk zo-1viSb}br8jEOjs40xk^e_TOIT zpEfHrtnoPL(b#K%UI$R;eeRt^tiq0*;n^t16thS$M*9t&RF6s}M$p(n=Jr+1&9ga( z61u=6n4&KzxxC|ogVHtSRA=ImPpP7{=uv2c8bhCk;)N(4rEO2 zT5MQrKY!eMQwOwZ`jy5M*@u^Tb_r4XHng<}yh|h1v49Q|nOIxS3&<}E!qVh%9l2KVC<)NolFW{$Bxb;&`5#Gz)9QWl&)8Qzw6?78gq7$;;@+VqD z;WpaRs%%D6>=DMOE&?&IncvD0Yc*%$a5uqSUZ53kj)di6SBf2f4~{C|9Y57^x`4CG z#?lwN)b#o_g(X?2X-GG=`3amZL@1`b6Fv`A0E@V^NVcYlD|j zvh7I(8WFgP=qo=#Z*=h$TRrej)9rUs#yQlT@1IgZspPC|x0GtT zo%@K)6_HA{vlH1pXpr5T;6F946%~~i6k4gVXhT`ee#rAk7lQ3v3@ROZ7{~ml~x9T$|w4ff0mFYfik% z2?^sDz%KU)*wEJ-r8uXxmn|qlQF-bwY#phAtDjgIMVl_0Znaxs2S@$P9^+ysZx8lT z)Jg6?5lTbyOMGG%I5XUTz#-|>lDm5L=(fsCyP3_4dB>hJbvxa$!9C$QC_gXF2B?r~ zevRaG>j5CbxrDizr8MHJtp9c?`HSHH8rCDKJt9lfs-ZkeX8nR~-SiJq+F z2{2X4t4`!PTY;3ej~(^yOI+cc%9xOlx(#A1_j*PwR}(b4FLo;Q*jFvm9r+u#yrg#U z{f1SPxW!+X=EeU=2i8@O9HlXDe{6otJl(Y7Op$V}9n-(+pH7#w$v0+&eKnY2f0GE>h33~M?>TdA z^6_|Y@0R`5CCFl0ex(>=gp<+fULt~%>v{Lg)7oQmr`g2{c?bI%Wywgc&f*04^gu_l z@0syn^6%kB{*!5#V)RRLiQ?8CB=KmBz$~9F*t-QSdEp01GAa=nXS}iJF}Z!D5FBse zBDsuSJafI&S!yLV_v*Q-F(*HZ$%`_9J991P%FLE!i1;a0$qADz(Vvi1eB=3IhCMU{rSf7W2{A)-~VXantx9J@o zpCE07lm8Cg^HooC;$%*VWmd*-Sf)?1_%Hx&|ykAEN3z5Rjz(H&Yde*;RBsL#Vjou;^EHw=}3>vbRfAu)9DYhu5`{?o;)3r z=ozs!FYGPJj$0x%tyy!8BpM<7$|ou=$fcSe8dqLxCQEQK#_!_Ch$}1#>BgyAwyYMK zD)FFV_&>x4@Ca@tNZJOeI#`iTcadD68)xxkE|G3aaZMHk%b%+@lG)^`pBwQpLWWyB zGgLB+Y{BbRO-?xWM~5+UK^@0zFLW50$$Hcu%pUnL%`zf3N(}OR{E@oKy4Z6GyUqr_ zUiK~4c27C6N>V}ZqCZRH&iJ3TsrnN~>Qh-IdU9fPF!LdrnAdmuog4Hc#1A#`-8t3} z8S&AM0tVcZU3+?!+AspalZH()`;*}Y#Daip;^TcY1_FL3$)4GSil?xP;u4H2FRPzb z!FF@>DfQ3sG>Aqx&*pX%-yUS0n6Vdr<421Qhaag8(L2*;4nD>?-}oko(n_b4bmvEh zhAV5xU7>4g6^VY;J7ftda~|PIOoN9A8dROB-(kD=It$a~-lWCT*s0ef>f;sLcUgFJ zPkNM$L$1gZ)nu8qM@|G!r5zep{<=bRAJn%|3du}w-_Vi2fJI@g*gbCr5a(h|3x7qxvSVv zk=Mmmj0Py6M3TRI(gK4s<)DjLtS-Ga2be!jCg+UM_%XMp%2e(bZ{~|}jn5Y@p^Qx> zrP>fB8?biJLdf(!Kj0p%IkpKU7zN7|5XYIl)rUyc)*OYREg0IEQgZift=a>Gp z-NTfCe-#a(U@PFu*Wt02QY)aj?)_R-mUNK&Y5$hUOHqFUbrPOYa{@(fVEEnRTTsh= z_!16bFbmr6)uwevT541A%M&PDkFrLq){WJw2sAlz1BBJpCMmJDRClZqmyIPZ0@%Gj z@BR+t->mt)F;BXBWiglxsX8$QqSCzKJSbs?*;>_Ch-KGUS@EbY^SwgpGnVF}Up{H% z!K$AIGGVCKPJ^3(2Nxepu~ds3Pn>SOR95w$}Z~(kd7$1I~}(f{>)r zHCVM{y3S4{#e6-2<5slsJCY`1yBI`iL;jZ3=UH1+ST*BXQ6kcB!VdEhP|1Wf67D-X zkoFmZ$Sz;b@g-#iAMPW^0J~Oj@0936je&uZy{IKoWg9;0*+w)|FOA>kYRAb%k?N@1 zhL4x7LFxC}o!UqP^ulxU3N1ed7C+=ddRAie59`7dA*QC1wA%AQTzfy&&g(JpqU1SZ z7;c?wQHt1ccW(6*JQtP_9fX6`#0b&B0|Oq=#{;n0fhkcwC6i-dl^mqVXz}FeUpGag z1C@cNk!g+4w3bFPEk~R@!s3#6?j`r!x{XBNRY;grI=7Fd7pb(&Sq>esCJS@b{fM&L zw|!PhA^S~qKFm!eMM1H#pJ{(i#zSEk&XWGPyAq-D*eN{~=vdqLw+)<_LwP0ASzt%0 z+$t?aLjW1{0Y||pA_CJ~`rFgO_-0|wEvHHpa41T1p&#%pGM`#sA_VhdIzfPla{i=U zqf|_JyX;m{>}bYVoH}+WTvX|>yv$u+0PXBJ>4I_`Y43gB>7OFxxW{VXwX=Y45hyF@ zemsV?h|7lmpk&)!UHsUcP4bgPDc4}L9ISBc$U=$amm2?#AMp;pLcXL<01_@Rbv#qa zy)bZL`)8YcuqY!t2mb%7?8@VzYTK~l%~FasyrMItP?i~H?CaQzn6WQ;$IQea!^{{d zTec7xhLlitS+Z0VA^VbjACtU7h(aPHz8Sr5Z{PCI_x#T9oabEUx$gVE?(6yU{LZ=0 zF&)(U$O<#N{K!h*=}zC|iEy5^m$9${p%qof6(_oXn%B|p%lVR-ihh|E(74L4D=|Gj zO~OlZC49oi#^!4!n$g-^WF{ zfU)g(=NSF8snvQF)yNsEE}4Cd^I^CxXg)g8A>>AagxIH+m?GM#N+3eo^#i7|DE%&) zXUO}w!U+!JIpGtSXT_oH+@bDg*a?7-q08QYmBH(YOCw+Dn^V6Tbf33r)EtSi;uUlX z?>4fjI0Aw`5fLmJzs|a0srMlbr(W!`=V4}}gBu`;esqZ!sdRR_hU!D9K;P;jEP(XM za*Y||Z{bGDYdz&^@}i8N@0G=vS=)COzUim=04ghBYR@61!^k1jE!UVUbLr2ExA?}>*r**tEuv+HF}=^sz5oXE;I2>o zM{22gV_0m50xmE8+AFmGA?yR2uo>SO2>BRWla#&Sns!rkYnz`kT*Kw(pn=T}U6B@klZa?4{7#yz+ zbdXn`iw&#?zcbMaBns6ErOVH&QAXyl`wBt>w_&Hhbk}Db9Uhk{o+!rKuEpw)t!Bz5 zmImJx$*y{;0rOq{Y6I0cuPdAI{B>V1KYo=Ws;N7RP%~Cv ztLHFVoDmd3N%7Q?18W*NCT)f~3%a5v%eri#+EBYo{4 zH#~YqSKj3=DC1!p#^Gl|IN6b@f>t`NjTf#3~kF>68DzV9mOB$ zi7136ESz6?+vCiUraN$Ve?9LI4_96FP)EL&Ye;ZBsE_|O>rQB9E;Bc`tWIKwLCIko zv3$(W)DpDe^6JtCd#>9h;o=4PS{_f0+nzq#=JdHq?HOn2*MX<76;OsVjNbdr^0nv2 zwHinIuUWD`DNlQ2n1#M0MpHRcR{aH-FD+H5QjYu{E80kP3J)$OB}}PRV;}33^clAu z;N^Z1a7K(Op(1HRFN=4mrN-0nNC?~<9vzxT8FVtb%m_W5gQ>`&#QWpk3Vu6h4S_cv z;4HqJ)6wa5{xtX6#d7zyg$f&pZb!TXXQ{buqzzi>b?F9L+e_B}?)3UfFW`7PIi42fpwTN<9bfXlTV*)E z?PHQu4m_F8rjOO4eQ|=>pGK>_+MhNNRzaEYcQJz_edGDdgFPyCAqg+&6LkK{V80ny zbIf~Z>zo?1ZFYj0<|B7{H>JYkTa0f7OL*&2b-_i3;={-U%ISHJrt(#B*|-%;V=&@+!4mFlb)ykx3zf;_e?l z_Hj|^-2BTZFYa}_E^xzK8HfNoH*@p-5dpzZur^RuV0~`Fdl(H*ynhs2VQ3g(mz6lO zTt)!Ryl&4*lX<=9SF@FUW#`X7f_#I|?sT(y9XORDCfF1U5LHDVbM`dwCpwMuBwODW ztbZ*btqUTiK5ng3&!}6Eco53g_d$rZVSzXc{gRg6FPyL=KBO@lDR3y1t*jGCaVWRw zMk*>l-YEm?9$Aiq-8rcd$)Q21=SXsuchZg)15QQWUR8*M?2G-F$JyKL`bai--tx68)Y;V(6S^F^?4$A>;mtc|8Mb!vRGoH>%>MltR z_YF&_S7-Y`K&AL3;?_b$@M4%#LWr`R1@A<@eI4&x8`p*Upf^Td+U*9kReHXa_}U@2 ztxWPWd2uoE1j?G~m$Cg`4`(XS4Mtv027a zMPxn`x%tTma&wKwZM$ifGeuj}3{BjD>tmad!;K(!Log(DF$%1rv3S~_HVY5BHg7*n zv3jvTY}5ZH8!@}-XeBjZL`^Vk(V~4@wejeLujD!U#ZoV7Mhhgk6(Xg(mGZ@N4}bx$ z!-r-cKFmk9w1wF_qDSXvcv&zHdF-;nDAX778<#_aN=#KUY`=;UtfRv2eo85+2f5|* z!JmF>PMm;Q>6E(&-RmiL5~eNojy4Hjks@d05vNRfzP(53c&j0d4}?Z3W+{pGEQ)Ig zAJg)q^z~+}OS9E2X$3?zeu{UJ)=Xdc+&>xugf@uR4ixH#%-2A73|CZ7B9C8lt{aQS zQ*Lp(Ka4`w=~KD<$5sP@WPkb`w{TJn=rorqcXZ2s5ri+k6T=z`n>L5BEd3GldS9Gi ziMg~O+#Y7xfyqoThS|P|Y+fh!zPZ0zBD2oRE#&rv3p_m->)qRM(u9u%fyiy&Xo|MZ z?|*_W<&D`sPN&VTwhsvG4HKq1rCWuOW_=&28|mLYaCnN$rkU)TU8r)QA??dVO`N{q z7en4l)`e!gYw8~pCts!cXD;lSfW|6p>CIL-#jZG9Y{sgM^#^VS_)2(nrx>R+I*fkOT9)K;Bj!sAz`ADxiESe67EvRjl@{47r zJt7AbsS*r|6I=8yTw9TPO6)7}d?a)9^TB?^P_FjyH3v6x8~^pw>TcRPUFIEC+TVNi zzqcH^%6G^#bd&))6HuNmI5bfjPjHry z*iB`b~%aDIKR|(k5Tnsz77+(A}02mZ1 z2L&zzKQK8MRF=^x<^Vi?Vh{+!qkqE~H(*A4{taX7Iwht5!XQfjy$%XvRR14bm=a?H z|BaCdD4aW%uxkzycMZ!ZnQ1-(k0$|4;Xihnp~q!Bz_el4S0V{TAnhuYgDJ?vxWvSC IFY0mq3qvNW!vFvP literal 0 HcmV?d00001 diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.pro b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.pro new file mode 100644 index 00000000..407e4eb0 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.pro @@ -0,0 +1,35 @@ +update=19.04.2011 15:54:46 +last_client=eeschema +[eeschema] +version=1 +LibDir=../317Regulator-BuildingBlock-ModA_RevC +NetFmt=1 +HPGLSpd=20 +HPGLDm=15 +HPGLNum=1 +offX_A4=0 +offY_A4=0 +offX_A3=0 +offY_A3=0 +offX_A2=0 +offY_A2=0 +offX_A1=0 +offY_A1=0 +offX_A0=0 +offY_A0=0 +offX_A=0 +offY_A=0 +offX_B=0 +offY_B=0 +offX_C=0 +offY_C=0 +offX_D=0 +offY_D=0 +offX_E=0 +offY_E=0 +RptD_X=0 +RptD_Y=100 +RptLab=1 +LabSize=60 +[eeschema/libraries] +LibName1=317Regulator-BuildingBlock-ModA_RevC_19Apr2011-cache diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.sch b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.sch new file mode 100644 index 00000000..73187912 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.sch @@ -0,0 +1,206 @@ +EESchema Schematic File Version 2 date 19.04.2011 15:54:26 +LIBS:317Regulator-BuildingBlock-ModA_RevC_04072010-cache +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD9407 +P 4800 6700 +F 0 "Sym?" H 4826 6496 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4676 6934 60 0001 C CNN + 1 4800 6700 + 1 0 0 -1 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC1 +U 1 1 4C308F6A +P 5550 2050 +F 0 "IC1" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R1 +U 1 1 4C308DEB +P 6350 3450 +F 0 "R1" H 6350 3550 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6350 3350 30 0000 C CNN + 1 6350 3450 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C308D91 +P 3650 3000 +F 0 "C1" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C308D51 +P 5550 3450 +F 0 "C3" H 5550 3600 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 5550 3300 30 0000 C CNN + 1 5550 3450 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C308D40 +P 4400 3000 +F 0 "C2" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN + 1 4400 3000 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C5 +U 1 1 4C308AD6 +P 9050 3000 +F 0 "C5" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C4 +U 1 1 4C308ABB +P 8550 3050 +F 0 "C4" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN + 1 8550 3050 + 0 -1 -1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C308A99 +P 7200 2500 +F 0 "R2" H 7200 2600 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7200 2400 30 0000 C CNN + 1 7200 2500 + 0 -1 -1 0 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C308A76 +P 5500 1400 +F 0 "HS1" H 5500 1600 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1250 30 0000 C CNN + 1 5500 1400 + -1 0 0 -1 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D2 +U 1 1 4C308A33 +P 6650 2450 +F 0 "D2" H 6650 2600 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6650 2300 30 0000 C CNN + 1 6650 2450 + 0 -1 -1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4C308A22 +P 5450 850 +F 0 "D1" H 5450 1000 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5450 700 30 0000 C CNN + 1 5450 850 + -1 0 0 -1 +$EndComp +Text Notes 750 7600 0 60 Italic 12 +Author: Bernd Wiebus, Uedem /Germany, 19th April 2011 +Text Notes 7550 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.A Rev.C 19Apr2011 +Connection ~ 6350 4450 +Wire Wire Line + 6350 3700 6350 4450 +Connection ~ 5550 2900 +Wire Wire Line + 5550 3250 5550 2500 +Connection ~ 6350 2900 +Wire Wire Line + 6350 3200 6350 2900 +Connection ~ 7200 2050 +Wire Wire Line + 7200 2250 7200 2050 +Connection ~ 6400 1450 +Wire Wire Line + 6050 1450 6400 1450 +Wire Wire Line + 6400 2050 6400 850 +Wire Wire Line + 6400 850 5650 850 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 8550 2850 8550 2050 +Wire Wire Line + 9050 3200 9050 4450 +Connection ~ 4700 2050 +Connection ~ 3650 4450 +Connection ~ 4400 2050 +Connection ~ 8550 4450 +Connection ~ 8550 2050 +Wire Wire Line + 4950 2050 1800 2050 +Wire Wire Line + 1750 4450 9750 4450 +Wire Wire Line + 9700 2050 6150 2050 +Connection ~ 9050 2050 +Connection ~ 9050 4450 +Connection ~ 3650 2050 +Connection ~ 4400 4450 +Connection ~ 6400 2050 +Wire Wire Line + 9050 2800 9050 2050 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 5250 850 4700 850 +Wire Wire Line + 4700 850 4700 2050 +Wire Wire Line + 6650 2250 6650 2050 +Connection ~ 6650 2050 +Wire Wire Line + 7200 2750 7200 2900 +Wire Wire Line + 7200 2900 5550 2900 +Wire Wire Line + 6650 2650 6650 2900 +Connection ~ 6650 2900 +Wire Wire Line + 5550 3650 5550 4450 +Connection ~ 5550 4450 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.svg b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.svg new file mode 100644 index 00000000..3607717c --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModA_RevC/317Regulator-BuildingBlock-ModA_RevC_19Apr2011.svg @@ -0,0 +1,8966 @@ + + + +SVG Picture created as 317Regulator-BuildingBlock-ModA_RevC_19Apr2011.svg date 2013/04/21 23:06:07 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011-cache.lib b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011-cache.lib new file mode 100644 index 00000000..18ade8c7 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011-cache.lib @@ -0,0 +1,229 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 15:59:19 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 +# +DEF RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 300 30 H V C CNN +F1 "RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +X ~ 1 -300 0 150 R 25 25 0 0 P +X ~ 2 0 200 150 D 25 25 0 0 P +X ~ 3 300 0 150 L 25 25 0 0 P +S -125 50 125 -50 0 1 0 N +P 3 0 1 0 -150 0 -125 0 -125 0 N +P 3 0 1 0 150 0 125 0 125 0 N +P 5 0 1 0 0 50 10 90 -10 90 0 50 0 50 F +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.pdf b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.pdf new file mode 100644 index 0000000000000000000000000000000000000000..ef29ec1d490b9a5a85a77eae7e5c7dade76d6ea0 GIT binary patch literal 38076 zcmV)UK(N0hP((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58tNi+(umAl&umA0T|FyiAU;o#u{rX>i z{nuYbOZ<=Wr=Q!e|MT~&9`9o*zx>aBwD)@b?d$&D&hyuQ`@etvr(geTCj1?Zb$uW0 zm;L{JZ|_>yU%g%wYI#VZ9R0nO9nJXt3xE;*dPHM6-nE>+*81MJDm0euT}}cIiR|yX z?3suG^?aA@q9H_&cF6B-YYw#I__bbgzWDz7^|l>XR<-`l?ZdZiNWeVoN9%J2SO)-Jz~V@&|N93@^C zvNxkzl_P2+%S@;zgaI{)-xF%$;*oACN61HhFKZSMyBiWB@PdqTA>-J|27dzdw%!m^ zh*y+a-)+fq3YCpw6H469^IdzWk^i31qE=3|V5Sxqg?cgHE7hb`F~d5{FJq@bxkkwv zz)O&J)?ZWt0d_Gji*o%%qHB@XrQeqj%L*F1S)`^iBhjiBBGn5;l9rX4G)s9o)-9EB z=1BFqNTGZDIiY%}))gs)O3i{)MIp*@B=!QKTtjOhB|&=6k`?3}f%e|_LAIh<%a(;J zNZt3LdT1ZS8wll_ijh;0ejuK$Z|^0|g8q9#Y5RU$6Syj+4A|el2uxU+zVGkW)VwXK z`hDNtn`!B`$Y2+$M@hhQ=Ab_OIiWsaM*4JVnY}670RE(IF=D}>=!OnmFi7A5*?sMA znYr9v>{qvKC8inq-L^BvK0cszcnNau$%5=_vvC_hx1o(PUMY!cE1I}tZc+Ff76m0R z@<|f5;l)~^Gi+A|wCN~A<|gckH-xqTL}?2^GzN8if`Lz&g?T{pGtzy;q8RH2Wy+2A zA^_M67Q&DYx)}Das2mCz4a|sffnvewgtdU{etCUM5doT=!0%@cg(+4k7p+}A3Yond zfu}^uc3fr59r`W0x7O?T_O6GBay1VCA3P#@l&kl|iz9o7{A;Uo3?9q*-j6eJc$A`} ze~LvRlQ-By!-?S}%FVKZPo z4LDbwQi&Xwa7r$7ymzNzM348`q-9sNdlz$e%@U`QkU3`!fWNy=sb9a?&;%T3S3ss= zYlhX*b*@g$xWrt_2=qO->spa?YX_+A*96mYy$~u}HX&u`V{{etajLUKZ;rjB-V(i8 z_nx{-5Op-sT>{8zGxb+M=rES@4hy>IF+mnx38BkGtv8+N9SHz^6b%lf*m{b+7FD6! z*cfzM0<_zr2>Xq6(QkKI<>t+d4^!=S&H866Haoo}kAj*AQ z4pk~+mQ$$i=N?KWK+`_*#bCR93$Z{(1V}x4F4NzaUzDkKbvhNWdfPQC9j@Niwx%JP z)OrHo4`nEUiP;4T7Gm=*3=u$|LQK+Qmt&U&Cs1iw+Azr>I&^EuPLRH|EW8Yv^r9HV z7o;J-sGb6hMnYi0NJf-blnQZ7$guAhm1}j}`_=KQ`kB{q`I<+1>*i$ieKijv1JZ=v zHvJD*d;8Uhr5n_{x!=v!Bo}h3ha<=;0hxBm^eFh|axQv1-n#mJ32FJdw^KuOxw2E; zUvJN4Jf+1p$4ZlRA!9r!oq?;zF840JQ%K^_AjH+)^W3zaU8he$tTXARUx@IgRlA=~ zNRZ-;Td~R3m~SD720p=)(5>g1fv$!sB=ZtzFMSYSSN+Q(zLq(c)=D>+A`8-&Ly@j_ zPTSKZ1VgCns5_(g9&vRIkhM@a7gtA74>-j2gv@bq+#6IM^Gz$7(C|=#~o+pE-Krs1_c`z^Je(*O zC1pF>rEFy=c8$B<2hvT=QyO>D#M+YzJd(wwZriR*$Q&rLxHRe52C4fuA&hRrBMW1s z^G&Hot=ZIggK=xkx|eKl(l-!A*Qq1E`5^RNu_x_0R~;x}PR?R|KEu>m&1MFXYWhcG zj4#6SUiBQUCCmE~s1?(tvOcc+nzHi5aJfOPXLBQdQORA|#V@M4Ctm_>OV8%!Ld5x{ z_VvsAD~nAYwu+Tcbo5@x+CXOSY042`ReMB1Y!(sDz;d=>niA)4Pjta!s=3pkyUySf z*|-QQ%fP^8h}Uc`Ll7B}AW|B0BAI9#Tn@n&-6X%wEJIlZ(W!(AEeJ-2 zTas;Ab+H(bAj>{EZKc+jc@&@~r4g!i1ggzPVGsn`TAsA@qms2qkaNwGmQZd(FKBD5 zHvtJ^8t{D5+Lqmd`<%2k*-4Vl?Ba1foV276de;n;5Y~`NlKG_zTfHIb+prg20%`n) zY9h#aBu$D>PWM&?xeDZ=DbTv+@hc6IU60f`yA90%Hd9)bdBV8_A_g73fU|CMlfD$P zxz4y0GDYLFA%_dFiH(|mA?EFbrk6^0Y@+hbddUy}U<0n~A&lP8E;u~cX= zFF6suBvZ~jT4al7FdVP=DA;h)iPfU(Kf1S5$<3D#L^jWpFCkUi^gZEAY-=$OD(b$>+B)aqT~4)`d=gm~xAgpL}ipyb}*c>7mt2?(w0#i?^blaCojRDeK zT2o}AG2I-m3)#(qPT!n|1L~~tlMg!IlzP;f(=6k~l!!=Lb6No5LVT%pA?Ao5SZ@@c zWQn{4Qv05Y?Y1RyA+qc?WYvY;<AFhHbi3xFSaYoIBptLcqysK8 zVw{HDA&m(MUdFi(S^;2FP5n|pr-citiy_rkQB<6lKsw73>avq9J{*h9wPw>y83D|a zu=7|`AgBp__Y$b*uJhmQI2PI6rzh2&em|=Q#MVuWy6Rp+k}r@MOOnVyFgq0%V%RK7 zl8~-pk}Q{Q%QGJ@_KhaeT*$JI$mXH*C{#rk@! zG1`_?^br|P>xqsaVakgL#~4e^bk=&c%d2vubRiD7aY>(`?rrE{k@1brcf}qx=P*Y) zaSkN-JUx_1r1@l}`$fyuiU)vb-7dtOHd;U;G?FaE;Yi9Hu&h2rh9-v5zH#k_I9a?;uiIU?MQdKR(UWvA68KrSa zZrg`q8v|1yeIdGnLMTltTYH@gU+*%(oh^lR1ld~(f9%`hk;#cr!7k}yODtS3x{}0I z^TL(iL`~NM=`4ekZ*;yX^{_QiFN-<}a^{G4{=_9L747?=lQ%lw6??dl+V?eSpsaw# z{gYC4$(DcLceTo@`|oMT;6ijSj7#{8$nKUQRw{P2K%1dluRLP1SfIt0K`D&KV9Nl@ zuh=pGpIl`I!dNp)G0IKS40E%3M70ZIqXfVw$~;`O*%A%GeE?J2a4dvvxY8J3NBcX2 z@D_2$qAo?{M>5|OdeoNfox<0@V|^4`WBy4f);Yf+BQdCL(BEt2kfIW{l+Ycx02WK( zO$vNLW-qz_M}&HYVxd%^oHhVadvJ*sregJGK?C7t1goFf0IW?E|*Zy*Y9P8!WOLf;j8)SmMyUTJ{D%`ToA0F(5PjM@Ya z)pfa9fNPb4UDwCLS`paecmXm+rEwE(GYhavuy@EMxah)lnV6d|Vet1Bqsa{F#&8M}~Q!}5C z*{k{CiHXxU9cE;)7t2NoGDIkgeKGGdWN{(7KFhK|?Gv(~Tej|!k@H(UEDb#v@Q{cf z+i682gum5qlQh#gBG{%U5!uPNa7}r<=iokBuwz^F*Y9?iOBP@3%bFc9esd@DU8#p& znxvP4gWnqcK4gxb*y>n{&Y5>G+(ck=7Ju^PhO$KU21q9N+l*KPOL=|l*lC{8#f5o5wR?6A zMV>x!VZilE!WhVWQ|M7!x`m0HZ)=SDKo^&#A@i99qu(%p%!1Lb+ns2A~ijfQ6RT-sgTUOCl;yKHWp z_{p8jH-#Rx#dq%#LvD&LL`L5F`oU7ggUh5_(&EsnF4!DH>sUsUDrzR15Oeolxo(N>KemLrXu4PsN8~q)Cz10T zilSDVvy&`tguW{_)t-XzJlj+JoJf2V(m;Fg%?F|Hiap#3)GeSGA97@)XNBw4^Mz7X zr>*yi=t5JIvVsjcHK&?DHb9!48px{YI=TykVj*adjvhn((PM`uKod~TG>I*ha$ZUK z;UZO&^+o|JIgiNr$~KX4B;<_!LLVQ7s0*jLLM9zlUY-Z;Wf84jQtD*i>PnT{ zp5hc!*u@H_T5;oAedp~FnZ+*n1`dW5hx+ zv`CtY>$Q`sXz~=F8jwsz*IrFj+eo%Vty$h@SY;y7U=-t!C287a)J{ow z^P2_|$4`xikT2vIyp(9*j8*TO z*}pJ3vUS)-!kZ=6rZzh=<%?~LC9pd*p#rEYox1*-}RH#mX*(pnXOX+p(oA#uBgk7Zzg+kAsXgO4iq686c(dz zM$*(cR!^0?5O{Q^a@$6`ghCt55(sZ@fKmA?AeuZD3pPMHSnYKBDArLz55;=eND8SQ z!_bWDDrWTy+aEj0}+Q@VwCjaCiAw8C^a$h%O%y zxXe*1mM^5u9vx+tS~#`7I;!p4(=ZX3QQ~898$&7pXqe25U%{J$`ieghDNDguW~Gs6D&onJ2Dx3vDNH zZ5}I%o^WK!OE?zES_FjZ`P`0hv%glnM1atGkI*KhmZ8ovX#fllt8VeOK(^ z5n7FsbJP=QRHPj}f%?6-qKOHBkROjKJ?Fbb056>mX8X3KTLKK-20=92 zCESL9z-SbMFoHBBCWs#4i}_2A?H)a^2Z?Z~vDE`&+RYIfwP8F)i{Li|Qg|*FrRX;V zZS>DwYN?Ggj)R8s~!^CAqu%%mX)W97b=T+!*nEh;))pQ5`?yOko= z9RY=MoK!;y2c#jvs2-L^5Nr>a^7=vDRK^eL2Hm0ua$?Z|fC|SVA;FcJ>@sdN?+$>A z8H*v?S$lSvh|HdjXO5x?V#}Qv;e@DcEXI*Y($qCp z&k?@X_mXvOtz3Me%snbEh};vF$2cL51{Mo0Ksq?}%43w@Q6PsdN z+lIshA>&9A@_u*nnw{($#BPb9S?*|I$Q=k2mxV(ANt#kd^+_+$=owCXeV4pC-+HlB z4=P+=ZtEvO5P`rzm!n%t-u?@OEoL!}VUng1M(q#F5a0gGbwA$z+hh}G zO$*yij*Ycp?Dg*XAJ5nCo9?2-942%Ta^<-1r#tmg)8QU`n1XIMIp$)!D^3V~cg?|m zhagZKN(T_fFpK$)eo)4yf7BkjjW)&r03QQlyrNjhVDEkDyPhnXTQTasH#3m8^A@gI~7lz7Qc_fc`*q#>z zky)F2UfW)L{-mnN1(Utxd5s8d6-%M_l#s=E*~O?oiQ}}m+<+J#p-&fN+p)Bjx_>d) zN+Q%WSSj6 z4YBThKK*Ok_jiut^S6hn=15oqnE=C1(5ax5x!;b?g-yT4@4N)9jiUU zz*KI~juC+BW0dT4!UGxn*C&k2p2sj80ZdF0D1x25?I?6*T@DvAq`?S~ws3@J*+yB{ z$(mO;N{hcaB*?h8ag7q&$p|Hry^X=)djIXBR(Mv2f zqvFS*ci~4AU66Cw$=hUKU>)YFxa&JUSz>;%Q9?RAZi>(^NMe@?vYurSA&}r4rMQ+Q>0lhSlTS1- z0mj1=<9_WVM-q`4)3nR`2tx`~An46qj+PAbvmiOTC{+)6O9G79>e1c_-;!4_$Rj~| zizhFiSSz;l{6NhBXzaiXiqhNggB=xHFI->i#c6DLBdn#0D}yWke8jlNz&Q;z}CV``egI`2!xkZq(W%0Nqy!B zPiPy?(In;alYs%)VVtaNerQHd65xEHA;F`Uism|9vdF5zB3s8YIIt6Bi9kP7Ysy4! zr(1(8iPaB}l5rj=48%{vBw64TO~`^sG#bT%F^~>vpn6K9-8=k3GysG%%dq1)zmMo7=0u1#Yx&!{Z6 zuq$>M_(jEmsfP&fMDP|Akbeg%YuD9IqTjx-7ye>8H`s-<6!iD55 z1Oz@)sj4^f3nHH;B((>02t5+1ZET=&5vn6egqG@_8|VnXc~sS*M*~qvsseI)pk9!E zYy+c~xE{i|2%UNkF}3HkeS;B^A(CWxAri3&(l3FIbEu!l1Y=DOUvGQpr2z5-bWmw! z^+&KWBL51#Y(+x2ds0k6mAu^=0xB8?lH#Fr{)n7DydhW1J`}_jQ-X*SAab=eT1IT0 z9;G1ZSCGa_YEk{+i`-};kSCm0TT&!Wt1V5E`Z3s&=-47TE-={xBkY;oJ|ty#TlGXv{h(BKb58%$r|^$Fc5|DfgHHoB71 zUbo?VymdfIcLWZ)Axg)VJ^7r5@vvpwdWk?!;6cZg12^xt2f(4F)T&R*7& ztJ+qZzRY8^1J^cL$$t}MwH7Btj?MV=Wiwh;55+#pq0)k&Anwox z;wuNsHX!i&K<;#ZthwEmg4?PaK)-(l;voYC$_x};Qa>3Xu1G7Qt0hkZr|>4t*^_ z+`o~Xsh+=)Q#DJ^bZ&M1n8dNpwW}0*SX&zxw0SQ z!PnuEmZr&3g7jShA6~1ysGR`~zFdH9zP&ynX|Cig=xo0a0SB+?2mR1(IdwEi&Ybz7 zy41Q52~ml|Q+=AQ49^r>p~=WSI~-9{k|C^*_j!T-ws)9)-iaYw&;z zeuIbIH!lUzaKN@B)+o+&ZHmA{3t*y1+qu=t^* z67N_SYn&F}#3yhp@0I^pFWFTOV(-NqOD`Q-fg+2=G7mMRF_B38MFW>I4$4YrUhVJ- ze+2G5CO$1y6QbtV3id6RjUEQ{8k<%41OJ`x2y=VV&+02s( z|1uRb{}SPxy{fTt7dbcR$7V811(o^5UW!m;59BKX<20gz=<1lJP6_Gqcsm&NmOC|$ z8=?W_fspJ{3t|Zj~sU2^uZ(AtKY=(CWw#8jGk&I5q@=#MBWwnHRxabN3g>3ufTyrdi)mM+;4+!^hG8;cO ze7bLZ6?Oe&_*_f0y2a2Cp!>jB031W;Rmo@+5M7tQ!8{=6Y`P-8K}+{$ajC02qso^E z!6{m}D|od>o8x}NA^AW;|V~-L!PoPZQ!B$_=fi)Tvc!0 zC!aQxxrqPq*4owL-AxJNq9gKbhb|TJc-jCwB;tK{6kjUck;i0ok$V&bMsCPMGR;+X z*ZX;e%!oWBvzsfrTdIS(HyL@%lx?m=YwFuKrrbi^frn(VJ8lV+g6Q()SUhHQ2WGm! zH;2FLf7KXxi9GCWL=j}-NWD$Z>l1lIxck=rYHy+mAeU$g3rn{+ z_#Jsj*S1ZpkP)_;+S@lLZoosbI?E0{2hDR=@&lP7Yyoy#ib`s3$};#s9u6>zUt1!~ zcjNSrN3Qv($J;kyM%jFQgG|x2eKQ0I_wElrd;pJ#j-pFsv90z2JRBAlI76H#1_489 z32`s%19_+*HVUF2UAoMfpwde!mru$-7Uln8Rhws~MOC(I6X_%Y*xKU*nCj`pPe15t z^GtIqo3b6AMEr?NO;*&^X71mKy?FGbEa*=R3QK4zv4p-uGaVNTTW&I~zD52$V#5|o z`qwA)P{tk{-1X@n83~%8!-Xy*@L2dDFy-Ci0j?36vOKG9Y?;QMt1WBY|7-3Uo7XG9 z7pz7RhQeIg{7tG)dq&X7n;gkCM9?v5T5Enx zC3cBD{`&zu$pvK+$Er&V%dXHE4|_Am<1nIqL9(AXcoq;g)FZ6Y{e{qo5JnVbtbRx< z0W{;adjBOqFa~1)9t4BKnIcul|4L)Js>0@Js4~6*+gcF=G39xEATy&p@qoZ~Xyswq zeMy-SX9rsYA#lpsz-2L3^&JueefVfZ9WX}*)g$(wBjCji14cQ-4%+5g z^xxw9P`f`M9ifFyk0W~gU31*8kL;~4sei9)(q=&riQRpxj3FK-W8aWRDZ<55DfKl1 z+WUBb7Sx`(j1sCfe>_Q)?YYBB-eWD zKnd;eiC|C#OAA@(F72Kl1=W0on#D)3@z5aAdOd%{-HMD@^{-FpVW>5yd0Hkb(ucs< zd5t+wOe)n|xTaC)8)KH|6(4Qf2f=>w=Rk=5@szCG_toc9NFNhps9tEN4`&R7kOq1+ zg~lUhsG+^B(PVGFEwhGQhkF)TWXRL{Gj4jM-!tP;YC^nkO*zWT2~ z=BAh(!VzWm8#+0f{FgIPL^9JiF{O2%cCjXiX%9<7PJ5hWFGzYRPY7sr-UYKo=69af z%0mjUfYlWUs}0(u-H!*$$vB%(yFKdrirFB>&g#2CG4Wxbc;|fD79FRW*D#nH^)J}T zGT`+%AHNB2wdA#+Cf6qYiEQw{LLRPGEw{id{qJN+VuFCvi(VGKM3|v1uQ0Y4aoEpK za)vZwMujvuazhi^o7n|x*@ih45`!s;6rtouEzGC0J+<&=@DUT#)BYlmM9B7LzS)DM zZQ)uub_PS~dv{kHkyY9@BH`M3U}Z(V^fkaN&jiEi#XwcEwJ@YH<}Bhld~*0;=Gev3 zGb)4K%C4p@j+wGcBnil4bm&zAVHAAzkO%{Ta=gB?>*3a#^AdIoW+GcMKj@*ip(IBs z>^y_q%()0Ms}JUsc#7-;N>y?eibd2tl)++j@+H;57lrs8w&*+1 zPt0~io^|@jJoN9ERk6 zL6c`Q@6Z#Qyqmt4RR(Q7GYjM^kbda&cG*CbBJx4#>(_tzhWMjd!kkjj{!KTvT+4JD zn@T37f#4sS3-UMAEjO%4+WK+&93-pYBH@Oon|?t?Zg$N%z(BqdQq+jXG%iTreHmpd zD}fqAIue;j3vEsm^D-jh3q>g&vkTh6Skw;$ZG6b8s8hMD%-WEqC%jA2;F+J%V6TiS+GrFGYy69ltQ-8FbGkOxKUq0{+@h{qennqEYeNxuY= zSZb~xNOeg*5u4nFcW$ixVr;dm%TnzZBA#<%OrE6|MP%i#fF!YW9f~!-zAH#Kx6o0& zt5L>oXmzUA zaXQalfUi!8oHz;-rB18>W&MZyk+BbC~f5KF*l0!R~%0Ms_1sP4{ zDvO%YR0=ej%2k$7WxXMzsT5>$U2#(>&}b?HnJ|^BoU=P)3=3mBE!<;$k3u1z-A$)l z&acxtzggAoHN;FOzWE^ZU9m^)IcYm>7zZ+8tTGuf%0|XU1`M|#vJww@tun>eJ==eQ zWKo-n$xf-2+RSYR@K22NRYOG_w$j`~y^R>itE}^nLkFOxS&4wv#UY}-aP*o+sSuKQ zs~{GkA?dzg(>x((aV_;?y|}YP(!FT3B-PL1E^U;^S0FEe#Er|vj8{_rhogJlmVe|p z@46xvTCTl7+Nom-z413>+>4h-c9uQe1SJTTIle&fs$yd^kQYuX=3;gjN|0^E?x#BP zArOyfs`zoRDWKbO?Lz9d4W!wRsQzO9TzIfg8%cSPkpWR=S&RY^WZ$1jQ5GIj+q zwUlh3s|N(J?LiW*uFQd~mvOLg086ci8G!JLfy2rv_uZ~(3Pkx*JxImCDJVY@&u;OY z)H$05>?ftX*{nE_F(jnC>8Ka+_@I>wl$3`G&5hdYgyte_7hQa6Y%Eu9@2Aaib=Xds z6e@cCuw1sO3u#9llCd+IseQ+)=4Ngj$+IW@$TB7}MW(xiqcz>Um5|oa*?Ddj4}0b; zI!_6yi!NPt_%{Zum^fK##S}owD|fY6J=L8}48-pEO4@!{W@?ar2_zdzxqcvQB1%yQ zNx5+1xJl@tST{X&sC4Z7{$Y0|Wg+gmW0eKHYxFZ)xeL-D)ldvp*QO-79aUEwYem2? zA+3Opm7>8qEQdOa6{V&|#o-f0aC+#`^(}LH+LWC+wPX2Xv9qt4Q@P^R%`QTt zfq+w#3Qmu=+eSDnC^W;UUptaUitl|Vw*1bH#Dm|158opnM1~bup>|`dJCkZM^S(DI@*|2V_@u|Fg6>Fwu-VNYc}g* zUG#(5u%`*;}@aiLo1JmzrTppI1Q4D#3YMdtIN$+*ws%ffm=Aplr`YYQxLC%4NZhNv}9f;7(p-@;k5T**U zZYmR+7i>38!st+4?6Z6Bq+4aVs ztliuE(pm~>+j1of;P?W>sB|FM8DX&#u{M7YOArqWOKzwB_85=9tEGsC5D$CB zC?Euib1}OCDG}av_ay4p@UkQoL<=(lE>J8uwRktA@i5>F!n;O4f2XRbffrjclJ9)T{ z6?0o!qon|*lC`PopD z^O~+EK4v7dXI}@x8dNH8nCzMcW5t@<#CT1GBwsd@S@RtZ4;!;;jANvdkFGy#Owoxn zlfikHkX}invl_7M99A~;mN&1B|)K3q>`_j-o@XC+=##xssp?3`oW})NU&lDLw z8kA(-{j5*`I6xC3$0?)`IgZqX$h%)I6C%%ui5#Vp$U!=Dg+rA z_2DrktW^ih?-;H|H%sdSSbdPqn5kaXF64>|pZUT!i=V8WlEb2r&m;)R#qG0Bj;|eh9|kbD6mFFFiS3^qMT!|t>C%!XeSap!E#b(6I7V{qNt>L)y>q}q6gDP-6< zRpFaeSAlcd-!%B0>6Yi|l2LWl{jp4@4)@PK8!>IsMR*=D%bXf(Yu58kZ`7K%@cIQb z?*p+ylNIf{&zfqwsFd<}rHVrKesYqYBjHe7z}{OP4eJr>!c*7KbCt8Iu8AbM@=f!{ zzM>b_{XU)W8{T^(&_3K(BS>A_t=Lir%2vCNR~^D@$L&gf{(eMs!NFo2!JwR^%Gwj+ zElj1HRZ<44Q!=z2$u!6vNk->FY;;ED4adl%g)MochV(y}($>}AmJboGd>I^!uJHHR zo*LIjpmuvnF1M$0Z#0U9pJt>h-9*-3KE@l6sgbhy$R?Eq%R~R7o*XFt36Y1ENPMiS zH#hx``AN>OwqXvd2QQHhgm?U**v<dWtf|5$H`eRVL3hCK;xqG+IS!Qwc`-1Kjb+gsue61p#;*Vens`YAI7`cB%G!!6cb> zU+Os$vy1GH9$2pG>~bNk@`4jn%bChqRpq+{Kng-UlvmNr)g;owcR(ri!bl7{rr?fo zc3wuTe@jG|pag~2)<98MJtf8_5@DKu6k{G7NmqZ7fz?-Bjmsw1xZ??Y0194fx>2_r zi7+J=8@@L||IChJu?t_W84L$;iR^wo%qQ|Adt8feH96I*=4zWxspb#lXKQY_C4%)d z?4o`kKTH{A|r1{k$%IGtf`$DE>8rl1m%a{MnA;Vt1C*i>6-*wAlSI zf|$!Ch|DU2__L)6F^}sN#dbH=f=FmU5Wj(M+0?HS#Bb?aEVW#b!?q?R!!-f&TkLHv zWLLdr!cCW5QTa;f@DdS$W54a3YOoj##YW zNGnM<9A#YW_SK}_i@h5b2xS+U}Oi_%$f?}Q-YT?^vRvR2Ct&4_m>OR2;pj1;t05z02y z59D~hPzk|rzE=}Se?N_wguslKEHK`H!EgF-!P>KdcC9jd8fNS2qnAWi>OPQ$4Imb3 zxLXb5j4Nl1v$grEf#YoDjXTjTfH=-lF&}4%GPDA<$4Fxn16k7$UYCJWsM7j==sbrg zDyBm;2knaqWFQV4`sk2E&5U+Uqp*B8ef z7vR#t>bfPw6@|s1OQalprRoFmtIkmB#H+F-d?NZ5wV!xsmMzSPv4LX2>d7Z;ZxWj8 z9ba_*4s%lX;m)LoWOkYpv}VV3<3u)Gpid@zO&o@kt6~~Xu8KoEf%phzsW6-%9c)GI z!RX`7>9ty96)^-eO@_4R5$lkbWrSw2PaG`@GM1s5#m=LsL@F}WDE8_bt4Z!W^Gmt& zEGp$52;?3oB`J5%4#uMXU^QR8__q_55ym-=K;+cyQ%{u=Tm1FVKnkw4p~OCsWq4uq zp~bQjk*TV3`XgEVrX0_MZ)Cje{?<@N9%lzdYc|ib2xP~FQf%KRvOUi};20^J@0AA9 zJVHFx;^sS}(VFHPn1QUGYzb{ID-O8BnwAy0mYC4x2v!|TiX6e}bhE@WAo7CukV?6E zPn2y2K;^-LH}8X~Irptpe^f4z@_5fRs^-F)(kp4kvTqg-7|*DFv6m7PVF^+#vsQAk z8wo}-w(&AEUY5i6QUlrNl-OEhNw;adIN_vy-yr+*ZQCGhcX{NhHqR}XBD3L4KU=e5 z5styI;K5H01__MNV=8sFZq&4Dz%mzib-y$y zuY7+5<5%v>_cfU}sCFLa4s2&Jg=!Jq&&;_GY5-hfv#361#GB16D}ua4Khi1*Q>n#JdL;y`=Nve|Kaok52+NVx`s*9yj|pcUzP>^J$rgu<@5n>Ke{DpA@Q6$jh9SFK z=RdR8e!49YPKXo!K>nDp9$pPmd>~VLY*#I2tvEdp{)zm16E;=)S0vnTRvu3te(6u zu&S-ys{#^){|=cnzc6Mo@5EI96LZte!i-iZ>}H+~zC)(CG6yhgV0IFr+Lkzw2ZW~* zd_t20%QC#anbK}1`8(vpZh!aDqxRHWDi{%_f=!V*$yU83uKhqBQpVAWf@f zBQw&6LcwUUZ+b*>OP_S6JoBfuMmi-Ox5H8;Ep2{5K`8 z%P#bN5LfqeSMg1Me4hr#SzA>@(>QCZ-xL#cSL%Ks9$JoK%?|*aKx4l?aiq!nR2n4a zNNdfnp5RCmWG}-=BgB!Giup)Oq@ft7K1LcFnGrC8V!kp6`!EYZgzc%w!(loWnw^-Nc&6tcUMnQGV;eLE>Gr9=<(>(YGEPeO1%`M?_Tx zg{V!W8(gKzf#BW0D0ccjS5QWd_A9E#t7B|o28;=m3PwTsLq2nTV`qVXhf(3d-zh3P zjS3pGBNjmf&-(=rICUJxQ<{VBQ{#!V%9Ks|t-})~!gP{s<_T2;*`M#i372{u+}b^- zCXm(k%BEzs`ni#i%<2aS13~U`$g27wktD0?NmL=M%Jq&*D+4Aj6iV^}>5xuT4+Kq| z8nBDsk&%(MjC?H|A<*>&`@Z0UnZO(}17hQ9`51dkj1Y)Est?2xTcTKT=OIWVbvq5& z@}~2pa!$XE36u#&LH9#GbL!(Kr+)_zOv)xjZqt&U?W#@Yz z9AnvSeVV!%g_^7%&H)w=a}p0Ce!N zPz*kj&Rluz0MNiGK396%;A(xIbIH+Q$7!>&RSpjzg|ih3;c#)db#k*gCqli(^Fl1) zBh)P;dUBAZUUbk%JH%7cY-utr@ADYsUOj2`h2>5h3l^lE=}2;PXacOyYZwA(1}KM^ zS$P0An@2_;^#qubD?hX+94M!H;B#tG>|x8?p$nEPXzv~HGLsb@IteHJb0ibq-#&l2 zV0gsyMMKInp7g%u<$+^x0R*9|uUbOnMPEM^bGx2MyW+C`V8fgE!PuPVP&QAx3#2{2 z@;vHZ)%#8+@IoHHz!YTK-L2;l)Ie6x=ZwxlPC3T|Gru`xyn$f@2f#XOjX?xWcFLJ6 zR9+d|ZBJ9ZgKkyxk;hE&yMm^~RqQId04j|cr1goE$CtrH8E!nFrr0XPH@D5rXM%_g zDI#OS$}>S+h1xh9#C{Lk_lfLt)0Ym9$mSu^pPvN68qC5>#&`FBh8gT8^3=NB_Z-BnK@Wsz1a zmhnmPvxPItFYk$+*(hmJ^wVpOqwWR!_$0gxyY`PpjMQznB?ofMdtp+jv?Gle5dIq2 zyd#GPfu^dm*Kc!G`|yJNV>0$EU9@KNOYSfn1o5c54+p7g38OCV)MV|b%d&uT?VS!5 zI-=jEl=z13oe;8jBr?*5$bnXN90O@2A=BTKl;e|8SAC0ZK*YI?Vi?0gItjMj)}FbI zC>G3u^h7>-$gJu==GGd2dqu4?h4x|^{5)$<+HBar_5d7x;t z?Yd^EwpfuE6RV#A3MN*ZRSz!KcPtac0vxL!aL4*`L{u|Sh%48iOchJDgHJXvfb|@9 z{scm}7?hhIN{ZT>pKpj3@TP)l zBuz6%_4{c#%^Y|NA*PwR+ELfycTi@;(`+HI{q%cfC{#g8A9QTD+^wW!7t(VFrr+Ad zED;(;y400aH{=!V3&b{elZq{jWI@FizeX@r>{?^AQNQT^tbesZj70dPXi#^?P=ye7Te0>5B(xFhsuDk((1BW8-zy!r;r#Ia0&;b`8RouCF zO|fwo4qkBj6In#d_ajqvB7b((*fpbyJ0XL)>Zxc48ZP7X$GnH%VxrpVyK(xsw=7xO z`Q{7ZV)`2I9PvlZ8?Op+pi2y^frk9Ij%!>%R8-UsrFp#_0eWwkxBTnZotI}vx8(R* zKQsSTS9)_qG%}KC=t1}JAb@yWyV>ypFx&TH8Kkk0Dso>~adqp&rd8Oqk(p=w zZ~AUe@bACD?jsVby4PMtXqeNGWM&Cpl$ijM@j;Qj1d&bWz4%IsK+WHWFq~ot!|HsI zc{VEpay!RRMwa1;>ZIuM=RtZq`9L zY@A!R>0O~o1vd>dCKV(=74$Npf<`pNVdfkig{qln-G9q1d*z7fYpdo z-Odq^bL7d+U5F&QhM_wObkPmn1;`Fw7`gyp=un1+4peFBP%$kXniiIBWapU(t_7Tr zXIFx2c`cb7Q%Z1@WF&+})qS2MwN*E0=33U(3r!yFpTPc-azG|WQz10a!} z+`nC4rl*}?!)QqWa8^c^u~~Wfo4gSTgqGg;ob1dii>dRfzFg zZpiD^bA54QxS}skSOpjTMfIrTZ=Xug719oONp~!j%8~TUe^bp+Ak+**p=MrbXqmTa zhP7)6BjI)WLcXM#@r7iaRI{B0OW6n>#=qx5yiTMvc*J+nG&k^nKGjnhE zSx<4l<*DlTFOba58WwmcFx5=UorsZeo^b6ZM#7obCq`sgJha6w7#x6g@cRXE<8Ky4 zfGs=z0!RpedYb^ZC@ceuLaMMRtQZ!BO$&=Mvhzp;P0se!67yk`yQ}IsH6IEQi8fJ= zLS)cp0o49aHEqqFl~8SyD+VDUfx*-;2d0R0?Gy|N`K{{ud`L*NtkylZ!)Zbu1VUn7 zWU8e{Ud>1~dkQT)q+wUK4m1>qmR&2k>;MX|+Cpr>(-*t1%xp38q~2orv#Gbw_ozar z&juZjjntmUls%8~;*tmtSq0$FSVbAs37YHwz=vGCt?DD&m$LqrV6@BMRGHG3Voy`0hE%M7AhH#X4n$@z zUgd^ZzISSg-P)aiHab)SxXac3t>@A(rciMk$J8Ts`fa2FpdQK*#lGgIZ5R&|)$CYl zi|-@V?B;}FUJ*bx3sb#^dq?*BCBQHh37~qm@MSPNGeVDw00!oZWnxU&QH8{wmzh$E z^PQLctuBa`IWrf~GPm;kXel@$h%U6*_G&L1#BdJ4-k?GW^#6-gsd-*4l?d$$X3w;K zQu&;^r=0Z8o>NHLY{zGk+S%zD#(NR~L*zxGUz}+4oL3ue#vsyXy-?+?iWFIH)n1gC z>dIQjRTqKiOMA;A=7TEcv*h^z70*lv)egBOC9;!osbYJ;Gigzilge3%+IwHK4mHj3 zN;*Wqb;t^^FOA|WX^__$D%9YJx(cD)0j%mTyi%D}Z-PrySN%BEyTa{0*YrEhZYUDG zIZ^76*E@(uTK2jr(bP6+>$_cu;cq}+{Q{&->|(ZU(Y-*N@4v|GvM(0MGcu_ASnvod zkaObFipzXk-LeH_twH-7`3ht|fuIzT4?wMSXBhhwbF}CK`R62-7`r1saAU_e zJuXkz%ahnU_Wz0aeK=X_3uXKP{S%iiw2MW(fY~pZ5j{Mde3^LOlVp&uav6MkvdWRK zgpl3c!a0KAxzXLO%@)Yrw%zXJLkkTNlQSYxNtAjCv~7uW@Do zvyMunXg5_mBqAK2hyJdVq{IV9L}Dl`qkXvYC}8Bc@m=^$PU^ZHhRmcYv>6vP_+L=^HXGe!m6Dmgl!euwNF>%_P;ulXU?2 z(~!}X@(9AQ4_&$7Nf7kqf)i%!%K_28WTEIxl4fV7+R>Sdr!Yrn`uVohmy6%E5q-IM zYGm|fMyxMUD)pt4rii0*12phZa#t=BhwDh?xEVQ(_dIpu(NSW}&ymR49;OJf%;x7C z2y_qZ2ZR0yp#Ty<6=3yuU13Z8O+)YPvkb_tA&@9W#d5;IQPl0g=I1C_WTQC))r}K9 ztHy(o(Y%Zp4=5IFg7jTBbDd(F4rvaQ_~_H4KBQtVL8e~8(~tyKnnARG^+QI~?*&Kq zI0n3RSu%n6=whjutUx;RbTh(i;6pAYY_>{Z{48#a3@Ojgy;Bs6KVJ^vExHj-S=ICO z!wL^4Xnn!{Jl;FzX9Fe<+<8-k$S_0&u%it8V)rE68LA!Ycb9%W<@wV&-$Bak$Ivzd zlH58RN7pey5C?E26UZLfgp#3op=22`C5uv2vY;JYMg76&{`8qw^4V0i5}yW4s>4cE z1v2p>3EQ&jk&D!p)sGqRi|W_ZOgNKOA4u2-g;@L!7)-T-Smr&w z8sJRW8@%`d*I*Kd63OH@z*6@?u`D%ZP^_p4iWQBqS$#|eyRa$8u5tQU8B|S$m~#&5vH2T&1a^P4la2cTw&xGr!C*@vJBc1h zdwyhyjcb0+n{C1KA_Ugty{XC^cr}F@bF6e_U1N?lxo>KU65{H?QmG4^G>22F9S9ou ze4@G**!}`Z0_xfZri$H#1V2S%O8ekz3AAHzqXRhr^NFGmMlHyOz@ugY_7+MiV&gif zR-ad2QFEn}kH%%hIH+kl29q=ygJkBUx%dHJ0Q>PcYZY9}*`7W3hy!yYc0c@Hn?QD7 zH_o(WM_3ZTK@XY?-hlo2Yv821`NOs(vT@PvUv$5SiC^^R*OIY}<+i74R#$_WwytWG z2O`sES~76=JpVf|$IjwOF$plgjanU{Lumemly(ebmjPA|p@jH2V=)XxQVyP?b^_-* zlqr53HprG7+_JihU-b~}%ZM>iQY6h71?jtVrn<#rkRj0sO2WgE6IXdPE>C{=%dMmW zWM;f>Tk_=$>Hc={3}CrE+OuguvC)&l12!)Lj6F>T+BjUwyUm)?;5f{zu1mK-_(&xLcfs5 zuf37VK1MkKgwQXlwnU=PFC+!>Oz2w#@=WGiat=-Afk5V43M{I~NjdX`+JWpdVOS9E zevMZk%@0e@2&?&HDg=6Rzf@QsKzn}u5X-E(36iR*y33ZR*5`NJ2FHcF7eE#d3(Ux1 zVXVx7!Z@Qh?gLongH>`TwOrSb21z?+)&SPH>yO>xgSI&Em4P}ebYwa_X;O4jzMxo9 zOL0LWZm!CF{k8i+7y=+-3;tyrin*JRNSi0Y>JtlCcnv(w1yp2Q`eF!3drxkO2oZal zhr;Ww-Ta~rua`Vhcfa{LQLUc5IMcM%ui7G!_4)Nf91vH{i+k%p%AD?MTZ-Q-M4%#( zIyk%mR%EK0^-#l_s+qWJnnX@L<{ff#Ai1O$Vv`fKe?8wP9c_&a%~kC+?F^$iaHL>? zN}m@^bC~fP?nVingG14Q*eEyyKx!j@NbL65Qf*3GnzFOJTH(Rt%SERGTr$6VcB)vEVqrMjzM?GG|z$6Fa8RxTDjp2vXL+2Rj+AR&lk%0T-c z=oCw^AKCsyo+!kvP!M(&z8(M*41{2A9DO}Pp5#n_a5{-Fw+*h2Pn72 z@(w23Vu=W;EudS?-UZ-|iy||vwd@9In{B|#B|TzGfIKE63%1l+%MD45>t;sL{6|!~ zdaq8zZuXH?_Z)|tTCvnL05e2(yGd6c5BTM`FYYb(hUEwifJDx{h+esK=*+g^_}I;7Hig%d`v9q=M1K?`qA6 ztq7yk;@j)5pnXBk8tSJ49Cn*^0ALkm9(9CWTaX^b=^U>JQkLj_-WZvi zN#wrtJsUvMrFH{=xL9ODRqgtq(6ZCsZ;4vY>Ql`O(JBFmBmvFNot@Vu8Fv_pAe)+Y zW?RJqGflN;Z_)sf753gHhse3*dBms~z{c6@NCVJ(fJ3=Ydu3W6+iG@aO@M7{@aEhr zS^PrYK>QdGOI`C5>oUUCw-TcE8g8Mr&!v0k>9I2^yN1azxfW>>1D_yz62N#UL@G8 z6WfQ2y>ywR2Y{yrmV;x_H!7FI2Ys~m7}r4pr1|YxTgu|=6|{u_CFge&CCT~qMX4>K zVta1O1xUyu0d`Y)h)(*?*LB!UD}`eib(e9lJz}ZehA;srr##h`o3_5^{ARfmNS~;2 zm+80O5@h}xrXE2CHy?KZ5zuRb#`#JR(>VBIg17*D8!CXqcg0wUV?^#OI@ipi7n;!w z+hl5cN}~&_c2e9xwyT zHA_dSxr{v>oyl(6(yWD>?)asZXNJH8iUp$}9i55V>7d-i{IF{*8zgDC-L5p(zvs9t z3xNG=$t`LAD2MKi=lO$G&&(gy-f9Tls>OXq)O`Vx7MFBO?gLu(LAU&hpd@m?MJRPk zLR`04Ds+pqsRXPadcqb$x5xu^t1k4ez0Bfan;SWdO>!-46B?vrtsbF(qJjgYR zb%$n+LjHam@$dEt{BjRGpF#%+&`7)h9yRGJwXGP=d$O6(#ecH zvqgumulBCC?RR3eBTtpm=)Qo>2y)?Atoa%-NH_R(vL`HYi@jmbQbGtX9KAZpg3s|Dt04Bm=#DD} zwq1`cD`LlXw!>c--I;(Qk2mNGqfzYe7e<5hVHfzY{>+8Hk#}QkQW%@x$V%R~=U1|_ zOh-Blk%kNuO0Xf-g#yGQse;JM!?GB&Lzi+flDt7aASN0w6q0GohapcSraWr<|gxr?D=fp0X4q7K9;H)E-=*g&&MPl*aC_9~T^-AhJvxpJ3e!&QB02I4?n= zej>|6PO859V(-ybOD-m|PYf-Ss65;%8W#-~1eyz^`-LM>sx#GW^G%|&bNu-xk!)HQ z2ikfAtie6TmTz>CvLZ_xYWEGH)1LDP{SpM1C~dnx?z(Z{?C(xS?RP!yh(2J)GB4~!0o~{|HX zgo~}gyR-4l%vo&~vWH(YVLS!PZw{v0;2R<{Rf!{3hN>L-8W2B84HeMa5NbA*0{JbU zZYTu_B~?v6aL}70f(R{TF6O(PiL?(<)}Q&njlwt9=2Sz{W9&vEBHd3^Oo?^#huA}i zn`e>4H+@LmdPsP^oJSZ&TbuY}tW#7PPUEt9ctJG~e;PJAePzx$Y|61>WemIH?c=OJ z0;UBk*Q+pkBpqC__F(h*X<+hMadQ~Cs>uIN$TIR-aLj_bU2v@-b(`nB$yLGm4eBeQH%dFXvM2021 z&OlPfNQy*jxo7q`(GCr~pzw@U1FeCffcdxf6pMuZk#KOeC$|86K7Mm72wQSpcwSg5 zPKvAherO=b+6!@gB7J6xMWM#I5mmLDOIP-F#(M9{8MkfC^XtX)^Gobl_l_%&D8vo%6@Jq_m@=dO z@_6Mj7^ZFJ2srC*8qyxgJP&eXh-|Cw@}xnt&0L+&)>NFXC9>jdA=~$f)QKawB+T>W zL?)i8NmOJUWbrMy7J>t!&@07&D7~ian7ND?9H40frd`U&I2fpNzc# zIS|EUsjDWg^o%eru#Y8U$EkU)JO@Nqr!2?Hand{G^hIvmzvXY2^f)v?FJUR zoYcMzq#JMBX8r9x(umBAkz^>7pdKl z2<2I+h0_WsFKL{p`*tB7q_;85->(=rQ3!k%1~P!4*o>~Uoz2v~KsLWhJe9Ju7@4qd2C(+iPjo~J zPmipGRU;IvMzIhFNQcDyD9ByVa|$nKPWPUtmbOpalEy;YeNE^{np@h2v%HvF+QwV> zOfTo^MopILk?ZDRdx{HZ>qDe1o}Pf*SAUm#9%WA>p&$2?GhjBl1wWWVB|&fq?KGnbg`KJZkM9 z>oGbeL~-?h8sneHq*jFS$eR824f4l?GY?qHaqd|B?CJDoq-3{~~ znrwfPC=m_>gzv~761Ec~Srm6(9oxLMp-yr|qgiV$H6$$s7p+DWl`$Q%Q+YM@& zjQNG1$P8ggi*CaV;>g!G$W-T91>>A&_qt>w^jFBg+93bKVK0;DIDG{I!herEtg7AS zoj`){-yxIc7p5WRotTt=WNsQQ%xHzeZsytGJ7kJ0o1C)-W~fCprnJ!{e}{b7?e9K%)E<9aQXb^;_BgUkyb~E@PO|05x(vI?|I|~7|Dd&UJYX?f zaYyVG#DBJC7p_yiYL+`Gmq$Hn+_lYN}Mbwo?|51z1c)}G|JlQ7hDG2m8u_zJ$xAB%x||& zNs;{HiU=Z#~lZJpHon+R>jn_IT^F zG;lfF4N+A=DQXi52U}4)k<%~kjbf*7`3L1wCqE}-)t{dK6-^{UHc%{B1?dk7&GpW@ zz0Hk-RavoxHbrHJRbgXxgh7aGesD2(*xd4j@s#Gk$f@zP_GRHDKn5sE&~%b&X31+N zvJWAdzhScKp-j28+n#6-WPSV=SBT2)*UqBCjoX1#Po)k~Rr*g9RfW4mSyisIAgqk2 zv`{F-1Ry)G(7X0J4EX!72!UNN7%f>fzV-2XcA}4ha*P@eJffYrWVY zknQ=yN6{L)JUeweZ~KmKHlHx32seL!j%r!k{P3&Yvu~n#Jx#-gG)q5Y-(3KfK^T3~ znxo{==j}^cF(5MfScs#KlrvL(9{{v(ip>?yHkn#|42X zeCE!qF`%u#E3E*v0+d6>s5=2Si$^XWb%V2{&$a(1i{la4FSu%n6j|`KKYPVz-?JP?N7>qWVON zEgWY2q}b^|O|dtLZ*IG(kHiogU;rkbc8|8~m@kQNdx@?4ME03E%ST4!4-x6lUxAAD zwflP0k*@(6SKiC&Pb<;10Sf2pJtJX$QYkk-iL#p?)Sh`jxNqcY z&ZS$bKf@Nt#QlL$clE2)Lw+?D$cG;&x3hsWn!niPRY6ydkDIGF)w~5+10=jWKWFEo zL#noimI)m5ewP%o_gMltQ*a1O-jU<^bCyAP^XHNR+1L>OK|(u{jH4 zL8@B9nCk_3Q?;|MrtVO#y~C?QKlE`Ti7)8U2^l*sm64X?1aaV;benr(bT8##__;9@ zFPF9qh+g%=Vi>(aISIAhR+_nmC>D%@be{;XQC`&#K4FZ8bzHPwik92>l{iYD!d1aj|&`v0dDxU`yHoGX-1x)I=zl zJOw@|*n+bbpEMgi zCrFyAhzo56qi7| zL6*BCh(?9Ig@#DPBO_h!NQ_c1h4z|g2V+rxu$m7r{SB)i+*CbHVuq~Gotlu?s)x9R z3=kunCH9G|e)RicRhq$dt;09Ht{|$y^>l$0^K+sQ5?7T@SOeU`CL*Gbjz^$+o#*KPk2|0Y$j#3A$u=i+0FVLfcxY4y99Ra;( zn}yh;K^i@ptG6zVfCl-ZM71L*lCINCfWcUC{+A4sKn1QXS_@?N3x)nBrYVNpF>CBzd5MjT4LVpxK9 zW^6Ri(Z+|Y>V3>|=^|$xLnb0Bl$hM7Y>pUO!-&ch8C!!S!8?)!FINg4Jqup$X-hW^d;5~u6XIg`G9h%*4W^>*VAI++;hf;c#c)m};ImIoQ&I*l4v;;$WhSgoWb>gm zW3J@o&~=!~a3cZ>fi1x%$hf;3Z z6Jepweu319m3|^4r)#qOil4WM z!BCU)XoI1K^XjhZTESTQk~u!DEIIdQGLG; zI3hD6WosPUAk%!@#y9IVQU5W&!0w z9ds9tw-0h86M4nZDM@vWQyirV1jS~QrJsH$bw;=bRwj6tADIxOa=unBI?gk3{P)8* zb2J zKstnG)qjY3PU-zN!!*mtjRQ}QP(-2qzVdS<%`z9VG2W-M%!T+H5!B7O+EG`(1)k!< z!w{IC#0r@<-&{{h);#qll&rn?bzqK)!G7lvv)%fY@~YC zTq{$lz2*<(M{ABl>!>G=j(;FOQZpo3He?tQVa*@NkJkLz&nvF*`^=ovM*_w%$H#e)!z8>IjN8Ho=Efmwv=9JYita9&b#$rUg8%r@4Rm>?bB;|EwRC8FYsjhTc7Am|aVaPF^ zW_rlYq0h8LGV*-k5;s}*3LQz~S=y++6gsFhj9=?z@5_lNG_OV1rPvi>v!-MT zR!lIjpGekKUN4q;8`4PU548){o*_b(i4&_3gpH($7 zyEzncW0!mlu^YxFgkM9Zt#1rc=sNxKvgsxw(mgjjtxnhJm#2eVte(iekYWWlfaP|z ztZ^C8o^P+1x-gNGd&=Ymp6}`Io|9=hy)_*x60{BnFS(bfbr_umBFC( z2^m_qQm%C)9pz&6MB4MCA5OUFnztjz<7Y5jUvkCx%X_QRjr#hI91C|htWefh8ojE- zW9s%zTDa0+a_=MCMbyOheA~mh*>qjTk)3HYjKA;5_IyX&I>-RgjK@Q&K zy@|FK!Cg~WY@CM(4!ORb?(uouHYYYE78|Yj&7mnTR_y;OBu_*+l5`Pvi9fmY8p-e) z>`JHElRmNO$i8OD!p*LC%?(JLWd|wm$o}{#L!-O(4;y5pqD@b*EK+ItjLK6a#1%N5 zTk_N$HlHGv@u>D3Kg^hC1t5@#`S!6MnRVh={B^~mZvh{L11Z-Z%-kbKidEz&) zTtie!WPMb_$y`q0MS;hMjraOH&C#zt*d3VRjotugo(e0KL$KE6#<7KptUKSknjuTQ zB(YPIO5#M0Uu@P#_{p{s^huC)mC+^TqNh+hFYzf^AT8ej-q;JJIHU}^IK1iIBX>c# z93maq5u4J+VlJlUDObdcE!YT-Z)y&wfM}{06Qb&lp$WlDv@e&U>X90D`*8O*$q|wn zseKQnxR?;`=c;kV+9{}W=m@jgSh2=iPNdv;WyxxnH_sx6?ZXu>QYhkrdLdPY)1|(e z-IuzldCAttG1a|=fyLkIULsR&vpqjt%7MjCpmJadPmsG^F4fMuvL>Gh09SdaucTKV zsZqbtYg$jX6vKwGi@?@+5s?N?zQ&|RK+{5g`!Y+O8RYIOOOxYwO|o6-2o z8u&&luFNv6x)&_uoGkjXK_&@lWbF7vL29H|qEskW0N+updYq z!}EA8^82r|!4Jr#QJ4k!`{$n@gu8E1{Wls*n>7rP{W8&RtRivL1)D7TJ8H~6QGFN% z{+2p|#&)?a<|KBkIeR7Y)AhxFD~np3zh7R%KR-zJ4s~rZvG#Wb9O>f`Nc@(}AreLB zE{VVS`_fnL@^qYka*pK>lJMVB$=?at>lsP3F$wirz8-mXvv{z4AG_$Bcj^f16j+^4E+Vb+)LBGCtwuuiZnap;kPUv| zd@F@nkZ8=S4fCtU%GG^JkthBnqmm=%FdqCy55{lUh20R=-+yr}v*A>|f6-*DHwyeM zb^HxT9m9$ec;Po}-0P6ve*+i%f?Se<{C;^Q|NJ1_8%+6rLpt1<)@t5k$~ex4C*8Nh z-smM##HHeVAMVFurU#c>CI1(H!%v-;6O0VH9g5BuuqR7{wYF{J%1(<@tLGW53Jx4h!35 zhcZ~0A!;9ZjU}IMKGtpB!uBeogMCtD_%IUuZ8m0zSV$nDCS!0WWaU;RsY<@@7LY#z{kk>*Zb3XV5 z`7{!Ce?M9hs?X(~c{=vu?ANVH{KsX8hrUe*6BQp|WL{WqaqOKTIx( zh=tg6TP?_^qh@3_W9KKF(vyV!X7f9u7UCqtguE6Sne)Lf$fuE*MVaRP?;I}gZ;O5f zzx%(KUfK$#hjwoqGHtS)z00smys;Xa`dc+V8+xZSO_CAXG6rXm?_9>X(fkV2)Hk;O z(d7A1U-mQPt;>F`-#vgK+I?G}J%F*{9-y7G2WV~f0QTEFfFbO@Mne0y)nXpN_}~}) z<^gV^3=i;4ymzk1YU)d0k@bzmH&)~8@4n;m#%fH{ed8WRyFbGGMnel*C5Grmhc>Wn zgcuvXSE5$ppEP@W;RuEn_DTXGI~_(sw?eEI+bc0X_=R(>BxX^fq5W@mv8v2s+ydUZ z_QxH#upeuP?xyO-p2bAQhKEhMJLsRt_MwGM_S?cHA{I80goZ_|#5QEfh3?3bN$$w% z?|Db|n{03CG8zK3?dF?_ytQY3uZG+4Z>=UCVBpz-cUHqq$_0je{hzF-vO5{~;X$J8wr0spNaSF~fdy8Mck;U9w z{0WUZZW;$7VVhp)M zrUpONBG}*;aOO0Cq%R8alVf=9PY}VWI_Cu0hR(=ppA7z@ak(s<26p5EaQxBBG|A}V zL`OcUJyiR$Psm!sqqJvW{sM5l#l=I4qdwb6U+;TJ{atfVW4lZ*1sUG9j(-hYt!DsSG|(8C@6_vP$dmX4^#N!PUMF&&p1Ku7Rq;V zQmtR82KX9j9l2?Pu(-fC|4z}nzfQ@%=L5h6 z$!irZ3^KYNB`N^V?`+3f#eE;=|9v>``^|8l5fRH3W-lU%Hm1BL z8{UMop2ut|GN0AOSedMjOIr))gUg~1>bUF|BRm{)A7jYEcyB~#-Cf@LaZWA(S-S!N zb3dbf<0N{^!DDpT_ZfVOeL=s}<-MNZ4tvqD*>qGn6sua>xGp{cGDNb(19|r?sgq@N zhVxZ9hL+=y)2N7k2FM(2UUb0SqO$j`shzXQ_U&9V=^az`DZ1Rp)u`Y|{S$gpAi4DD zZ0~e_D)xk(U9#1?{p`Ek+zI-`a};$_@VJE&3nKYtk}q&wR-(!^)IZJ}8{`}vF zmM=u7nqme3B&PG$%A4xK7|gr2jU(wcs|BL#8tjiqiPFnddmeYe*Bnij>=HqPxlEJ8 z&w(JzQ$!9O!h&3vQu}0u7t&&w4WP%78iZwn;LbZs{)=E3x!z`xK;}{50yM6m@6ID_ z#hUBJyKiRw{h%Z}HVXJ36J3;d+fFW@-iDh-g{ z=U4zK%g!>%b=ahW#SR@8jPjuiac)mX(!RB1P-SGChz3=4R=pDJ9ra`Pd6G~;W{)UB z9qB})m`&#rK^N_A2FGdZ6(>u{jAUh`ovD1uwrIK4BOSom>pC|G?DZ++k&ieeoGc}y z#tM7o)Ixk*4*6ll$23h2_k;{mMxP!%e~p~YV(bK#w$9)I`l$VC_0huAv^_`Cg?0y)Nvc(q7#XrjLgyKxvQvcT2A^J>Eq|Mo`+!PdwU8!C3?*}1}ZNJxbGI3!@$jmE4ctc@c8UoU$CF~boOyIr^izSVA*nIe8ivji{ z1}7?C`ZU8_QMP$1`ODu7u4SDhd$Ge7jZT(2SGVWzen^{};k4FNvhCwMri$CV%<{tX zA#}%-plV>V5)qIW>*I|}lGdZ~P)V95IamYl)8Y~z9SMCLAL)LfIzTMHAF<~FLBb&jZSo3G6g^?AC`^Lo+_y`nD76A{T|oititVc%F3p)baH81Z6a4PV2ReRoh3 zYx_1R0s=y!fB^&&dXo|eO_~$~h?E2n5RgCuh89SIh=6*KCPjLSO3}~`y?3N{MT&r+ z)X)^A3Wuh@pyxgB`QHD&XLhz+``qQauicrQo!NT>%>7?D2A9O0#@Hl}eUyM`t=PhL z_VYD{K=q=C&+c;JsA=Ark6bg)y??p@RNa^%>>1%vle6)zx}XTT4etFr0v;FNe<|5p zgdTQPd!&69VH)nT*qSvr5(KsqZpk6ub!eh?owsD%BLrUomQN2i#oog9d*2JtbsnGY zd)xSp5EUEn z>&=;dHrr(U8!c{K5ROwy+HPa@@hn0i^3Oxe&rr{_7zw(WK+zp!8T^QXrTpaXMNOeO ztkR6VpYerMm)91PhVyMqNZ?E1QUi4qyQa#s*(xc#e$hN6n7??RwADau`I z!x~!*+RhsJ(F#eeAHN^8k-B4rCbObtGM5bsoz$>Ej51NS(wi@qjzziy^+yS*Ca~S? zy}{IGvG*%-=POBvmFK&~j|TeVjlS@9mp%8)ExG2pb^KgkbV?jKei|LA)_f#*F_>&O zLB1MN<7)Yn^2x#Sd;5}#kFD&pK^Pw}KtDTQ{Yp}fB>(il8+Ut0#Hi57%}4{p1+%@9Lx`*# zuwEzgLcW5pTfs@8;!hhpM*Gc;FtA}0cJsSjo58X>k5i(XUcwEVM@Of0b>lKA(i(yb z7L?7mAODbg(QS&KTtt_RMn}gx*#8XuSztWS()b6nBE{*waEws28x)mabFI9#B7)DI zm|@!bLg#DbpIicNXrX;c1tbMBd;iW&+qisJ^B>Gy=I?iGJ-MFn{vk_0eiYlq)^_-# zGTSwY7BXsodFv>qN5M~mlOeky@=WsJ=Gt(SgP_3f3bU)<=OJZsfPRby`8wCj<8kJ) zn5L*oUtLT7%0H#(gUc&MFMPPgUB_s}xOZVU?z}3%Q&7~G4saY2VXuXLa*AQC{()fl zKJ@aUhS@5~@U~@*TiQ_w0*g+J3FaND+>Yb#{duT+(W(N8%e7lzc#wut+tmImZOEs$e`;at`B2t;SI+_U?KqTmT+PP+ZRk0|-*$Tr2KRr{a-PQWY zN!F)4HJmpVjTG5c+OopO2;T&u%wtaBn*)xXj6%F?Vc!{i#A320IL30)Dg`SuT84s- zD}iU|eRb(7ioAUo887;ca?Nkx)J0tG8CuHkIcnWa540ptTzkTPYfiQjjUbsR_juX5pg|tYCt8w_^GXVir~W;pzLYBHha} zyr+f{&mu5B_l*xs`3EL%vx-OB_hp;*U40i2`LTI*ISUcFlbtz=|6+F?tt%Cq?_A5? zG_4Aa1s2m~SF4cx5qs%Ag}{_e7$VUF$?SASwVO+}BGqr%Ur&Fs)zJD%Y$@z?de@yk%8cBG1ezp)8%J*T6dT>vCk`1K( zZG=()@|*|X>mkM0v1MtXwfSo+xsEY^8QhoZ>ojyiGaavltj$^RbJmWfeXf?VNb0-L_qH0ur=s$zG`1V_+oH+>uRHf|b@J(};Pj2X z1!~(e_bwNFx__Q^%|udF%kUd=rhKvpXV=plk|e=Uh_i;Q-xA^_&DUQ342q=nR@Yp= zWmR3GF{c$8R)~~a@Vay{wT)q|!m0gQ7(7cClw+A+$zcmO0=FBV-qW>8T!q z*4BhW#EZlvKNArhJ}r}C`3%YOlSfCY7;ul}dEah7IS2kGd4364tDU9oc#<^c_b!`?-8@f{79TFYqQaTPw zrNs*Cch2PaO3vHstk$2hu98e!yU_zc^eCoE7yG5L}Gs%*+*Z+l>Z`1U$-3XYwED6t*h>u0OvDt0s zyl|1w<4*B#H4(Tj!rgO+4W}$D|J}vC_PJ8#K*9DSP;3O> zHVrkLTr7;jw^tX6?(kij1I1sAG_ERZQ(|vou@oJ0(bnYf?-(>-%kySZbU091Ex1K_ z>20_mP~I(0i)ivCyraY<+PxdOq)>D|Y;U=j6X*--BhValYlMjx_P4WdEuajy7xCwi zg^6L}hLir3!qS!*L~M|hgb(S5;Kly=yY}jAw}u5d^lX(bej3iTexF}g6;$tKf1GD# zhko}S6YfKk%*6Yo(oVf_=4)@QJ+KO=s-N0j8|xQIcC2xloMDL1=~+J$PFofFAXItK zX5bU80Yli^13P}oP~>+z=Qd+ia6p)v*UmkilKI)dXPmXAW_V-fi^qS7MY?TP-Sj|i zoAksWa?g}(oO5%ukr>~OrrtGt8zWwq8p$nefNKU6hTf5!td|S-7aD^iMpF~ETe17+ z_dt(&T3X()gDSulo+-4wm%sUQIi?R9rVZcDyrEfex&6S7=i<0v&3teVYit@VI66I;sH07ST9|Z5 zJq=M4Bc7`R*)(`;)`bdan-ng6e(3J;8M6G9F6w%dmsCOa@!im#*rY$YdcAOLJ&m$Mi1K6KAst z@no(?etnkK-QO#Aeo|>_PK`H(Fwdvbjj?Q2kX?{#Z8A<8EGS{?t;{;szZM66yfnrH zHUG5AqJ8J9FXZ4!G3B9JPxU7+46ZR3j3o=Zw`uq5Uye@*RhmGj0{spQ45PzypWdLS zh_wd390aOBuATz;wWtd;P<*No(N|!`4XbXu_78as?rSi_Sn-}J|Bj5a%Xp+_vbeJ4 zo~w351Kb3LB<;unmHoBOJYQr~(`aMHOI0E4$=Q7LW!>LO!~*4* z1U6Ec9NnRhuL%oqp@pUmAIm-iFwT47mQpN?DJZqaNvAl27?Yr79k&yP9nY=w!k^Az)PC8Lyz`ESVpR_<^wY^)o3Z0~0?k05D zIoYy7$yjcEfibCUJ!ZjaGq3Q-jV|F8oByYy4!3v+0UDL|)}%A|#mw#I!(A~*PHVQI z-HmNhE>Qj`n9jG;k@_b^eiOKy@}l>{>U_zz*-5j zvSaei;3XYNUSY+V5b!waj;4T_oy`D`skr}P+3q_)AIkl;K_UFb7iyoBanSOj!J-1x z;$G_J=^&NGIFNadd|z<$Ytw^F?5+pDbyTAVOIeN<9z%W@uAl5nQ{?d|ih=HfB2Da8 z_Z0nV@?1W3!e(`uwB=oJfT z6}V%*>iHTyNZ((#6Dy)%>jqp*XQHuXnHIvl3MKEm$IL`@m?JLHnwk|Q=C@0&Jz*__ zDt`CTp#aG+iN5KS#V4$5AC%B+;f;fopc%$v^EII6**}sZXgn!<@jfc&DzgDs*H|fe z@ii))dt?oG^&%e4aE%X3ei#`d6r&J}JU;@kb}D%)8*ndX?1z55vj)#(z~n}O|FapR zY2**(T+aGJyQU77)Z7t)lJHTB6iZ@r`=e1HJ$Xeie~|~z=aMQMZU6WtkOnf8yhD1` zzvl3T$-#W@&IqvUos3NOT-^m{y7ek~5`op9$Y565?Htx5z$Tr>r9K+6c+9&{`4zAAo>k6emCwWZuku3CiRKmIH?%>0_NWj@*fKj(bj#NpIQ*KxgvOM zz_jCVBUEZ2xC!9WCMb9`E%M`tXO2zXPx4Ue!06XwoVehXkim6c>vCz)$4hi+s-fH& z@wDRJb2KU+;tVAR%HZ?Vrjgl`Jsk4{A9yloP4G2`Xx)6`##V@le@5&O`${Vli&;e= zg?HKI^VRHCo~$uSe(Fe_;B&X8Mo`uj?A-EC`=4x`40G&E7*8~Th{vEjPWEt)u1pGG zS@6jg3`l9!jVK25d;1WoM0$f>H%0r=PFnZEaQZtt~E4H zCR5kcBGDU>Q@C3+9(kQxqQKNu-*|f)T%f8yGYerH#7>zD0#(!O99y zX$4tXS*QXSrlJV8`!z=g=Siem5y0~Qn8EQlAFqGq^*hWj^u&ZZWRTS0c$6o>>m;O; z-+y2oDz1yUi*>>v;MynPZvrw13<2kZcft_BCuKnVi_S^1C*?XJ{$DzTU#VTkq6yU0 zPZ%Tq$=2tD;lCUx{>y<5RR|&u4;FJmVevSyoV2pEoGe)E4w2}kDnqR(6?DO)yzXF~ z2+}ybi@5l&Fs67M+Q$j=|6}%FIh6ht{&)U5C?d)o=knV%RT{$Ig8bL!9vR;}tO$e2!@$nq-m1ngA55M~=Ksa0M{?BioQ!|XgDO*t@;{ioGPUym zgDJwO7wi9EO3GA4{(}+mD6BgM|4SSs_CAK1@`?Be9F7P+A^!U+Gw^iAflp-kr7MAm V!V`Znlvh%gmuC_d)-$=r^ndpv#LWNz literal 0 HcmV?d00001 diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.pro b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.pro new file mode 100644 index 00000000..1483b26d --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.pro @@ -0,0 +1,35 @@ +update=19.04.2011 16:01:01 +last_client=eeschema +[eeschema] +version=1 +LibDir=../317Regulator-BuildingBlock-ModB_RevC +NetFmt=1 +HPGLSpd=20 +HPGLDm=15 +HPGLNum=1 +offX_A4=0 +offY_A4=0 +offX_A3=0 +offY_A3=0 +offX_A2=0 +offY_A2=0 +offX_A1=0 +offY_A1=0 +offX_A0=0 +offY_A0=0 +offX_A=0 +offY_A=0 +offX_B=0 +offY_B=0 +offX_C=0 +offY_C=0 +offX_D=0 +offY_D=0 +offX_E=0 +offY_E=0 +RptD_X=0 +RptD_Y=100 +RptLab=1 +LabSize=60 +[eeschema/libraries] +LibName1=317Regulator-BuildingBlock-ModB_RevC_19Apr2011-cache diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.sch b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.sch new file mode 100644 index 00000000..e507cfe4 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.sch @@ -0,0 +1,213 @@ +EESchema Schematic File Version 2 date 19.04.2011 15:59:19 +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +LIBS:317Regulator-BuildingBlock-ModB_RevC_19Apr2011-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD952F +P 5600 6550 +F 0 "Sym?" H 5626 6346 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 5476 6784 60 0001 C CNN + 1 5600 6550 + 1 0 0 -1 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC1 +U 1 1 4C309547 +P 5550 2050 +F 0 "IC1" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C3094C4 +P 5550 3450 +F 0 "C3" H 5550 3600 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 5550 3300 30 0000 C CNN + 1 5550 3450 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C3094B6 +P 4400 3000 +F 0 "C2" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN + 1 4400 3000 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C309482 +P 3650 3000 +F 0 "C1" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4C309444 +P 5450 850 +F 0 "D1" H 5450 1000 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5450 700 30 0000 C CNN + 1 5450 850 + -1 0 0 -1 +$EndComp +$Comp +L RESISTOR_VARIABLE_POTENTIOMETER_REVE_DATE15JUN2010 R1 +U 1 1 4C3093E7 +P 6350 3750 +F 0 "R1" H 6350 4050 30 0000 C CNN +F 1 "RESISTOR_VARIABLE_POTENTIOMETER_REVE_DATE15JUN2010" H 6350 3600 30 0000 C CNN + 1 6350 3750 + 0 1 1 0 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C3093CC +P 5500 1400 +F 0 "HS1" H 5500 1600 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1250 30 0000 C CNN + 1 5500 1400 + -1 0 0 -1 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D2 +U 1 1 4C3093B2 +P 6650 2450 +F 0 "D2" H 6650 2600 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6650 2300 30 0000 C CNN + 1 6650 2450 + 0 1 -1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C5 +U 1 1 4C30939B +P 9050 3000 +F 0 "C5" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C30938C +P 7200 2500 +F 0 "R2" H 7200 2600 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7200 2400 30 0000 C CNN + 1 7200 2500 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C4 +U 1 1 4C309375 +P 8550 3050 +F 0 "C4" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN + 1 8550 3050 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 6350 3450 6350 2900 +Connection ~ 6350 4250 +Connection ~ 6350 4450 +Connection ~ 5550 2900 +Wire Wire Line + 5550 3250 5550 2500 +Connection ~ 6350 2900 +Connection ~ 7200 2050 +Wire Wire Line + 7200 2250 7200 2050 +Connection ~ 6400 1450 +Wire Wire Line + 6050 1450 6400 1450 +Wire Wire Line + 6400 2050 6400 850 +Wire Wire Line + 6400 850 5650 850 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 8550 2850 8550 2050 +Wire Wire Line + 9050 3200 9050 4450 +Connection ~ 4700 2050 +Connection ~ 3650 4450 +Connection ~ 4400 2050 +Connection ~ 8550 4450 +Connection ~ 8550 2050 +Wire Wire Line + 4950 2050 1800 2050 +Wire Wire Line + 1750 4450 9750 4450 +Wire Wire Line + 9700 2050 6150 2050 +Connection ~ 9050 2050 +Connection ~ 9050 4450 +Connection ~ 3650 2050 +Connection ~ 4400 4450 +Connection ~ 6400 2050 +Wire Wire Line + 9050 2800 9050 2050 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 5250 850 4700 850 +Wire Wire Line + 4700 850 4700 2050 +Wire Wire Line + 6650 2250 6650 2050 +Connection ~ 6650 2050 +Wire Wire Line + 7200 2750 7200 2900 +Wire Wire Line + 7200 2900 5550 2900 +Wire Wire Line + 6650 2650 6650 2900 +Connection ~ 6650 2900 +Wire Wire Line + 5550 3650 5550 4450 +Connection ~ 5550 4450 +Wire Wire Line + 6550 3750 6650 3750 +Wire Wire Line + 6650 3750 6650 4250 +Wire Wire Line + 6650 4250 6350 4250 +Wire Wire Line + 6350 4050 6350 4450 +Text Notes 750 7600 0 60 Italic 12 +Author: Bernd Wiebus, Uedem /Germany, 19th April 2011 +Text Notes 7550 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.B Rev.C 19Apr2011 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.svg b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.svg new file mode 100644 index 00000000..906d2a83 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModB_RevC/317Regulator-BuildingBlock-ModB_RevC_19Apr2011.svg @@ -0,0 +1,9227 @@ + + + +SVG Picture created as 317Regulator-BuildingBlock-ModB_RevC_19Apr2011.svg date 2013/04/21 23:08:08 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache.bak new file mode 100644 index 00000000..390df93e --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache.bak @@ -0,0 +1,213 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:05:05 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache.lib b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache.lib new file mode 100644 index 00000000..e8ba638b --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache.lib @@ -0,0 +1,227 @@ +EESchema-LIBRARY Version 2.3 Date: So 21 Apr 2013 23:10:45 CEST +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +F2 "~" 0 0 60 H V C CNN +F3 "~" 0 0 60 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +F2 "~" 0 0 60 H V C CNN +F3 "~" 0 0 60 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +F2 "~" 0 0 60 H V C CNN +F3 "~" 0 0 60 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +F2 "~" 0 0 60 H V C CNN +F3 "~" 0 0 60 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +F2 "~" 0 0 60 H V C CNN +F3 "~" 0 0 60 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +F2 "~" 0 0 60 H V C CNN +F3 "~" 0 0 60 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +F2 "~" 0 0 60 H V C CNN +F3 "~" 0 0 60 H V C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.bak new file mode 100644 index 00000000..7a4e7e68 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.bak @@ -0,0 +1,217 @@ +EESchema Schematic File Version 2 date 19.04.2011 16:56:25 +LIBS:317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache +LIBS:317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD9670 +P 5350 6750 +F 0 "Sym?" H 5376 6546 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 5226 6984 60 0001 C CNN + 1 5350 6750 + 1 0 0 -1 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C309A9E +P 3650 3000 +F 0 "C1" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30998E +P 5550 3450 +F 0 "C3" H 5550 3600 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 5550 3300 30 0000 C CNN + 1 5550 3450 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C309985 +P 4400 3000 +F 0 "C2" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN + 1 4400 3000 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R3 +U 1 1 4C309944 +P 7800 2500 +F 0 "R3" H 7800 2600 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7800 2400 30 0000 C CNN + 1 7800 2500 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R1 +U 1 1 4C309938 +P 6350 3450 +F 0 "R1" H 6350 3550 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6350 3350 30 0000 C CNN + 1 6350 3450 + 0 1 1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D2 +U 1 1 4C30990E +P 6650 2450 +F 0 "D2" H 6650 2600 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6650 2300 30 0000 C CNN + 1 6650 2450 + 0 -1 -1 0 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC1 +U 1 1 4C3098B0 +P 5550 2050 +F 0 "IC1" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C30989D +P 5500 1400 +F 0 "HS1" H 5500 1600 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1250 30 0000 C CNN + 1 5500 1400 + -1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C309873 +P 7100 2050 +F 0 "R2" H 7100 2150 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7100 1950 30 0000 C CNN + 1 7100 2050 + 1 0 0 -1 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4C30985F +P 5450 850 +F 0 "D1" H 5450 1000 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5450 700 30 0000 C CNN + 1 5450 850 + -1 0 0 1 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C4 +U 1 1 4C309849 +P 8550 3050 +F 0 "C4" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN + 1 8550 3050 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C5 +U 1 1 4C309837 +P 9050 3000 +F 0 "C5" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +Wire Wire Line + 9700 2050 7350 2050 +Wire Wire Line + 5550 2900 7800 2900 +Wire Wire Line + 7800 2900 7800 2750 +Wire Wire Line + 6150 2050 6850 2050 +Connection ~ 5550 4450 +Wire Wire Line + 5550 3650 5550 4450 +Connection ~ 6650 2900 +Wire Wire Line + 6650 2650 6650 2900 +Connection ~ 6650 2050 +Wire Wire Line + 6650 2250 6650 2050 +Wire Wire Line + 4700 2050 4700 850 +Wire Wire Line + 4700 850 5250 850 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 9050 2050 9050 2800 +Connection ~ 6400 2050 +Connection ~ 4400 4450 +Connection ~ 3650 2050 +Connection ~ 9050 4450 +Connection ~ 9050 2050 +Wire Wire Line + 1750 4450 9750 4450 +Wire Wire Line + 4950 2050 1800 2050 +Connection ~ 8550 2050 +Connection ~ 8550 4450 +Connection ~ 4400 2050 +Connection ~ 3650 4450 +Connection ~ 4700 2050 +Wire Wire Line + 9050 3200 9050 4450 +Wire Wire Line + 8550 2850 8550 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 5650 850 6400 850 +Wire Wire Line + 6400 850 6400 2050 +Wire Wire Line + 6050 1450 6400 1450 +Connection ~ 6400 1450 +Wire Wire Line + 6350 3200 6350 2900 +Connection ~ 6350 2900 +Wire Wire Line + 5550 3250 5550 2500 +Connection ~ 5550 2900 +Wire Wire Line + 6350 3700 6350 4450 +Connection ~ 6350 4450 +Wire Wire Line + 7800 2050 7800 2250 +Connection ~ 7800 2050 +Text Notes 750 7600 0 60 Italic 12 +Author: Bernd Wiebus, Uedem /Germany, 19th April 2011 +Text Notes 7550 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.C Rev.C 04Jul2010 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.pdf b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.pdf new file mode 100644 index 0000000000000000000000000000000000000000..ed11058bc7329c2c79b0ee51907bb0d8e2a829cd GIT binary patch literal 38598 zcmV)rK$*WKP((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58w#b2!JoBA#m=fPbSmp!Gzwpxv)2hxB1K6QMN$9lwS4xn zwQ_ze*Z=;@fByI%|M%tNU;p0=-pjHq{p0_8tsno>_22*V`d|OIkL7du_&;Cuy?kyZi$RdCUG|E~%jdZ~tbD8Gvu-ss0l7+O1v&) zuST^fN7P1^nNUv%18Ne#C)C8nBi*tdAs_j@Y*|3;Zb*p03o^=ujAJJo{0Y$Nc0)`d zUQufMtV@Q7UlYjM7LF1mwsPDTsP3zZAPjpGZHO2L!^44NYb*kB+XJ@j;*IM z&K#*e7b$d)KPOZV)w&{uP^npvswl*I9ErU^DA&*$NJ)^^vSbB0N1%T0`ygATPCq!P;^6wE*K>6fb71t zPg%KqzSysB>uW4C^1Jpk#y&pa?C=ug+|vxQZ`HPnpH^faYhU`G`d^)(y&( z8|RAvFki3`hIG)yuzyA6P{?RtMvMy-3r;6y3z+Vg)wir7K$|D<`I~2Gk zHUqZPfOFF&mB?`kXU%1f&)sPl(c|;1(z2`Cy~%WUtrDk_kTquufWMnAsb3##XabJ2 zDIm+RHN$FYx>l!VTw-3=5omjE*R>;Q)(%kJuLY*&dLdM{Y(mP=$LK2P<5Xvf-W>ay zdQ0?X+gs``LDbMhcL^Z7&D383p~G0pJ1pp;#{^k)Cxk8&weGsoI}!l;C>k8dYWpen zT2zH@V`I>53D9nfBJ4NPMZeu;m76y+K1{Xiwd$XBwecY;RIfFDZ9P=Esxh$|uw9El z0HWN-Wl*IuHggKq{oF&T1gP3az8S2SZ6Py|5dl(;mdmuy%Pz`Pn;M-8SiSXHl@3>L zYg<(pO=>*>@P{&#z{KhT1q-ox7lsI+Pa!7hvCFW_f)i-1S=yMALv-lYkewiHsabd# zGU-Jzh%ZP(eo;LI7>$I$f{~0UuP7Dbn2=%HFDlpWxV5X}H?=db?eevbwA#$bX!~Xs zL>wLOurD} zO{;c0osb}_Gj7EuTVu9`AnN!8PeQkxTLzj=R3Vv{K>OMT@io=IEaGdMb7`$~gDJ8g zZ8;QaI?ri)nz&#HH63+l^xh+`t^u;G6wbxfv8n|e;(9{nxHxVVDk-u##U9UGo}XtQ zl;kQSGfYyF3pvU$rzFQ_JM`$53lW<+df_PM9>~C`urGm@w&n(3NZGTpU4Yv5p==j& z4x-ZL$XH6scGOGR)}h!n?phm2SDl{HxRWN9mQ>)8EG~8HyD}kbpvdCVq@xc~_isWN z&4x!7#z^OfQjc2Gb@B$|)|zc!^MsSWfhd|T9r4W@p`VI9Y0tUpR45bRHIA?5T!3uS zV%ltH@i`JR>$#~dLf|Dx*9j&HZ8pe_t^n1CZ}&~0d~$B4I_tKLbSR5+kvgJdt}kR@ zPs#kUan!}iDf6xyFJg5$o6kL1U0wp&1O=v_IDD3CHBIf8 z-$oZbEy%v*VJHaH%77x z<%d0UDO+o40F`P+=v4Q%Y%2FRg2?iZjma7E=HkR;w|c_ zYB|_nhBazixFBKT+g2AH)n5GXTVCsA-@dOgzw?Vwtc$SOOYDE~^OrsAi1-llzNE`3 z%|7VWH7{>NnG4ZFEz*5rImk>X25~!JfD)G3|3Xt0KFZwdtGla6k{$`NfPm)tksmAYC|8vE2?TE<}{hkWIH+*KWN7SyMN>2%vkTOw+wvrrR_^#F}Gu zC+VPtAsuj$5#u!E4rxqC@S648pcMeRYU-B)I(RRnEQS;tt5lqqK)QSrYI43T#vzN% zwWe$QiU4N!v-4O}AgBp__Y$b(uJhmQI2PG$LJF$88*8tq8W3AIG3tt&A4$GIW@eH^ z27=kCun2Ixn(ZE;U>l$BTWV;Vl=k>?6|Mp&g~5)-RF{oj~oO0BB)GjEfnS z*xU$lg}hkbuFXsBNkt!#@nDSG&9_pOh}d0iRsbC#bs*JuEW5 z(fO&^qvjlDp(oCP1fQon_=wcS_HAiWx*)UbICIt2-7=irF2t-s&VWQXNwO4&BPnyh zvicAini!Mzjk9OssCJf>dgns6wdcChH{Lz9=)q?0o*SW`ialyiwIlLN8e-gjtKGEt zJR`_sGFYy>moMw^wqjg_)fSp--$A)UUZ)%HA+O`sH-f0Cp5-+X`l;BH$cZ-iEcUk9j(~d){UYybg9`nE0@)T7 z_Oh^~Izg7Cd7FF5Cz$NRE=#y<-Ysr1@0W5M?FPW>?2aFSZ1W4pkA8HPp~crUv1W&e zR8`jD1Vh`ijMBIy*Y}}V=LQ3$FGTkja4Jh>TPt%;=MMa#E$r)E1^{-?}+(L)U;kx=sHOGM(2l84_gEEGIy3BXZAzqPh7%M(Y_Bld86}F zv4J?5`0^k#69&Xxfiv~|PfT_bV z7Q*4!3b$>vAD`e10h_vXq&t%Nq0pnY^v~oM|Bn4p99a1$p;*{KO&lSJbE^;fd+i*~ zExGUn&~vc>77MIR3VcCuLimbFgnEWzp;Vxpj^$8$aETVCV&yi22Et=GRzGtrSE}9# zNXK&G@H(>_kKcqes(BT&G)6i zr5sz{q69Lr@e=cEblU~;hh%KCEl3*-Rk7Lj-fxJV+tA__XkGVY@v33xI|SQp2ZG&p zl;UnXXk+6X^<#4#ZOn-AF|pmIjYe}{5IY+@X#T}FVBTXtGV>#$pNdVj$C$|Nfqe2e z<`9VXBpHr`ek%6Jz}n2v4IQH`H^Wx0UVT|RJz(+)nZ1}Do|riOG-E~<`)V;FL52uru`gzQhAb{b_h(rasC_~fG|RSK z;$*(n!cy0R0S}4zWtzH*hwxkNHVwF#B7*aO5|I!AbJwho&m7z)3wG@6|N31IGs)tM zec7@B#&7Oqek%3QN|T^ZaPY0(??cw;IiCECK6vUL?X|-0Sp5XNT4=5N=GF34X}WV> zWA}hOJYZ=qtef(|KAsV7qot@{g zDRO~J)$r6%Ekmm5l$`Z{g+a?6vDTEVc;fk1nB)}#fHbsvO)hbMl0RsJCsnwm!q`Rigp;f)&a15D_ zCe%&S#ex_j-z=U)&TlA+Qf$pmvbYiYsn}F|R=DTcp4D$}#5W-gvY)S6B$QB&Nwgh@nMLXa2kYU(n00x zbH}}GqSZ=Do$Nm*;kfFH%`fcTc4}x) z3|2W@9DDch8EB?=Q(IiIuZnEV2?-x}RcBS9*zK_RrX-s{?7CtU!^{w*3s3P`KcFlf zn`0g^X6YwF;reDS&Y7)3FBWqY%OsFsQ`~BX*srRoA0N26gYlg0kJDtj9p36?8*ztGoW8S)g#21WPpT) z=ZzkKyUEAOX!5Z^H2H|YWR6m?eIaf3=qR()!m0h$QS98F6BB_MB|a9PV@L%6CnmX{ zx(?gg3(?C!N$j{j8DhVXHV!;CaArL{s*iCFGa?h(jxsR;Ux>{Vbk>kAd;Dl_358nR z2>n#-QG0faGf!OY=Gso;>h3Fwo^WK!N|0NQ!{qP49}@A^{Q^c6XvbwGw&RBA$!%KQ zkJEhJF{qEj?t{d@C&C$orNV3_ZBC1iL+arO{`uQqS0-s zkY9g|8Is6E`}(rjB{pyw0QQ1{=uLI*1qG>V{YZO3fixb6Tfg(Pc((u!izS%Z3+C3? zpo+RVgicRTHz4aVIuKbq2bH9kX-6JZL^!BeDh3s4M^B)B@2zNJ0wCnaqe?FjFX6yT z*N)k~zBCJfq1hmaM!SUB5D*xRVh~1g(X*X z`f!ViPS>aCuG4Zw#J(e-P>z#o2;qPR~5 zV-a!ys$_DFLlfxRO*Rh&dg;wx@{Az|?=_R@>qc!41lsO1hCrrC(Plq4O?&~N&{>Kz zM$irkZq#I#apm;x0JxZ$G2}RF&ju5bIhEXf=Ekn4>jk!Rwj&NIo2@Kto(b*Yt=8qU z<)&PPZ5ubS0pq3H5Dbu*rpj(d7-*c_HPt8(e)1wTC5H`8c~*`)wxRrTJNC?8G+xb3 zBb*SGjm0<;Nt(LG>N&!<^0{PP+X^>dD0BD93nJOO@)#$?(ZFKC1xN>{UU`i2n|vM$ z)vixxp*k|9>WPd`7F)mV(YnM|4(_DBRr;JTS#0q52kUW80P%#c9{m^R1eh#HJ36C{ zzO-m!0$R({`V-bAtmKRqU#!c$t$kQ{s)mzh&hL)+mq4YAU3}2v&A5e81ak)h_8}0alCgOx77&Nb8IUbdZHYl}VbW7^;sEz(&t- z+REpeH`=|e7PUb=ZOd&dBuE?7^d-=?40=k0EoLzeN0R1zirOIxG%t!2Hywj)AW;8Q z_v4eiF6S{@+M{;40aok4c=J$>=Uefv2O_Z?30-(kIm+AV_IcEFxG^4+L$g$gx!CTC z6GGozb2vH39qn@)r2~kQ1B+pDAZ1PttUYuaZHxf`J_f{i*meg0@S6>RLDw4smtw~h^;atU1=shK5?pk&+>QCZ0&BZn##z*MW1=-0e z=Sn?_80JbM)LoVeYl^hV26hHE%vCE&iyFAmcH`HA?JIB9u&yDb_OV<}PRqMHOU-Dir{Rq7P;wm;hfa z6^w7D;?YYiHKXFkp~>dMAuh-{9LjZZ@o&Rgb)204Fx!dO-}wR;=ji1pz?p?*V*g3X z+~crzsw`TVSa&XxRd7Kz9HQ8M8xDflXyA}JcFvFXIAF(u=_@-?#A=u2^J!b z8e|+vEXXa7Ht^8>I6HV~^gB%OaC-bZjMaTv?2)g~FMdO{ybvr**bm$Y{Z#D91*m0Z zf7BOJK{S^H$5ON!i&jQ# z*Yb*D7;iz^C-A5~0i*ZxkqkYzP?F)U59MTud5)rlG+5jeq31ecmkP3->mWiP!8uBC zFH6$FIBF-KXkY@2hbhKAH6=$9k(sG!mj?)jXr@5Wo4ec+8P;b(vZGL{9zu`=7z59v zy%V-2vnj|cJeKqrc_g-i(>cEzGXUy4@IpD0guKdP-*`F&c}k=80a>RC_s?cg{0OB*ZyoshK1YF6NX=f`q58^aU9pAuqc~lcdxA?$yG4dvXqb1j2k1 zsSp}$QlGhv6WWF=F-f`nl3xIJuO=&--+$3d0k|q?Nbu;TqPb3&EV62_$kwq8?%o7h zBGAv&nsp*f(`SP$iOuhUl5yS*48$+CBw64>Ovr*rG#bT%F^~>vpn6K9-aGt4)B%?W z0|{xc_9>TI`@wnCLQCYT z&~Xe^#V6>_!$Qt-cMf!ZDmB#_>$}_>8?5;Y^d%aDN%ThKr$Ude-1uSMp(o&W-AAvK zZdH9T&!{Z6v0E)+_(jEmsh9rlMDW-WkpBQGThrZ6qT_t=cOT{O18L1OEhd|OX@DCGM?07<$jNY|$dw_bE< z8xiq4qtvDR+aOBV(&sh7x>uv>PHJm02^TvHPA?QySY#R?X8MZc3_2BvgULsG1~A z-DLfg5Zf5Ksqe9!S+Ha_id|bWS6A;dBB@0nG^3oS1+^#TBmyzkWzltiM9zK7f~||a zYb0V^s(rMoB_K$(2Y88C1{p)F6h!?B+QlFKiuwy4Ph%T_JYcn6bFAzug4HU|i!56b zeITQ9_Of038YTH}|N1ff{xAReL(HtdH#2V%LBE+En1fyrjuc@iec`$zKIdcS7jHzq zKK|uD{Yu-4i+l4_65Fxq^NoU7F0%ZMdAtOXj*oBN2>n|7sv4wK9Gj<(d?={8sb! zP4jHXJBhZ<%t+Ni_%l)r@W)SYz@6-`wf3+T@vt*lX)Tb$BjA5ViagJF*p)18?;X06 z{>2u{&RS+$Icu>N1MxNdM@06%s zzKuXO&no&ef+fr|N4-OL(!aQdX;&T=KV)l|D&Nul6i5&;ItB5Ue~IkwK!3%uwyX5* zqfGi2S2Zza<)vED&~II`)cA<^fHP8nth!79dA&n-(!bW?-S&k0?$PNPu{ZP-S?`Fv zb1jI+>}1G}(^ND?e5Y24i~q(NAm+SL8`86&37p8h!WBr zmOMndVRQYC1&AXAelW;s&uxcJ{fa`nH+l_X=S`rxlJ zJ_;5#c=M3VO^?1uoVM=VdyN#bHhsq>8SnJc7DSz-1G4yI z_a4cmkhl{i7IWX-d{DP7Kg!?0#Wq&|Yevzc(K@2m$8JW^qLI^zEas1qX!*RHh~9}G zQ^ta2kf*6H@1}S7N!BAThpMd#xS?{aE*tD0-Ht;xLQn$Ef9UVvO z88T&~jvn3ZS3z`bV2AIg+K^J!VY`S65u1^S0MG#6R@|EWi`xp>JcyUza3kF}5l6-5 zJt4Mv59_KTEJvX|Lt${iN1-0I!#Wr~_s!g{8#mdqJvrO0lrkQ*i!$6Bn3(v*?SO8d z_wLCl6xj{g*Y=T)Ok_E+ZS86LB8d65Up%1MtJ`fN8(oqmVG^x9l~&r8HBBfTrR+53 z+_GbZ_4m(q|II_4%*C&nBD>q7-9y|3simjkAxQJVVP5OqZn$MHtJr~C>bm%*Vj+-q z?J!T4FWIzls!Lrh8t5Mg!8B?-CA`|Bzi~#nyUiT~`o`9;O*rQFs+w&|=bPpVaBzyp zC$WlG-@R8h*hh(~+2^V`C{sufGa+)!ERDWdXjyz^b4_l%w_0xTN%@rj5d`J-o<@TVD zAi8}y8{9^3$dvT$p!g^0|6zYLpL$LW;xxiQEC}cwdDzi#B{W--(9Jwi%UR-3U2& zM;;C@IKahr`eBg%>8MpRRU#V-x-N_6>jz|tFwfE4QFz+z)US8oQEbR4yMe5GQ|`dS zfniHIygeKS^rt1nt*|%bp@Qf*Nc{-$B8Y-&FRnmelmprNG}Cjj zyTy5v^x!tcRSbxZTkh&#@6babFDEy*Hm_*74!65d{IvCP*OYgR`?yAEX6_L!#2j&M zwz-A**FRe_d*%0nJt;g@L>@NAyf_xrl?PB9Z^D>bG+Z(n!(4vi#JgcL zb_X7{#sh!SykVP-qT!TZ)X;SJ?nW=oqBs>lDm{-^Coa~zEVX){90z{Q~n zhcW`&`W3}ay}kGq$DFCyYB5wXCSa|kS%*^OEck{z8aTU7Qt(FDQI2^qXmT?`YImRg z`xtof$ROMthoynfU0D1y%HCSf)2WHYJ@6Xzt}&sf*T0u7E41wDmzvcVKAslQ**D}- zH*X$WSv_k(_!H@^InA?^{7a`fle7PZTHjIx3DnMy5pZ&p2thewu{%vvV>}3=9HDr= zUT2j;P_y(v*1Kel$40Hy^X7{c+yvdSz#J=zZhH@iL~p&X|HJxKI=5G zn(x~u&Cs%NhOgJ&tgBcn7hgT=P3vT+lx48x#$9~Z6>F(k70c&wTbV4Ge41~dnK1}g z%}kIx9;XR|BO51ucjVDLTKq7&srT_aSZC;(AUKPJ-2E78uMsS!uV#SGm%8-L58Kc; zaunC?;L#Klu1^Ny#54i_t{mL1cA#n58F(nW@4mCxG$6>m)CbRd^(>bMjdEh`zC|3v zH+S4wey6X9IX(So`Ni7NefT7LX74^oV-kr&cJ!4vSr6+zzb_$zJMz|yUSRGwSm;Ne z?@kGt4>}Iws}cx1j69&tuiQkBljGUYHen#YjOXU!h+72s3;r&&9D`N8({cAYS+D4SDEg z&GDWV(~1zH1*d5pu}LeAxVAL*{9w!iz4#Fc2)>lui>PFC4^fWx~sFMH&_b*uc1Rz&@rK_%|1zHN#XsedrBnkzy~eAU&5JB^r{`+=)h^HU)97w0D)OukJlI!-mJ zVVG;wsEBSp?EY*1#*LGtK@ts6w_!qxsPMmqJlv>SaDmP7-)Z;Al>z21ds#A*wVt%) z#fdfs9FFrt&=3Y!Qd(FsaG?sETl8|4ZuU6?P+~EYz}OF!f(-pEjTQ{oXauRJgGEOY z6+4u9KYI)|u}x0Lfv)iqtk8U|aQ|KeG{MEnVe0Ob{a|Fn?ja@^N-w&n(k$y!Xc}+k z=o~&de1+z?b=yBx=9AW7UFz;F1?*x!NAVy;mK_SqpPIt$LeK>Qy?FgJqlY2u_6nyg zP7y@nuE$wEJ-E<~BgVwifMTpg&}qKlrJz%UXi%zW^KEH3B~grqk3=}=I7t(2eB}1h zX?usXyvotsasQ_J61*XD$UjP=<~)z;y{`R4Tq484Q79Tj6Cgec1S=(nSNaB_IoNfE zo~3Yf#H`o51#(*C)(~cmk6@Mm6wTqC#zhy>Xc1?#-E70OdSX2-wEq_@dU`cHP1w-R z_y#~UCc?nOBtTdu474}s!CYMWp%zkE4wdBh#~pb{W>;mGLdRlcM&!RsGKZ>3k%U=# z_cM_0$4l?X9}|{s0FbXAkV(S32DfA~yN-}|WQwrO{h6>KUq2v^2zPapWc>@oy6PQy zr~_+R2f~4X@Ev(b_%dEbx()={0hmB?K(?X$V+!7cG3-a{?GDCPZ6D1LLD|fCS;cLMEqQOlGm}#8UqoYtwCp8E2uG zH}h)n6EekB_uMa`f!RoeYWJn&s))Sap~->Om-6$HcAJuaLf+=>pFVojo}wR@)m*63 z9!HjShAoge$(AGAqJ*C&?)lT!uGQW0@5sX_^k>VYQ@v_#O<7GfzahU;GpX(v8qG2~ z0{Qv@`JI|as{f8PQ`-OTHUDizj+);Pt2xz$zi5S9U;ZAeRpTa#5zq^XOhh!cD7idZz3Aab}uq=@UgZ9^f; z=a&f=_0dM%FM-5I8!gNTxInSs)ZTf6G%#!Yr3g(J=FRy|;%O;R{xOkLqbQ=ihGfRu z=eq5KaLn1{0+hp^nY!CW_q?dIRUL1l?nR|0i2097+tep0NW1;EfW21~D(V#e(!YtQ z<m`mI^sn0U-5MpWj0=5bC0iyzgjlm8AYz?iV28X>)Yzp+~!!?%~(x1KB

kyqwWXdC(u!>dXNuCnk0MGi&`9M zwR)g4N17n}I*c?z9BHYTkF-P@ih=55q_L410V60D%tDJ+L~ELl=f5zYD=2@vga$($JyJ0F1t(C#55zs)9n) zCejVAQsqESPv;rMPCb^-luy4~^NQ+EKki}+Ghj@hR4@w4Z}OSzo2^@bGbfb`uwgt! zW#^=V#_aG#5b1s*96WTNcw+LD=Aip@^0f9P*`(h(JW(R1PLj<$p=u!e;28N!E=!TR zo0?hQAAxM2=d{E(jpfR+G9=5Y=*Bb4s_0N0WL0!Q&B7|&@3^!wVB$idBrlK-=|uHF z(8Q?$yXg5`<`g^5;A`OsfvzvE#vHNolE#S!>W~=_8&}KQ*jr+RK=e_4Aa>Rp#a1^S zg7oTUN*c23Cyg(abNX#epiD3dx^MECQ=f8ZnCo}&AdiS_g9S%q#xN+m=RFOi`^q=f zzFjvCsnahq7Umt_bYCu~2v<*|L!~Tre)N^5yyfP#vta_4Oxq{bVzs**xkDFhSJ2)&u#WdX z9#(YdBAoQkkxW=aV3J_gJzL*8k&4@*pm){!UUwXlqkGpqnfj_FL|(M@Q!$^{6KPjm z)*ozm6W7fYDr|$6u4S4=Sed4Zq_@J`^IjrZn(UM_SE#(uw_Df8Rw?LOP;4GEh)|mnmj=b9A>(wjwBC``b`Uf6 zQXD*>rZ_6ZH@CyhXM%_WDI#OQ$}>U8+jiq>5a&H?-#fC;Enhl3BASN?B6p`+T<<&2 z1zCqOGVZ~b&GUV65?VG|i9QJ}o8S7NUN3fQDNRB|ViHQld=g5eeG)?TnF;KYMz-ec zyTxuQB>Qf$D?pPXm2m5G5NW|?8K0~k(>SC2i{;k~y6btm-8j{}1?TuAybP!Ik4B8t zEf#8wnDsp7tuQIH)+3D=5dIq2yd#G@fu^eJ8poWg+TGfmBxAV}qBY%v@i80(aj&}% z2dQd_NnOmT$=Xqug56sP3Jj6MQEi~akdT}%F74ozm87Rb^Yfz?&rP{$K8yLWL4kv#C!Cef>)o)Nl?bXvWM+>Vvx?*Z0 z6r4seh$BctWT^g4(33j9CLgFFa^yZ~Q%j+7dk7>gGlw1Wre)@^L+QNC%+-#%7L{(U zeLJKRkww$PIW z6fUr$Ec%!S_|n)^I_@QKLx7(i$kAM*>n` zvXJ*nkoBHJ)d}!Rk}R|P>YX*@@v$xPqf1DXSmu`NO#`1ifGqBUB7AK`+z80UkUGbr z+sod3H>3GmB<@P`Dl|UNbZyB6aSuPzyayzR*wVR}-FwZX?M7}?ua{#bJR%yI5ik

fb77rr9g=2(qelb7Vh*+1R6rS!1(zunJs|SK6&h$h-Jzyn}W5|B|Okfuoe>4c< zxyaypJ-*qWyUaP>dQ&}3*Izh~q64^YNBQyKb=h7;kK3M!-lE1GBDCE|AIYX`HoCRht>MC{&q#7|8bdbQ2Jb z*|bMSDvv{7qR^_c&Sch<9o59QrZH+gv&LHsKK?E!9zj(#$bhK|N`*Rsa^fqh59Hj& zVkN!6VWbO=-dKHcPkyv85U^2FwgY3eL=yE;LUX;-4jrZONMLE_kQU=%Coh~=(3*uA z)Ev18mwlK)F9Z)oL*J!6e4-MwA+G8*XY$Gc1N<18uOzbT(gu2*4 zI?A5zwYsw*zFFOy zKO=~R8Lg#V{OigyLRk+ zVZi`|JWolr@?|l|u%_ke7(&2jbYDWLmtj__t0teEY+vyJ&LC5sM@MpetbSfcV0o^_ zY|5erUK%h?_G{qrjx5jbN09KgtJgbKwVi&*HATjvrjzg(N6pc2A+~UFG+YR`iT3#K zWbLTSqn!iTQ{re1s@?C42|r=pY9WIRSb;>kX)ES&$t2v7%o)RXYfOJ@$+AX7_Z=w3 z!OL*xkZ4pL2%3jd!6pcU&nfX$4S!7o+>tcjTu5bxd8@oevRAaQCX3XN_6LjEwyAge zJ4tZ!Q|DlGOBr*mJsS?IqxOQ=l@e0dVcKNmbdWB7;Ps07%YCpBnHnW4$2G{TX_t6- z*e^zje?#P<4AsIahdQw$UA-b@pnJf{osh*dTd~y6RRxsyG)mNVD?8mHK8lIN#x@lT zPLVAi2#U>UOX+$8G6}VGj|mJe8lNu&7fp{+yoJU_^WzZ?|I+ky#rJOI2B>DRP&gGx znmU%M2dB}*K>Q+S6pP%+Al>~&Xw=_7slAJlF(-FSYlMPR7J@W_E+mG!-vm9U@@4aT zeSy^By@Q8j>V3mkYTEEwd~!V4>FZ0eSDRvWnX4N$Suk*}d!@Gr;#%=gBt^O+Of1B{ z-d-b#AYyi32CReE>})bE0O`<_s2&1alSArQgN;GK_>>PQm(SrLdjVQ&xq-#)|D<1l zg3%}iX^=1k=2gFm`F9Q#*M3V|5C~EQyd%GI%vI_wZNasQ-;xx(BfncSuJA`axHkWe z{7TJ~B(CrW!kXWZ->vzrpP5YKHx3p5HiNu-^yi0)+qLYD2?+!l6B2CYxFsaWh$ke7 zVn_<0q)SbS0|Xf}5^S>S4jBmq88Q-V*VI2|B-l#7Wh4;fmXSc9F(W}nz(&7iBoJge zBS93B901>mZ1X)_RE^g>1Bv&FSd6c=k}yKm1rd`7$q!6|mVf|A5@8fWe>J?jMWjBz z!m};iZ&a`9HwQ@X2DuE+#2ubuARUP_%TvP+j~nRzd7S zb@_DbX=#3++7SWlI&~Ckew{i9TP{=9{SpKhn!R_31QRF~j5fbby-qlhm+lwjm(Lvn zRN&fsK0eD1i7t4VlMP;QgoAH*^LK`@Zf zof&7>wz3Vw>;ioJlI{l82T*wo#8MTBIV#JRUe3F?Pf6x4$7oY z`rlALFI;nO$bhkdQo$-Hze#AWZ+z4F9W%~#6KbRf*0T*^$g%D@V<{i2jR@s5>|{Ok7M?O@amy| z8F?P+MR6^DZ!3`1&5sb*JF@slo0_c_og7kC*FMHBj_{lHm~g#;@paeerz8qqzMT<; zZx3?&b`PtH_Pry0BK`maWbHU7B~sl-Jk{cgV^OxI;{1{bA2)!m%Z1p3x^DNNH}}9` zG3U)%s9@)51!I}I2MqCBPN!64erS-s6xjf(8PZmzn*VaYzI_XUODnB~11 zRMB7qHuzXs3KxNcGH(lW_%8CE4eS9;nlT`{>tHbsUy@EjjrxJGi6|AUf-+d0lHrQe zB#dxJ(uA`V9Otldzd@W@TxgUAGQXfLiznX>2`)IMBA*LQJ~U_Hp%iOh{4P>PXnxzx z!!y}xcJuK345QgD9-iY+BQP;URKGZvp&SaQ?X4`qC5Qe-^_ z!kyk~APpA=qFCda0@5u8iC!@}8@(YihT}K4w5bf(?AQKq8<6%qr(`sE)1dq;(G9O& zk%MdK^)_&@;gVnQup!FLhrS>yluNH$WdQeyJ%wZ_4k(9mMeSfTS{R7m6^vrInH;2X zGdb$7i1uX@aaH*hYyb*YqZs57q#-ljr@o7NQsX5zKw4V#KJ#-2O;8zkdq84o5#(&y zJ1JG+sN3~&s_x2*!S;wuM&RdhX-Q1Qab}4M;*tCiJ8u}XYvjCbsk#3K#BTkeROlFK zQ(02|J1;n+je+14I~6-Qf8xfqzpqOj!}aRWG2Y4!9Rn0P7R8`rK{}*{Q;nOje>fog z>l2*TSAF$(-HRWN5$Wam!z(N#58LbM9+C5yAk*yQ+!}l26v$T~Ue$%56p=SVUmySX z55)iLA0JJ%ZimNmcgXYJHv_ zFa(Y4NkMobdy@B#Y-)V+cDjPtQGXzZpGC3I!MWSJR3SA#yh{b}iQ2n#M5Ifj5W6%; zqf2w`)}`9QvZpQ;#BW5QOO>&;r!EDn(WN6IT^gm>r9m5V&h-PqrY=f>*++c=GW%`r z!=`RZGla~#?E{(JUs{-IO|wI_0FVSJxUBBW7DSu?f_P*bCfV4JXuqH9fHb`%AH}F* zpq$u>>VY(Khq6%PEC;&Hj}W5n=Kj%WUq*}xFBN=(@Lf8S9aH==WGMIc-1iw|Hb1D0 z#%%6_38Zjp1P{m}^$KlAs;L+e*f5230>NNIsaK3h&<@7RZEB7-K4ev1`j$POIfVjQ zo*za~ViMJu#m)`Y6cOwTDP(S50y#WBhGM@E&qPD9OKS$HYx9!K`P(Iq0wHix4VI$j zVDfR^$RNDCYdDbpJb_`zs?)RqvL|!QWc7}8A7(Stlqa{!RW)~R#5dKKB~NMQaxKNf6-{fr_5?6_`im z?fMOxzPBT9kD%N8;m5T!0f=Z<$ef)@`MjPe+tkn6$wg#ux-<4z4b*Irhq&A6YGBCz z{7zOh=kO%oA;LYaR`yMwG5#Rj{m8uRyaY3lnLKJDkRRXEWhIJuNV#`*^M?FO4zM#rOwsv zbzK{G%Mu;6FMfm&9kGmy3cm=OSyP5!8COI+1j}G*OI6%5D`BrZTk#$DK+WU3F=_-4Cr ztB5=lpITSF_{B%AOdW5l1!1^{1F?tHV%yhOE+`$rT+(M#kN17=MsYmDMO zxIiXu@w3V8^boI%RMgnOAq(-!bkC!vx+UjEIdk*+63%nXTnjzy=&dLg!Z_*DfxtX+?JnjyeQ({* z)&n`7KO_-__WMrHk+iB@h#j_bRcXju7OTo!?Wn8WJWPUYOB)2%K9^CZ{*YYKuj)}= zp6cTcrm$*AD_R$bXI)5z%pA#NkLAo&H$PX5)^%Jf4;BCgn^7!8 zaV+OeT2}j;kpJia?3#z|f#tgT$rX{?d%@4h_#3&2jK4ztkj$p1W~nvTkUJ9c6^K`L zAt*)UjgTCGy%YcQ1F+hgW&C5l$6h@l=;@&k4~7Y;oPJlN_6->pJx^l*o^r8oOu1+S zR1l z^b$aWM41EeRpr4`P0uHpsqG`#x;8e@5Q&H$fL#LlSxD(!=aYZXl_z<3 zwCBeHQ3&}UGh&%_g3Zm7u!#WIYB>?zro;@( z?9tlg=XOJO&$ei#LcKsa@x|&BVy0d$W(H!AuE>2C^;b{t#U^IJ*g&bws!@KE&}8o{ ze*PHRy*|&~6=aZRGg^bRk{R)-kxVRp`#2PS@yPHP6pJ5wP&yf~v4%pil!0>Q>NYRW zL=^_GcjF^|d&tu1+{^FF+>)B zw2Fx6-P7X~w(n|#1wFAfj(iP>UE!m)r@aJb)7Ws-({mg!O+A!5wka?Ji0I61`4m_T z(|eG{62SU1AO3>!owdh?QuX}ycJLBNdwv=cb#t3XAvUgB_@+(l14srBLN>MH)7>%;_>xaU5;faWFqdwC^2RpI=TSVNYX`t6C;@@u^T9)(8WV zbx{|;TomJBN!DwdtQhrJqKQ;7(@L-l1Q{0Gf-y4H^3~mr+xE~<|6EP=EC5H zU_f-!fKnX3B%Jz%wI{?|$YNxbl#dAq1|`-%eGOTdWP=r1_6cOih^o6!0;$EFhG`)4 zOWM}B+lBxewxDDasUxF3%zY8HBd!I&l)B%F+I zR*n&T1LWZ>WO+9hKX&B$B*;3fVf5n?k<5|4yG5}J@?{021>rDJ8?KywLg=HIzh4|T zQHYG<)q5f=Hlr(^f-=dJ87PAXq=^h3cDx`KOziF~=jfM|O#9%684!EE9Hqh^K-yHX zsGqE|jYODAGm0G^9v7q!508ub4?k6nHXihKlMz6{EQ?VhBu%NI`ZrwQ6x$XQMNej$H*e(ijfr%`c(9M~;S<`m+I9-T^4}`cjk1+_5A}dBxvdyo{N8fBX zzF-Gt#5x(Jl9GXTXbbD7#Ms7!c=%HkLt4xrUHwow>PMo?Xe085BqakB%(56ILei8P zs(%yqpPgFmaV^Jkd=ue~r+4JZ0n~oK4_1$UyGr$rJR&@{Mp}$JWAqh+a3F6yyb+qK z{as$?@{cj$$aq`s56{#Nd#rZfJ)={VAQcTfqOUU{rq12oD~^b|mq2cwb;cHUf7)xK{Oj9k(WS6 z$))5D>ee!4i63vN_RNFda=o!NDT;2X<%0A6IJ;VuX&S1MsUX8c$PZV#LVS39UJ%V@ zc_Dr{ah!I19tcI_r6?KDO+2x3BF$rISgK*sBwgO5i^`j)0Eh-=#F#*_V6<^%y~$^? zXEwbBpM|>lz4$;1wrZ@Mx5c7~xY8ABS}fu=w6tJbNG&Zq0*02N5G6*s5Gv{p9twBF zH?|%s*r{g+a^uWi(+gyoa2K)e#h=s(WX0hGMf#2`&mZoS_K6jYOIOxnL-ywb%LIxX z6wzKmLT?Shbs!dM$QmQax}51gkoKitE3zd`Ze7$q0@hsKA%ac>WkuGWEBAiUlpQ{m znr=l}4<#f~NCL&6IfiT-g6O5*0nsbPEcJr62W@m#t{+IdO-1B&Vv55BukS>6;(}20 zmPNv3GWGdmBhgz0!68Ie-~COsX7i+M(HdNqif`8EN!g;%f-njaUT`dlZ+PE_-^|d_f-FAs83$^2Lj^DH)*6tzU4Ss)2A$Z_^ic0m6^JpGk zr4M9tZz#Kbv<6!RE~SJl zBcrS5P!H8!-9Ztmz4}d#;A(ZRMyU2mKL=EsrKsAZ4Au6^GYf9i-L>S{mf1PSvs9#RVcv>Bb}o(HFZ<5NuAzXuQ$+=|1}6Orz&na% zLj6cN*xJ*=ybXChChRWReEP8^k%?-ht)&Mk{JI#zf{f%MZ2s+so6+i8Et!tE;$n>XuhmdA<1Q zh4`p@_gtkRLR^rFZ*co*M)|Ko_F8x#bz<+rx~pCU7|9%uLys{)y1iGLhDd!FJgnnyHSB0@Lsai;R!>7@PXuh9KaXkAyn9T27=uwi(vqh za>z8QCvqmwP-%%z(se$k#K(XVjBrQNgd@>pgU!=+dO+Da3Ah=WyZ^yrCU;Ca%oUSf z!?7Q^#DyHTrtu7&l_LZu5L{qlDLK~e1L2C?GEsZI4>KY&1Eg&j(IkU6SL2(K28exA zChR>JSQ4~FSFf&3jdy!Uc=2m>83_-bmBM=}L3!a2anr5g?Nbh5BCi-VOHy0obcGLt z$iyS6Y;!&Cd!h?*cO1B=$7d|my8$a1RVM0@Jb^m$E1p=PYiK}JF<2^mP9O~hW8L5~ znwJoSyG0?7u}Nh81b}s)h}&fQ@P2DdXoP~%C>Fv1>5vy<7j9ynQ~28a+2KGY-bjr? z{k|J?BrPnJ;WAiQYR8+<)XS;bSy%P6^%=3Oo*^&>zEG%@*GwpRkA;cO*$_C*9?XdK zG6QI+37|}6VdWGU8<-FrzC@`wY60P$4YbM`7{mrP-c}(OfP&E|7SaIekeFvZy$kw} z4y(7_6K`%Er>4awJRo0zaN%XCSi$dvCfi%DDj++RA$OA@b;g_~h zLX$^Cx;#p~1hUg!&<@6;ejsS$LsnH6E&GFT>b%P?$r8{LIq{$b3!QwXPLj=9It_o{ z7%d(Uxg`>%$T}&%0*dNU%=1$Ow8<$-iOz{FC_vmp?+n*JvGB`{6i zDrfYqW_=nERZNz8Mel%i2r=ppv7(JA)rO^KE)qwQfcEJ1YjOj^L@Q4sOGxI#^Qc$X z$h`?5*BmM5i`^97z4?;fznJPG;Ayc(cjjux>|1x-UaxkE3&=k*A69wiNwiL$LbUKQ zB6tB_5L?zduAG%!drlAwMtljRIRL`MkXJh;m%xB%{u~w)L{kuu^h+Q)Im`6}DMM7& z-)C&IJ#;dHB>Hq4YM1EKvt-6L+tEkmuYkA~vltfMAWZ{;)mNlDubbpx2|#{J{BAB} zfl8UM<#vh6Ujb1*EEa5lbg*ibcZQ1I~48nSKDH@n_}PP8p6HWrB#wSED%*aU00)wUFveG)^WPda@!Ng$(ukdg(pU( zbsF|{?QMPbL@^>y7C+dVoGQ0w2|j*fs@(c^n<@jrP~7@4C}!-bh3-deTUm zt}NEi;Vx~gM@`5}AWLZ!#f(={{__A|v2OJ}gNv4HFOWL(#4@b-w)&B;n(@0K*yh05 zy+XfFc*KFI{eAcZh+^)bX)9hDf*~IQxe#&YhL7@Ovg^t*htEQ zj0}h}%VHFWAp6tP3CVN6<<1amy1P5}d72H0%{z{Pj3aEZ5Y{z0ysqivk?)p@JHB}ZH1njWWbF~@ zY}N?`ca1A^FFsw7-onFEn`AgsgAt7Z8W)$)GpxrH) zQ4qXW#@fq-=E7|kU3{udqzxQZ?QuX}iRml{1lk2_%t2xqcvQB1%yQNqObMag)$PvD&+tO2?j9l^{bW10n9} z!Ow!Ws}XsYJN!kap%`XeTavcpu-(SRhC2)sQdiKiQk<|Pc(S%ZtSB`#D*RET#Oa~I zuer&mdE*kNr!Co;)6+u0hGKH{IdLk7(mw6NH5v#wMXBIaZ;B*FS!jmQbG3bSj1&nL zJ_fcVF8Mq%5AqJ)o_V+k74xGdCW=q!TycnCW{Fa@U1T`UeXoFm4HOGjopN-k*Bt}yCg_K~Q*3{^RexSZ4lryvsn4HR5y!19 z9-LKi#IcpjeIFJ;BB3jOQZgW%G$0GPp*x5R4Gf6}QgKdmX8nH!Vb~_Dn@_g0 zeN$}u%Y{bQHXXf3J&$PYK3jySKs8hrNQi3Z95bOK$6#pM?goaYRVB9aXlOpP)Xx|$ zW~keWju9n@x{6axt2uXK9MZ#{iY*+_em`Wf_vm#bnj1^Z`=cg9^OYeFm1Qv)S3$aP z>wxO1f6>U5I}R|C5tuI?&%tw;s*L-CT83)3SK(Xj8kd7Wj{n6`aV^WQQv4_IwHX1j?`7OJzy4Y7eq+-@{ z$aKFg=YrVUJ=MI!2OoxGT}}($of~|IIW1HBfXt9t7q^~$E)(G7?}s#2RgTmYQsuBG zLgBI0RCCL)p^~bKTh~E&^AW^>c_FLsgxnetNL^lBPz2dpUd98#S|6oojRb8bO7PQHHIe5-Pqk%*E6bn@ATA^FaNAT)(nC5>POLV!43f3_1hOI>&1wmFVqYVK+nRS;j|lH}@}Cq42vcmVox|Gas@oq z^C2hH%P>iNC1jGY#^-R~rN}u9_fmY6a!R-meIh#cT>_okP->$C#fL=MEWja#O+q}F znx(iLUhUbB!Q^478O12owVR4j>Zfn1z?Hm5a1K1^a|C&wEBYWoX2HUQ)9gTm(;N!L zEC<3=LDo%WLi2*{%JGRgPBObJ>#Y=KhoCGeyOIV9;v00#afE^k6bnv4Iyw~9#~gPP z^TWQeOp95&`~22g3aQ_HAY(}Mu^el!*(AFVvmfX$jM|_33pI!%SqtA9u`&ANYB}wl zp`>6Z-Nw|g(}!^g{xq95`o@7!u#=MkHSDC97>a<}Ls<^)FQ8-KBI%gNs|>~JO?!{~ zEGKabZn zovMewUR#s_5EsY6OkxPNJvtrb7WI4}OIQLtfFp`*g~8NHw)=G#R^0uz3p}3`5F&-F_DYuq$_sfx`qgcer|ib?=GdOOU1IN+DEfmW134fbxbS551QXFqrzzB0MPN zheVb$)3D#sFpHAD8deZ_e%Z2eCfD&>>U>c=4`T@=spKkqZJVJ1NUot-BI{M=@VEip zcslYp{J;vaEdXZPVtHe7TP(NCWLx~M04!fNhN7Dez5ZUdJM0`XVZzq8Sd(FLZ znCmtJ>hQH9eve3xSyvaTYBy+P%%Pabn$EM8L`B>QEFk_CuuLZBEYgyu(0vp8aE1s*5gP*ac}PSJqpK;F zz(isM8h<~I2T*52@M9SuLvJ5Z*f&gh2#w+;mObf_28jkl8wFdP3~tXq1XU zMA{r_te&HhEu7-hBO_uZK^Vl;N+Opz_dEfTF0~r~#Kj^Lswx?6OVYA)weuR*au#1| zW{4I&X9)?&hUJ`H*RMk7Fcd*HHSNrE6${KXwc61@3=r92?{#vBh#|WVZ3V!_?dHSF zK=lC* z)L1AINQ3+VyCfac*mXBRJaUUc=THhWY z=-Yk;Z6QF($v^p`;gZAYe6&SWY|m|xxPT>#PCBq<(MkXLz7BapaK@kNUdO@q@X&u8 z!UUk4>-O1l)3(n!yID?`H5kQBCOdC7`wdGE&!nT)MjOg==&bFwUh$BcwwNF;0DtWx z02{BbD8w-$r{Cw6S@c3Pn&AiCp&7f~vkZoIJ8VkL-z^nJY>0TukQmtQwnz-}gm~^v zmVzd{f_A7O>ZeMfg=8B%UfA9Rn{$>orlPA-XGnP5Z5i13f&@YDgo<xJI?m`wsPp9kh{2}vp*#(w4fnB zio6gO111Eqz@1>U$hytnF99rWCkhpNRwWphIG&O5Ks=pEE@hlc<#u;=^?PiSEvfk0YOY9i7{3Y{flIi;!y0Yz1t zD``f~A+$LrBgUXK-KXUkl#FZ@2ugWWO3+5Mb<~fJ&^G3a4+5+R$vK@);l(wJZV6sJ ziYNn6of5Hj7XA~Yp@dO;h<@WGFd$$Rr5<%gV`Lcm9mrIlcEqxwOFM5kq66KmOGreC zvP*Z%_L#cV&DGSUfuKvH6uT6(qf1eLbSc73*`+<=_|T;i23ChIbynG<{EZGpk<_6e z936_fqxYT9^UMdsa#Ws5^p<<==iAtuxDM3T-I81;d3AFw&9$Zt*ITSLxU32xOBT5sfH6&} z?~HMW)X~6@dLSP*#K{mJUW)HB` zRC_lM=jLdUsPwi^j+W9q#5!6GB-+>fj&C5{W57eti>DxqSybY=*L;0Wk>QGBM*R9* z6vOqoAk77X^>gZH8yO3IXYHXpc02Lm1-d4${`y6z#~0{?jASLsVmQ45FglU# zrfu?U>?lAW+@)Ly2Byz9+s-+FP2O+j=(gXs;g6bg_%1V=10*DP(g1`6PhPuZb535@ zM0-wm`($L?nYVfwy8CbkPN3V)oXE^j6GzfGs)ZE7Z}4-M<2@#nYRsGf z!i^W3pq7mno8U_z6l^r+^#ZGnxeU;yjW?8F%#4D5*g41G36tL0Z)uGllDTd7h(ssv zw^U4mn8!I*7uG(pyhuv9W0;QG!dru0xx8Z!B8v|?2PP9J4iEo zlPlOw(0_ECg{xkDMUrp7qt%(V@(w&WmSPz`X5c`piDG-0nfTU%xUtrc+l94({2K?a zDHiU6k-(NemIGVv!O;CTQg<#IA|UAuEkP>)afDgWo~B3|e#?2zE=iF+`fki@0hXT!Y3K5W!7|00hO3}991bkz$Ad8 zPPjQDvO~-??03j)(=nMOwCQ|F3B6eDdd-zjV-K5{Q6HMYRBE-K_4F{wzGyH_{=M73 z`&A-&D(#ZwtDYV{x?LJ2j(nLoY!q}emEF%@ z;a?vEAFpSu_aaw^$h!RmtB(lS%d%F)@=?oiXGqI(PbX=aO-5m9E^6@xR29ZNDZ?xsiTc5xx2~Zp$MC9v7!^~ zcZjg5O2==@L7YUYHd@v{v+R=ob=~eH{UZSVvm#mllxmW>RhuMe?FtcRKA!5I*)(nO z=OvN6*@1^dj?b3F=Oo!I`yJwwuc!Lwv|+Yrayq+hww(C=__CSmmI?2h*>Ty*>-Uiw z?RjyNg8SvPwjyQRE}2556`oX%zYo9HcPwYzD9>8N7I#k&V%di{5>3WI{)p>eLZp|d^caS`=^td+K?r zJ9r;V4ojvw{%ZS?mW9J^r-Z~TQ}9%?np(O+vg)MI3E<&%x${UQ^k+26ZlC4f689HF zU2aQnn=V#<8`&Gh`67P%s_R8kHyhDc%u`-%%w*omDaN|`;DR&z9jsWY-S}-+#j?ik z>Q_q|yX)a=(%4<^RU}pjP-Cr3(paOKG}bCk8fz^JjU8Bdd{<94xsur5Cd}i+FzAm5 zz2$|a1kXj!Yvy|4#Q5}HI57(pqzXRD@Y7cc-0NR;)l2$ijiP$-Cvpj_SSGzooTMw) zi*+XD@;1)!CAomtEg3q#QJFGyWdBprqza;#r~P+G$G)GLx33~x<07?0)X>yCo1&&FaKw8@Td&`ErUN!SM z-rrXD9I%{0kOG$KngBdN?PWNFfGTGYRLmI!EsGgsVCCVpA z-%qvkNV^hC61$vp(zfihnS%U|M%m4`q~Uh)jT-*)O1YtUcuh>?7=3(x4qBt()fBe> za~eaZIvify)d(VvwE+B0eq18e6g-JlDQidBzd0nvRs)mk>%E#Y+Irg_B#n{gAo%cZPhi9 zTCr(XpJ;|f=EmoAHQvC_tY>A$IdYge6*W_65#=T+?3A&XV> z&C6w6JM>E0-czNA+c~%bd5nt3UZzn>ICHvn^lph)z&6McoQS+$`!-mGBsj9rlZlv5x7 z{$+@qK_>0BH_Vcvo#tL(vjVV&zfdN6#E7OYvwBmfo_Ra==&qYxCZX~cyGkS~pnGXchrzj+Y76jA-J0 zgN+=*32FXteEDzx`Z0N&+2vO6wY4g1_bY3G?4FSC9r>64^b0i?d!86nubL%>{<4Ns z&2PxB)?8LUZDl=Ae-hH%!r?6rBXJNDdA!=x7TNT=@N`0fMq-sKxTeH z%$R%$B>x!DwGGufYq?m{S~Fz{vO7}kFM&$SP0R?`h+<&1=}Hf2JerWDkQlFJ)qI5C zAsz!B6S?dbDI%ANzg0N0=t)zS6XG&t&uKmXg04K-Av{*g4=w{!-n}o1Y}!0Q#1|}x z-DJ+lq4}U*QLL-8**=oX_JVABm01uWDM)R*BDRzW;uom}YG*z5D~(NXpf?h_Hpltvg(0MS*dm)XyH^x zET2?n1vb2%J0&hNh?BTByF!)vK|)lY-k0WJlgGvPk_X#nJBCbnr~U_Gd1hj;ZHHf( z=3v_nGgLU%w!=*sje zBBm)Q7TN^TiLt03h_x{n+dRxDNN=AyQNN=4P0wP|^pFtn;iZCA5Pp-;WbfDp;5#Oj zZSiqDMP~iHX`BLC+by3`W?{jr-J$La3>D0s8#X8*oQ&uQMIp|eq@0;T?LfSNkGSmW z*)PR1NeG)j4$o*ZBdp69Dd(PLUNlYDB$eIYy{CvBNkT9B5?GRXvHV|I=5mi}U$e}; zeM#m6A~I(o%AAygDOMg_<_F^cf=wrDY2{2-3RE8(Q?jxwao;gioo<%ZJ5qg&&CF8; zj{!zimbEVvMuhf^AS?}G5`;bYJt>IAGgt<)dY<)Y-8+Jfz|>$_bPY^{Wj#FW(oBn2 zd+{-;xg%c#QtPmiu9m3M^<1aWwfm~WgnE?no)o8g)hUbv}yv@$>wc-s2WtZ z=clR|A#3roGXm?39-}SmXBnmrC;K(9ct_U9?o`rUELCr=X?dQ-Ig^n;lk6Nx=7c0S zDElxLme?HHBT1!-_PB|&=#J&kZj(u}h-ADU5l!!^KY zVfUE#jLa`+i$#2WCm9-&8LhDP(42}pNKtw9i%NlP?v0LOn;(P*avRKMLv8#N@f?U%MS#|@k=1*?@>Kisu;gPH2?GhjBl1wWBvW7}90&;Ckx8u$!lTyy zu^yviLKIj3r!oGHOln0;9$B-$en9@1aOUCb2jm}Yame_NJS6TTT# zBnbZ%GCBQXYKV0w7Uf@Ao5mStoP}cE%&Wmq$P`z$IA;yab|O^Uw<>8s81j0DCI^;f zwf|{J8>i%-khgjJr;i@BXZ0|#g3vf1%R0jr$ed)$k!`$?^^ZlH{q5GeSN!V-hU(l#<@~$XLfTux zk*AKiD_$>Srx8L3AwUR$MmVD%+yw-UKrwGG=n ztJrI6*7klkMoI@++ z?F8Zacn?!z-h{iF5dBk<9u?GtdP>r5>SXGn7C ztY;IT#sQuSK?s!ThQlfFD>pKF6ni=CoM(k@ImR(hqxtB!}c zVmH+1Aw?KvB_k>T1BRirPB&Ba9cgy-$YKR&Oc-ZHPXxj^D|#Rh#+ii(hjB)PLvc`?% zpDj6($p?-TAU}_kMb{uM_QkR1LAfPa^kYQkFkNU<2+BpXymlfdZZxpiiF0C7J}nCH z<<*}Eb|Q;J*bEf&rk=cYag)$m@8t0u$+ugT+-w{#QR%QMWQKvnM4G*;=50Vsup3Wd z2S!e#FPoiIQ#b(_v6ohYrjt}-ldNVUecF=wmqu!Cs1c2Uy(q5#2R? zGbQ#ByW@T(hs=cNxZ2*v-o^n!(X;-5*o^@eONbF6>BK-14q1d2Q_cX`3>5OFg7BM! z*7V2XeZRdC`-uxsAWUS%GmtxAb6eC_5!dB!srujjuc0q@fWmWLPpFo3UTz2a%@!X69E2}nwjBj zvr*Yb{zEcjH0--YT#)V_hQ}>>mxU4orr_53g+TGWj;t2~69%kmTST^fx>yMRjHF#U zDa@W0rF}0m?38)E$7E#bYZUb;E`@ zYxTqIx7@j}Ph+FdPwB+1CidKB3l(yE2dvCuMF;Nwkj#M@nMnWYIf^B9I^Hm$vRksF zXEj2UG0oPu0wk(KkI0K&ekf%YJy1@JmWG#)Rv3qG?OO90N&*PDj?nUHzqz2&cy?)5M~610|~XHfs8<9 zy-B*F`J3iBb-E)Axvy?8NT#@b@^uVZ87@+_^fHHw#LF)GT~a8OBMcYN{WCT4jvNcu z#Ywo0VAOORk#0fa84O>EJv|$@;^h^?3DNM_65Lb`p)I`qNuie8N;7hZV%|`Y zt_$01l$WNV_Aw5`Esh{|&zqHdL@_zcSVjh9bv8?93adyJVdCx!+L^dR19p1&(ZChV{rbAx4s!>x72^=0B+8guM|1Y`bJe0 zYVkFQwjoD!&Ryirh<9pY$o^%fF(lpQYCB+ zE9Ek-ZO7UR;^o0$VfGs~qHvoF?PMtmgOn*S)cz*sMTJk??gS!fq89yVDKsw!frRU_dOE7Xs@iZoNRLf}}cW*abB%Rz~k!NDciLevS@i z0oN~*rKWh*6c^bG2rd;;!M1P$rV6&h$IQ^5eag0U18jFhQh8xNyz zVz$1CK_w4DN>0rzmP)sU5T6${z?UOeuS-_uakcIkzh!L=G2!=b$(wu(C&xe0 zTk;^`zqOLzjwF4>+)1R7iI0`&&)?340%X$4iz#7 zZ2bufaCP*}0uZs`D}k2L0<`VT0$k8!0qQwh0RE;0AcEmb5;neB3oQWoz!&Le0d7qh z7T~RUACOs=R58~a9~K0HLD+66R>25;z*< z0{=HAtvr5Dj>dc2J|M7N-uA0G^nxR*A@W;Tg$BVu1SJzl_C@@YG~9D1f#2wUM@&PU zgsPC&0wr@k@CEoJiMc5vv-jqGKxS7@k6>!=2uD;ygoH|BXp2kKdD$y|?Xy0*eL{h-wH}g$B8arIMkw{)vjiN#HlS z-x1RgC!s3jwLr<74}1YWNn&nFl-WmpnY6di34K$K=CiYMZ8twA?YhWVb(j9$`*Kxa z?tLQGb*at0Z?ksneL0Vgy)P%OvG@6#y-x&PM-tYztcAT#KJZ1m_5Q6XqxavM_d%Hj z6GO!8gYioHK~Kj`j5XLlDYJ>;Z<-h&G%+AyCIpqx#DEL@zs)Abe$OW6t!*Ei_=8VQ zl$wA35Yx^g4a+drzy5AAg5GT=W>!ID|G3Ntc^m!h;Hzm&fA5}6;j@}WD zsD@z9g$DWJfJ%mm+dt8n-AUj#G=K<{j3iWvSP7JjT;TtCa+dIWQnL59eL~43;<-l0 z-x1Rg32x4ArhKXBN+$V@h0ID7+{-XY{3Thacz!zW=B=q-d(y+I- zeQ>4Z>pu5{{y)}z_9Vi0u+J1ibQ*_2$>ZP^O8mr>1DmelOof;?0Mr0Om<7Q&k*_;NNX>?K#z_yo&skl^oP z#>|ndBi~8r)6J|T+b%Y_u>XTeEsx(Xui`&HwCxl9QoG*mPbCzObe{!Y6G+5IY6@<|cMPbO)LwY>S$;a1$GB-~;E|D9jBR9rM<> zPaZFDqYQ{2?0uqR>}Vu(3=tEn9k@X3emcgU!I_PJqK7&l;lClYhzz+p2^GB8!k!@? z)-{21?V4Lt;>pivj~Addt=-1VXHR}it-^^M5j&AnWrbhoT+sD{!uuyWBAfz#Z!~3w z!1W^uJ8(lKJdiUc@NZ)g=W+IXK975A*#~-shpT@03&hSEKGG|--FFfkQTI@O$EC6} zMhCODd80&r$EC9WhWF=)EkT@wdXQcVd`#y9U)(lI?oE-dd2gP{>=MNg&e5(h)&EI2 z*95s;>=z9#xn$Gz{rOU+{O*4zQogFZT3JL9>kzQ=FEI-vT0ru+9GPD{qAKE&SApeX ztUbvxl5j5(srm?v6Ors0yHKrmtFo#Y6F?(#uOj0SR8}KIB$UdLrjISM2sx`3@B5^A z`g%@iUKw{406Zg-M;ICeeG$2oA*Ikf@p5a8yylT!IyCP>dO8<7r;c}a+2c(gZt@;~ zLZgiUt3YHd%0vJf&~>SzJn1YiHEC#8`)WN(NJyx*0wEtPBY8}fXxpAP?wl0uV(ikQ zbL#NJGy)xd0rD2D0K3J{;gd4#_8%c4cOaaT3n)iq^_&#`qR}^f+LFixNJycV>1kxy zcA^&`k+@#%i=TkCE?2OR!2AVBK5uVcm%HAxj-2abuV1Ryau8#`RBz9}mNfh-qoYFG zGl=9iwK(ewmM)W`y6IvpW{y_sm0aiE!&kj^M9l^v;R}f50&?2J7k45*lzGO}LWW(J^xv3xa+wiYCg z64HQxE?`Sh$`TAXdY7trIn!sHN{%!_(%ke&u%NtP>cG|NO|9fPLJi5sZlT8#A==6S z>WI1|>-$*21saf`&@eSqAK-y}U z04^Z!fh&1}F}CAqqx-^exsW4At0MuwXEek|*ZB`L`*;i1JAQD{Tj=>GjX%t`!CV}t znX-jpD{7)m5)oc%6o3SqZ)L6GGmVgSpU%&8Q`~1nRCATti^vWg zGhTO2Z_1hE`EJL=d^QuqGT985_15)UZji1qCU1mB5AW$vS=VE`{i@y)dNy2`s4~ zxt`J3-s${Q>PkMO!I|P8};cBF`Ef?t%)LLQ{`c zm77ee9P&bsA!V^ev7;{{{n9kbN4zNSXXpY-{bL7(kaJW<(nCeyKbZE6 zgb+TG1{rx7K8!ZWz|f*60#ki1p6)DI( zD~#+|lkLtUU(1^5mRn9obfpAo%hNl_xI|AIS1!L}+F(%x3sOq-;HnksbeK=+eU5K9 z97hJU$)^%%USkSJ(>h`>o$?JSebuj$9tnF|BEoE5K3jTu78s9?=%$9ZU2+Y~wz}+e z{It{Mk?SMw(g<>%voCP{DOb5-y9yT-C{}DczaiEm0NLFUrnaa2Byw_VjIslx#)7A!o zy>oJT^dn3O2TJLzvBF+GtWdINt5YpzW3JV6e%hIG0&uwZe35C%l%39V)10gk!3LiD z+;W^fRB0bO@7G85{5o_;L!V<5pw_rGDo8mdjjSh?U3oavUEf!YY+;Zr8O9nC24if= z*vXdcOTr9UC&OR}*<~GLm&h6_dv=P#*t2gT>mW*vh%Tdp(n^{K5(bk+jSe6Qhtn<*1#6~)I8wXOSBfG;wiPhU~sYmu%=vD4WZLM)$J zng2sht(wf}VKc(qfnr94iR&8~eE+wm%6n5B7! zT(q&|2RRmu-b3j|jv{4DD?m91g8aq%W)Bm$< zRP^x(Jf&><*g>z2C!-!xfLMK!%V$X(8OMPGrrTR7w-oB&m9xztubc-}Y81x|p-d?| z@WP^oygrQZw_X(WMD;a%i`hcaP_SXw#uuxNljno8_bXs=uD|4Zj68Dc%td+PR#~sz zTb+F23-}mr-)w&q&wG^j+%uRLV^x`8ZzcWRMFGUYHHFP(Q<@4C^H`?h!LB_xkzh5P z>EZ7WUz79e@CEJ7EyGZ8<(QOrIs1$lYf(3@q!Rq`N1{{%mlI+#Y8F#a-fhW5Hj_<3 zU6Nw8D5g*0KcD&Pu1#T{XO~T}rkb-epq$Rg}p!Ma9ErUwS_U{@gE;uyk(Y_x`Fxp%~bK^uX9;EwxywMalYJdV|Q#7OIvgm z+v{4d$zdsnZK(W|<;AkeGDR%zz*+!~Rep)vceB|l&nnijuwUftq93l}zEFim z`Jh5;5WB)F()qULhR#|;O;8+*x7|F4jnfcq zs)Xf*av&MSEbbjd;t_d@4v{d#3jH3kitJD7;m^FE83MTFV&S!o_2t4;ZN?i-Ej@x!?tR!^{pw-Y zWPTl=WoSsZ{|7iwwdDe}Yk|o8TLporZ6W(r`mka}f35^-0H%&`0F6nkrV}}=6!@6X zFTBK%H5p--#7)EokJ^4#zbMyQH233tb!n1=??lw@HpEMfRj#P|>heH>?jWy^T~^m_ zU`(sB#ZOH?qEo**gWjfMqy z3(tBhHb0if+zBu&(Ml`d*CHP_Dcq+KwhI?tvbqCQeYWQI z?#qeCFggsvS?|F&Rqb@gnTuX<4c3v_m_SrFYuT(dEqvh~%`0YnAje!?i{EEDGgcs( z&3Ng%)t+VXS|Sb#vf#7R1n!ndFDV}p;)I!>ROR8mT1s_fja{SQhqwF;|MgtYJQz!1 zDvL`no>0hsVeXRTdQhur;*RT~6qwZz8kLS$OS*uAN|;}9Y*3P&3oCs&X<+4aTeAFz z7^0xm-J=1sti%jZtY3}u3VhdcBj?b_MUFdc`ttR`UyovAb-8&HsQjwKkO87T>dRoB z_%)ghEc*~+ft8mk3&>E_^@ksKY$c1AiQd-|%5;!jFf7d|#PFEvK)v zSKaj2dFUIIo0kS|R7+H^vN=&_n3lM@B0aQbSRgjOWq3X)H`&))(pF=$u!@kNX#QFC zQkA3Q_&`J|-y*ww`&z38&(@{^4)Tsx6NU;@Msz9Zg~aq2Ni%npCrcuYnZ*T(1H@Q^ zyMk(<2fR%@X*7cCdJk=z5nxMp_;X*#A&`4ea5b82 z7#?I01dRN`8g1>qrI_RmIAW5qc%P%#{N`@_LxQu5RR|N{v4X<|{%>_D-K?B`-BT~q z!Bmxl5k{QJ_bQp`R1$K%cXAw>hT}&QwY)bfwZ-Ni&^_{>3$a-wONkA)g2Lsz5{$VT z-MMm>u&WWp}-A_i~I!~1&$ktY zfnYX&vvrxXN$tuyn->~KLE~G(6MP+SmglI3zbZ2KI_zh8K`zHm+t9Ja-088jO;%Ca z>-Y3e8dS+O0o{ZbA4oT*67E%p>Etiwt#3)=$l12FfzCNC4OcMFTs`A5V|NmJogVv{ zc|Y~EI>S4gMBi!}R>Nf$eL~j0c^*r@p5@2QZ>`A4qui?*e+8j4zTJ>3D(8-fjDO5) zmQe*vV=CA@xu0bE5l7&yn zQql~4;&XyI7SA~XDp-Lv0d3cunhpam=5o6TM=2Y%S^tU_UYl1UKPcBCcT~Q??BA|$ z@+Bgd9?>0A@jha-%e?==8as9dGmT{^Q2UsdPt9<1Ybm_quFxY9NbyeVJ8$-Oe(Tb&GaoNUC^ zgg+6ckf}8zbY0SnEEBBL1y!*E)Asf%`DYIMSvc?u+%tx;9P#&qy901ZW-%HcT~g_$ zdSfzZWSGtijkHIf)j1~mG6)mcqDa*pIdBSe>5E`Bq$0izCl6FzQ>sYz2R_~6e5dOW z+(mjSsmD6t~@VItv_2)({TTjP1h6&na z^g4$XYx-{9;a%6|54JIiVcF=*K7x{)W5BMETm^!KDM(JXqb2&Ia$Wa}1j?uwAxsl`gs66;X{jxL=ILsqdMMW}!J(u+ZVZ4%<_d9tzIJ4yE77adD-1_F zGp&r2ef|EP55VsrgbKYyw^cL!_I8Wo+^f0SMVlcd(-M`C!k>gwZ}qNbFPV#eJE zyvov)2CO%k5RQ;} z%QEYy7gO-o5E-6&^BdM_Nb6kab^$}KV!jb8%hN4UwvDd~s%55`!sQPlrYweC-RhVU z1R_~RJX*lV%Y+s{NRX?x`a5i`onj+gZqjjdXO}GDj!yQ~{vob7%6-`V{cjJ-v^WBC z4xcZ7SV}+S9@sTzsT-e}dZ91d%s;F3_^`YkR!n7HWHkUg{m#76Pg~n=qzD8AkDLn} z_;SH{S;PJ6z~wxAu$M$89zRAPB>8I(ImvP=M_OBwjDJ}(A z<*}(w8ePhlF^SdXI-c=(TZl?65HcFW7KD^GVhf5lcu3o+pfKtX9SvGCU%ht{`w5!q znQc8&vIrcApzfP?SeX>0)4^`#XHX{1WWIGa{TxceQc0OfI8M=`1R+E17v_hR(wcgF{nSf+i z!AU<15`A{Y$;NG^ByBOY;(|Hv2+EPDs(iVTzaZCve?I3Zh<1{QueA5vo}Y{Yf+tsb;c$p)uFetKZi&|(R|C2bcKi--8vfyC-U9yYTH zG9NwPE1ovA; zP6XZlTti>ZT`c)LI?7~)IuLH>8A5pueYFtg!GoMYna~UNXh46K_^_WZ#6CM$5~H`h zeLWX})8ay-?BOt8$IYk(Q|%r>uAsN&IwQsW%As!z#o5L1MHW@wJ6YPFmMFs#heI^3 zNpcFCCiunLmS4{C)paht(vePjXrwds{W!@V{V337!Q-s?_IMEnb5o-=VJ|lacel*o z!uu!tOUM+R+gL0AEr;lLPtv+R(p7s9KjR%#SNW2wFrM=l8Ru0@JCF*`J?>_$wVQK} z-_xLa7&e!FO_{qPw$b#sDDnzic?&-;oeaf6!xYa zWj;0AwHx4DRwjM&?*;vTVtPBeYD*lD#{!85LD=mG4Rw!YxX3d?IrS782{2Jp?xf?H%+H)Kc z^_4cJ5~Y+85ZW^ZT^?*&oqG{k^?s9^)rI$DS5px9iJeV9tBefI;!|pMJN%uY+p=X$ zT=7y+<&(y>>@ZscTskw2A#@nNYZ9;tvX|I@TFXl|Zj_oQQ*5h`NUvQOIv;R0PoL%G zEy!VO#++ER7Yi%0440mU#lrAV!x1)Vcr@yEH%5!$(3;; ziRYWZgIL#d_+2bT3f6PTWu# zwU1NM&sfM^-Hq0?ggT9l%iq@o1*-_#BX+(=aI8>1FMv2NL(T>B-AIkkL~m@|m8Zp- z&$j4ld{lYeoZLXvW94j~phFGFD&)&X(USfStLo+A06$}9WTPnGtF^8k!S(Oy&J$8w ziEk5&u1ha+bZ;xm4<^!~@Z?|?R_$9w>S=}={d1CtgxM|u)#dc)2EmUBiufD{QTl0B zgf0JOcm*TpqYt-pzU`rsZ?JV+>Uxg+zT$eP57Od)5U@kQd&{z|>v4~C8ZF(FfIEMX z!5MAf&s=>`snL26s8e{P`DMWcqmjz0pkW~_f*up>4rOlL3{dWrNTaw0wsSrv$PJYD z(4>@!CHtlAq^J|)@4h_PwRCN#!W9%wKN3^(_0qWQW$T3m{{c=hTXE6=ET#enL%?G8wxnKB@pLk& zC%yjC5B*0ESj-4}9|;ySuysWK)@fjiM!I{E#KggdzX4q&!pT+{N;DG5<2 zadB}8DKJ!48f^W0jta`%izMX?b^S4Da|*&_`#luyAw0f-qQJy966J<=0=ng+vvg>&lcX}V4W|4%rczg@fOgzzM} zej2giKi*F>3=CuAraw)LW2eEZJp34Fho>F6e125yyxY0UrvmaQ4;8gw!MGP z$=*{Gg?1DY`fbJljY44Tk^djD|Ih*Zm-#>btJr$kx}qHas3uY4`4=Jok?}<%9jHlk zP?Na$tAVAVP)R7*0sIG(lz~7_rEcij3g<0|AmnT5K?;n3zLM&h|ByROjew< zF#mg=3-v7cR#YyYnZ_EpA>*R_=|0d_QlOK}g@+tX-D3llYH1I#GOv~K?1wN(W aH?N*vwrH>45lYHPLZQ_B{A&8@)c*t6bCD$g literal 0 HcmV?d00001 diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.pro b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.pro new file mode 100644 index 00000000..2849db32 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.pro @@ -0,0 +1,35 @@ +update=19.04.2011 16:05:27 +last_client=eeschema +[eeschema] +version=1 +LibDir=../317Regulator-BuildingBlock-ModC_RevC +NetFmt=1 +HPGLSpd=20 +HPGLDm=15 +HPGLNum=1 +offX_A4=0 +offY_A4=0 +offX_A3=0 +offY_A3=0 +offX_A2=0 +offY_A2=0 +offX_A1=0 +offY_A1=0 +offX_A0=0 +offY_A0=0 +offX_A=0 +offY_A=0 +offX_B=0 +offY_B=0 +offX_C=0 +offY_C=0 +offX_D=0 +offY_D=0 +offX_E=0 +offY_E=0 +RptD_X=0 +RptD_Y=100 +RptLab=1 +LabSize=60 +[eeschema/libraries] +LibName1=317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.sch b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.sch new file mode 100644 index 00000000..799a16c4 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.sch @@ -0,0 +1,243 @@ +EESchema Schematic File Version 2 date So 21 Apr 2013 23:10:45 CEST +LIBS:317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache +LIBS:317Regulator-BuildingBlock-ModC_RevC_19Apr2011-cache +EELAYER 27 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "" +Date "21 apr 2013" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD9670 +P 5350 6750 +F 0 "Sym?" H 5376 6546 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 5226 6984 60 0001 C CNN +F 2 "" H 5350 6750 60 0001 C CNN +F 3 "" H 5350 6750 60 0001 C CNN + 1 5350 6750 + 1 0 0 -1 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C309A9E +P 3650 3000 +F 0 "C1" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN +F 2 "" H 3650 3000 60 0001 C CNN +F 3 "" H 3650 3000 60 0001 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30998E +P 5550 3450 +F 0 "C3" H 5550 3600 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 5550 3300 30 0000 C CNN +F 2 "" H 5550 3450 60 0001 C CNN +F 3 "" H 5550 3450 60 0001 C CNN + 1 5550 3450 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C309985 +P 4400 3000 +F 0 "C2" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN +F 2 "" H 4400 3000 60 0001 C CNN +F 3 "" H 4400 3000 60 0001 C CNN + 1 4400 3000 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R3 +U 1 1 4C309944 +P 7800 2500 +F 0 "R3" H 7800 2600 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7800 2400 30 0000 C CNN +F 2 "" H 7800 2500 60 0001 C CNN +F 3 "" H 7800 2500 60 0001 C CNN + 1 7800 2500 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R1 +U 1 1 4C309938 +P 6350 3450 +F 0 "R1" H 6350 3550 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6350 3350 30 0000 C CNN +F 2 "" H 6350 3450 60 0001 C CNN +F 3 "" H 6350 3450 60 0001 C CNN + 1 6350 3450 + 0 1 1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D2 +U 1 1 4C30990E +P 6650 2450 +F 0 "D2" H 6650 2600 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6650 2300 30 0000 C CNN +F 2 "" H 6650 2450 60 0001 C CNN +F 3 "" H 6650 2450 60 0001 C CNN + 1 6650 2450 + 0 -1 -1 0 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC1 +U 1 1 4C3098B0 +P 5550 2050 +F 0 "IC1" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN +F 2 "" H 5550 2050 60 0001 C CNN +F 3 "" H 5550 2050 60 0001 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C30989D +P 5500 1400 +F 0 "HS1" H 5500 1600 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1250 30 0000 C CNN +F 2 "" H 5500 1400 60 0001 C CNN +F 3 "" H 5500 1400 60 0001 C CNN + 1 5500 1400 + -1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C309873 +P 7100 2050 +F 0 "R2" H 7100 2150 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7300 2250 30 0000 C CNN +F 2 "" H 7100 2050 60 0001 C CNN +F 3 "" H 7100 2050 60 0001 C CNN + 1 7100 2050 + 1 0 0 -1 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4C30985F +P 5450 850 +F 0 "D1" H 5450 1000 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5450 700 30 0000 C CNN +F 2 "" H 5450 850 60 0001 C CNN +F 3 "" H 5450 850 60 0001 C CNN + 1 5450 850 + -1 0 0 1 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C4 +U 1 1 4C309849 +P 8550 3050 +F 0 "C4" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN +F 2 "" H 8550 3050 60 0001 C CNN +F 3 "" H 8550 3050 60 0001 C CNN + 1 8550 3050 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C5 +U 1 1 4C309837 +P 9050 3000 +F 0 "C5" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN +F 2 "" H 9050 3000 60 0001 C CNN +F 3 "" H 9050 3000 60 0001 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +Wire Wire Line + 9700 2050 7350 2050 +Wire Wire Line + 5550 2900 7800 2900 +Wire Wire Line + 7800 2900 7800 2750 +Wire Wire Line + 6150 2050 6850 2050 +Connection ~ 5550 4450 +Wire Wire Line + 5550 3650 5550 4450 +Connection ~ 6650 2900 +Wire Wire Line + 6650 2650 6650 2900 +Connection ~ 6650 2050 +Wire Wire Line + 6650 2250 6650 2050 +Wire Wire Line + 4700 2050 4700 850 +Wire Wire Line + 4700 850 5250 850 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 9050 2050 9050 2800 +Connection ~ 6400 2050 +Connection ~ 4400 4450 +Connection ~ 3650 2050 +Connection ~ 9050 4450 +Connection ~ 9050 2050 +Wire Wire Line + 1750 4450 9750 4450 +Wire Wire Line + 4950 2050 1800 2050 +Connection ~ 8550 2050 +Connection ~ 8550 4450 +Connection ~ 4400 2050 +Connection ~ 3650 4450 +Connection ~ 4700 2050 +Wire Wire Line + 9050 3200 9050 4450 +Wire Wire Line + 8550 2850 8550 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 5650 850 6400 850 +Wire Wire Line + 6400 850 6400 2050 +Wire Wire Line + 6050 1450 6400 1450 +Connection ~ 6400 1450 +Wire Wire Line + 6350 3200 6350 2900 +Connection ~ 6350 2900 +Wire Wire Line + 5550 3250 5550 2500 +Connection ~ 5550 2900 +Wire Wire Line + 6350 3700 6350 4450 +Connection ~ 6350 4450 +Wire Wire Line + 7800 2050 7800 2250 +Connection ~ 7800 2050 +Text Notes 750 7600 0 60 Italic 12 +Author: Bernd Wiebus, Uedem /Germany, 19th April 2011 +Text Notes 7550 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.C Rev.C 04Jul2010 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.svg b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.svg new file mode 100644 index 00000000..0c4c4834 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModC_RevC/317Regulator-BuildingBlock-ModC_RevC_19Apr2011.svg @@ -0,0 +1,9335 @@ + + + +SVG Picture created as 317Regulator-BuildingBlock-ModC_RevC_19Apr2011.svg date 2013/04/21 23:10:35 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevB_RevC_19Apr2011-cache.lib b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevB_RevC_19Apr2011-cache.lib new file mode 100644 index 00000000..b3d9ea98 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevB_RevC_19Apr2011-cache.lib @@ -0,0 +1,158 @@ +EESchema-LIBRARY Version 2.3 Date: So 04 Jul 2010 18:41:20 CEST +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010 " 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 +# +DEF RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 300 30 H V C CNN +F1 "RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +X ~ 1 -300 0 150 R 25 25 0 0 P +X ~ 2 0 200 150 D 25 25 0 0 P +X ~ 3 300 0 150 L 25 25 0 0 P +S -125 50 125 -50 0 1 0 N +P 3 0 1 0 -150 0 -125 0 -125 0 N +P 3 0 1 0 150 0 125 0 125 0 N +P 5 0 1 0 0 50 10 90 -10 90 0 50 0 50 F +ENDDRAW +ENDDEF +# +# SYMBOL_CREATIVECOMMONS_Typ1_RevE_Date15jun2010 +# +DEF ~SYMBOL_CREATIVECOMMONS_Typ1_RevE_Date15jun2010 Sym 0 40 Y Y 1 F N +F0 "Sym" 0 250 30 H I C CNN +F1 "SYMBOL_CREATIVECOMMONS_Typ1_RevE_Date15jun2010" 350 -250 30 H I C CNN +DRAW +A -30 -5 45 901 -901 0 0 39 N -30 40 -30 -50 +A 60 -5 45 901 -901 0 0 39 N 60 40 60 -50 +C 0 0 126 0 0 39 N +T 0 590 -90 118 0 0 0 Commons Normal 1 C C +T 0 520 60 118 0 0 0 Creative Normal 1 C C +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011-cache.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011-cache.bak new file mode 100644 index 00000000..e8705304 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011-cache.bak @@ -0,0 +1,217 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:12:03 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 +# +DEF RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 300 30 H V C CNN +F1 "RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +X ~ 1 -300 0 150 R 25 25 0 0 P +X ~ 2 0 200 150 D 25 25 0 0 P +X ~ 3 300 0 150 L 25 25 0 0 P +S -125 50 125 -50 0 1 0 N +P 3 0 1 0 -150 0 -125 0 -125 0 N +P 3 0 1 0 150 0 125 0 125 0 N +P 5 0 1 0 0 50 10 90 -10 90 0 50 0 50 F +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011-cache.lib b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011-cache.lib new file mode 100644 index 00000000..fdc80e26 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011-cache.lib @@ -0,0 +1,217 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:55:56 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 +# +DEF RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 300 30 H V C CNN +F1 "RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +X ~ 1 -300 0 150 R 25 25 0 0 P +X ~ 2 0 200 150 D 25 25 0 0 P +X ~ 3 300 0 150 L 25 25 0 0 P +S -125 50 125 -50 0 1 0 N +P 3 0 1 0 -150 0 -125 0 -125 0 N +P 3 0 1 0 150 0 125 0 125 0 N +P 5 0 1 0 0 50 10 90 -10 90 0 50 0 50 F +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.bak new file mode 100644 index 00000000..26d15cf6 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.bak @@ -0,0 +1,225 @@ +EESchema Schematic File Version 2 date 19.04.2011 16:12:03 +LIBS:317Regulator-BuildingBlock-ModD_RevB_RevC_19Apr2011-cache +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +LIBS:317Regulator-BuildingBlock-ModD_RevC_19Apr2011-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD9804 +P 4850 6700 +F 0 "Sym?" H 4876 6496 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4726 6934 60 0001 C CNN + 1 4850 6700 + 1 0 0 -1 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30A1C5 +P 5550 3450 +F 0 "C3" H 5550 3600 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 5550 3300 30 0000 C CNN + 1 5550 3450 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30A1B5 +P 3650 3000 +F 0 "C1" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30A1B4 +P 4400 3000 +F 0 "C2" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN + 1 4400 3000 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R3 +U 1 1 4C30A13D +P 7800 2450 +F 0 "R3" H 7800 2550 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7800 2350 30 0000 C CNN + 1 7800 2450 + 0 1 1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D2 +U 1 1 4C30A106 +P 6650 2450 +F 0 "D2" H 6650 2600 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6650 2300 30 0000 C CNN + 1 6650 2450 + 0 -1 -1 0 +$EndComp +$Comp +L RESISTOR_VARIABLE_POTENTIOMETER_REVE_DATE15JUN2010 R1 +U 1 1 4C30A065 +P 6350 3750 +F 0 "R1" H 6350 4050 30 0000 C CNN +F 1 "RESISTOR_VARIABLE_POTENTIOMETER_REVE_DATE15JUN2010" H 6350 3600 30 0000 C CNN + 1 6350 3750 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C30A056 +P 7200 2050 +F 0 "R2" H 7200 2150 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7200 1950 30 0000 C CNN + 1 7200 2050 + -1 0 0 1 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C30A040 +P 5500 1400 +F 0 "HS1" H 5500 1600 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1250 30 0000 C CNN + 1 5500 1400 + -1 0 0 -1 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4C30A026 +P 5450 850 +F 0 "D1" H 5450 1000 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5450 700 30 0000 C CNN + 1 5450 850 + -1 0 0 1 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C4 +U 1 1 4C30A009 +P 8550 3050 +F 0 "C4" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN + 1 8550 3050 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C5 +U 1 1 4C309FFD +P 9050 3000 +F 0 "C5" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC1 +U 1 1 4C309FED +P 5550 2050 +F 0 "IC1" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5550 2900 7800 2900 +Wire Wire Line + 7800 2900 7800 2700 +Wire Wire Line + 7450 2050 9700 2050 +Wire Wire Line + 6350 3450 6350 2900 +Connection ~ 6350 4250 +Connection ~ 6350 4450 +Connection ~ 5550 2900 +Wire Wire Line + 5550 3250 5550 2500 +Connection ~ 6350 2900 +Connection ~ 6400 1450 +Wire Wire Line + 6050 1450 6400 1450 +Wire Wire Line + 6400 2050 6400 850 +Wire Wire Line + 6400 850 5650 850 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 8550 2850 8550 2050 +Wire Wire Line + 9050 3200 9050 4450 +Connection ~ 4700 2050 +Connection ~ 3650 4450 +Connection ~ 4400 2050 +Connection ~ 8550 4450 +Connection ~ 8550 2050 +Wire Wire Line + 4950 2050 1800 2050 +Wire Wire Line + 1750 4450 9750 4450 +Connection ~ 9050 2050 +Connection ~ 9050 4450 +Connection ~ 3650 2050 +Connection ~ 4400 4450 +Connection ~ 6400 2050 +Wire Wire Line + 9050 2800 9050 2050 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 5250 850 4700 850 +Wire Wire Line + 4700 850 4700 2050 +Wire Wire Line + 6650 2250 6650 2050 +Connection ~ 6650 2050 +Wire Wire Line + 6650 2650 6650 2900 +Connection ~ 6650 2900 +Wire Wire Line + 5550 3650 5550 4450 +Connection ~ 5550 4450 +Wire Wire Line + 6550 3750 6650 3750 +Wire Wire Line + 6650 3750 6650 4250 +Wire Wire Line + 6650 4250 6350 4250 +Wire Wire Line + 6350 4050 6350 4450 +Wire Wire Line + 6150 2050 6950 2050 +Wire Wire Line + 7800 2200 7800 2050 +Connection ~ 7800 2050 +Text Notes 750 7600 0 60 ~ 0 +Author: Bernd Wiebus, Uedem /Germany, 19th April 2011 +Text Notes 7550 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.D Rev.B 19Apr2011 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.pdf b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.pdf new file mode 100644 index 0000000000000000000000000000000000000000..615eaa48dc30174155594e3244f548369a53e42f GIT binary patch literal 39240 zcmV)oK%BoNP((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58 z>-B59|L;Hi`(OXV|F-@5zy9AFKI*n@>wo!Qe{Emeum9uKe*I6s z{?o6bCH{N))35RCfB*fe=hwNFU;gJf+t+^o?fdaHuItx-{y%^H$6x<*Cj1kPeg8V! zFZ=(;(Y|WkfAxA(sO2Gra`vxL4m9KUF91gL`w@-p{Ho>pwb!p>RH3nruW}K1NaXmc z+mVSFP_M5tZW==LY^VGlqdCyd^VfdM`R4ok*NgRTU$s50*ZJ*ZeD%bCALXl!vL=B( z`d6!21VEN#kjVfkUuR8H=%aq^HH$%zpbhdTTtS5U0=0_8u{-9Eo$Y`8O+q;rciI@d!?GRD$}qI^Lw&WpxmQm z58x$8yXr40fdGe0FPn1xO`>~|)}`OK5X%l4hs{V$Wk#Y^XNXiU6iHfEYSJv_<=jUq zM_i)-roba|8I3x@8gz21Pe?=z>8456B*S z|B{u<=ZoX+wozi4k>71xG4}BRXNQ*{*O6wBV{bNY1L!uiQN}AJQEf#NbIfNH{>F@g z5*Ya;iMip$TA?#+R|d4{C`0BJ<`ZuS=K>JrTmYgmsN)k1e9A1Q2Q)t;-A62nv2IYN z+&EtZfcb)jFrrtwSve zS-l&9r$ov)?=of%{TAKZ>-~Ft)l)>dn+1Rm9uYmu-Fsrik-by?HR>9J=XQM^=ao1- zOVQE4#G;T%90-+La%W~z=$>0&_s<*Xq=aTg;`*KtFQ3?j1?Dc7W<}FEB0F3!$=Q3sQzYMpr=}mpV)I<~&O3 zEzz6(=&8E|Q9~2mC4lTUQ-1}74r3|ru%L?`6J*n!5V}m%dgw~;NC4=gXmB9K_EYS& zs0!W2#-Q60pxqWl*l(nZetVZyZr;lHFx77Ns(-a&<3m)a-D`a5BUHJmF;NWI??oU0 zQSS3Ls8Si5Ifd$R9idbLH0>kb47S_0kQvB`0I6rsW%}1`7iFqljZOuu-gd7_r>nQM zt*MJ9wVnX@Lm5h7VReCmh1k3cLj=&L5R>%SZP;bO2~=8^Hm2kd9lAASCrIB~7G8!- zdQlAG3(}BZR8Ij$BO$P0BqPczN`*KUWY~|J%Dp@8{qFc(?aX_-e6J(Dbu%*hv6}^v z0ck>SL;u6w-hMY?>jw31=6AC_$&FlU;Rv#eL#Ev_Jqy0Mjf>vSFWr5=gtUC!+odkL zT-l}Oueax(Jf+39#!8cQBV#-$or$Z*Cifw>Q%K@eC&b;}>l#|mq06Tr)|oWZZ$x<0 zs@+Z}BuH__t=M8~%(f6j9iQMy=++A`8;D zQ<1LooVKTn3x-hFQFle}J>u#fAbX*3Zm!Ov7I28`37PZexHqVz$mSAzJac({U1L&` zyNt{*Nl9+xtmm4NoV)GNqg!r7Z06{NvzmJ#1GB=u1loGZ4Ze|jWM#Vnwe3UMZseLo zrOlDCl$7mkx3ZO~*gfugpGY^Ip3=CJCf1%*;E^nDbsL8=A#0$>;?|^dOj7r6LKxkK zM;69R=Z8{{S~GO=2IJP6{U~|DN#8&eU6+pd=7Z2r#h$e1+I67BbaEBz^A)D9YI9}~ zsiuFNjPXUxymvikYiZ_v3Dk<|QrREZeJ@#gVYtnp*7I~Dc2UV)*~KoZxhG!&jjiYD z=0?Q$rS|pP`YW3)9=3~>PjvL&$lgHa=xNCjVAuJGf*9rz&cJrHX_*q^??`mPW2(7x zLU*0PCla^_D%-@sJrVDDx(q>NM4U)z%!y=s(p&}ezl(vM2kB0;V_FIqym zO}(J4vEBqEh-tv{MQdz_Irq6}4T&U4XLhl;9xhr^2)%0)ln_>zN|O1l3!}avYTK|E zUIMBAhH4_nbtX-UPcFAs1-T34p()V5=kY5IlBh@OoWq7@0K=4)Wu9;@j)+M|Z{Vt9 zZPAxPw$>S!LZ+yHHso*vwy;stZ^W#f(DYJijxAI^%vP|OL+U=O@&I8h5wM$7HL5t|*^Dg1`Rl7ykJ+8Q%Bp|IK&41Oe1=rI9Cy z&SI(1W>#_{d`qTWd9+A~XPP)(@lmkhq!X(}{Ugzv(w?*CHRw&s4}0cTw%*eKs?F@^ zrS9$7+!Z3j#(#8gr;?j3A&3OelPw`t+w#3&ON_mk1r>GQ)7rjf;oU~HP5C6UZ$9Ib z0skT~pia@L{im*rYqpR~SYmqj6Q(Ya=I;4NWOi52AX8ipV<9+1GFEqPjX0*BQt1|# zN{s>1U0O?IqA}eJuNyhcfKK0BrvqxN@skfaKa_gZn#*R!n<){IwB|Ag!j1S=>qg8F zKe68^zQ_`J38eFTDt1^%<<( zQ$_%rN!WR;DG=0zzIzGObJzKAb{vZwZqt+MLA#$-17hnIMqPC)A;}lW%1n~TKrjat z7GfCYB}qtEH%XRDx9ypa7yCvNX>MdYW@NbQJW4^WUnCtmf!ad>(87!u7b_~UyRF~~ zd9%LXdyF=ciasLaX+6;qBuse`;TU77mCoAlc6n7kDcy(zKDne%Q1_U6SY-T0=ci(i znsb^Voj3;)d|vKKB+`7bO_S0Mnfb+;s}*+uadx{AGuk)<65%AtQXGz?%mK^lLu6=T zOxkapJrhT>s9EZr8&Tgv)Rn&R?rBv+sJVOI2>n#-QG1#N<8Nt*4fwN1QLDZto@|p?#RP0HPMxVU@zkeOQn5UQ9 z;YU<9?fo z_H45>Zpn>fDmLht0_hvk9TZ$?QrX_?n)~{Y1@3Cets}_MlKbNrn|me~Tm^@ui*2!S zz3EC4SIrw&eiJp_8>FjDQhuZJL#c!>i zlLpETXpWzhs#~`F`#q{vc8$NMh{26$ER0+Dj7W6L5Gxf?EzqVb*DDX7EH-EnGAM=q z7=#S4{fdwQ_~b4#5c--~ie7G#rkk79!>e838zlffQRd;M&9-Q8?gLl~!?6&;aHY|| zj`nvt;mzZYORB{TvT zz-B4DNr7+3=1a!^h)~Z^ER+hAQveXP2bXAJDpucS&_EcBVD&2jz}ocmK?(qhU+T)< zHhvS*Xy*LO(wOP|P->|)3;?1v5zI;7Kos7bG@9QC{Z#Bxd#<~9-Vh6~(Bc)Slp|TZYS{S>!FJn$V7DEmxZ4ie*f>Z1 z*jz^&Gh%!!Y`1Bn(cBlrLUWupWcB9z6kne`d6xDnl-Wm%y11zFH6+Yiae`K=a~x*iO8 zNW_orv?3nD-)gr>n&}!5gy~5{BKhX7DUV|gZj%K&7NWm@x6@3r_~O|1Y=H5bcQQYf zdT6CddMP;gt={ib*61~!Jd_?h4bLkpusezes+%S8x^G@BPnD)S=QT?Z$io0b*Bw3k z3KYVIT8vvkuV^wdyR^#iqznF;&A$lfn#X zrc)A<{|c`5^RDu}A7?`&9`l zA7_pPI^_^uqhfg zRI4yG1_<(%px zQdO7j_lf96QymWX77FYHI1A{WPE3v$T$*mM!e9+haqahX|9k-2bGuSj(gcetCf^G*+X5a za*HW0F@-2rFx84ruGJ%NkH~Chnn%*{&XQI|D?=xm)BGF(Jx+k8L_~?)Kw>^H=oOH1hbn>c!7j+p;S^y(2mYQ{p>z8 z5kM01^SJN5MNVq+`&R%f6N3ah+sIS^P-i7d>GP^j&o^>T!{Mgmr^Z9bH*!u^N;Gg~ zR`0{+znF3)bl7IX!;))Ln;luQ#l~g{tWgyaj;pcR{KDStm!<~AV3pwF+`FgGKr6kQ z+Tx0RS7d8WNcenLbygLM-A~JJO0o&W4n{^X%nU)gGK$ao0cGjf9P@}VyXYbmZtUjb zT-hp&YB@)-Oaciu#jWNV>1yJ4{baRenx#%Vm)mn zg;dXJXsysNo{WZRZSZ})jo=$FBO>H^nZsbE=A*a*RwU{j%}zsbz&yNGW{aJH9Zof? zhdLtn!JK=x&5z4G3LL+$fY_BR#;zo3cIA!a8PJcpY6vkV86aWdd7~%b-Q;6sH2K&d zntViHGDoS{zK}M1be36a;nM!F#(f9>ES_F^;9SE0a;K#lnM2_s+);aKhmZ8UAy_jllr|8 z`l;B%Bed!z=cp&JGIt~@r^OtuZoc;D6}jnT&zp&BSe{L+UN@rIZK=@Ey;_#}^e08Yy#SlJ8a*4Utmx;ca{zY1?c z$~ij_Svv=nTx8SFJgA6pP_a}DD$glZ(ZmEm$d5;rp7Y(pftOAPvwdUhmHv2x!_uITdN78PBtPtjeM z-AWPrj(|crE~+7f1JaOSRF9cP5QGOzdHtYnD&q%rgKp6SIkD*gK!sxwasaAivP94X z`t~N9hXTFz=BRnbkidJ(WJcMk?SVkseZ~;TG%4Ebanr;X5DJ~8IAa9ukl;>Db{RKL z?+$>Al^H|ASw}XQh^(pP;WIaOJwp$$U8@~&QrT=}Ve?FA56_KmUp+VFE^OPlg$)?b z#)e>k#57e83t^yfa@JI{K={dv(2^W>IOSRSUfj7#<(K<5uk1w=#I`#z!U<8?Sd1f) zq^WDHo+Es(Ut89-y>jz~GVfk_LFAsWJjMxeG_Y830n)*#S01DMO+F8WYKMfgP#sxP z^+d)ei+#N9(T3z!PVS_!H~O3~S?uum2kQ|hfOx`JkN%4|0VWI5j?U<_FD;swfZp@8 z{)qMPmqUV%#F*GE!#N>M6HN13ej^@k!^dm(Ly^24Frmu>V6!N8xmL9(_VAPNv_r=#=ECv zJl|3e4Q#}+B6Q&eO9v3=0v5w` zLCTy_SbOL;+86@>d`yV(iee#;1wVika$l)W4x@QwYGra5$Ga6EL4dmGP8h?}r4t!P zg74AkY;ysM>)t~LmdFs5FC;PyajH+r7~|OJy>jJ|)ZQs#E(n~Zwlu!RQG7|Gs>q#> zy(Co$gmV>3q4$)Kxn0@Cs6UD0GFRDz7$2ceH)Ih~&XpQcnC40%)LoVetBAD82{{n< z_ZqE{u$(Rdrr^(z5J(#{fPf`j2?so??A-$%)#!on%(_i?glCq@4cajRP<@P&gLZKs zlS%o6aS20A!x6y35`iKJu8p(Mx^y|*$ds8PK#Hme&l1_#_r;obpOiL#21t-O+;EQ) z3oe9`Nw}fZX;*gkx0F&rrtDAw%(~=YCV~m@#ZtleZYmzV#8N9Nex7Lwjk?7{j zGrqYp-!&mn;wv-jURjyt7S4GpxH{v&3oJxFG{`uTSdh~ied1wwSUPy<^dBtnaCsg( zjMZb?>{+nTFMdO{yb&x+*blrB`l;BHgG^gtf7BOJLG(@tj-_Zd7OjlfuH_ZQFy4Z+PvB8~0%kAfBN_S~K}m*( zKCP1_=64Mxq{HH-2>s3?cBvryRVEPv3C>Z9ds&hW#!)-@L<19GJS;KpH$ieF5m}j< z4tcU*%1R0Zy?MxKk7<1tBs=`1>M4~-fH{FY+Ph#|@>T?Sw?{A56YxJ-ch z4!lsl5klT^v2XlZ1bKX;j|nNdIsA?zE%FeNr#g{z@dHY%-9+>qS2Bp_v|Ns;=R&-z zzw&($@|wbFXDXaed5_PplMBQit%y@Nm*3wX7eZ9K&NJ0sPu?HrH$o)DIc2Gp%N$(H z=}rg|UOJi=WPpUc>_AMC&hRr-8}H4Nc=j0x?~O=>&|s7L%Bh;rHXLn9%H@ak0qnC>2I$g5Js=*>#$1*rW6J&`%KT~VULb|2T23ZojpXVgwJmVLL zA5clMz`>S~1(9epiUngJ9nwJcltz2+@EcJFTp|o4q`}&kq|v{kZoiUmtI?Q8G=}>z zY_td!N0MlU9XO@@1i6l^f3K(<(4*Q<&ZCwhB1eACbE+ynL3f^(I+nY0qVrR!rPf&A z<>uI6&0nA|(HKmkZ$y47^yuh}2jxzUWZSVFJsP?<^~F4+vf0M2*!|o$6$h5y27D)i z=b3=~SD>9@g9d)j z&1m~gWB&&vb~&?Fe>Hh;OP`s$f6LvO0@w-c{T}hMvdxINoxRYvJTWG#p91#elH@zd z<3H!==Byy~Iuwz(Q${W%RI%8#`4h+>d;^lDlv zC|~{~NJvm-*xqvkf$*CrRqb})0t(4fcaBJoqrM?5NIyqr zRIUWwN)pCRsO!nV+@7J6&5X2uWEt*uWkHaB2_z?Ka{Z1hF*fWv%}~p+>7&d^gk28l z_cuh+B9mH5#N;@VUdmN2qh`I7BO~&bRp=#=&;t|;y#(b@Ow=CYK?{>&%1M)ZAxKgM zr%`Ok<)Srr7?9K=5L(jAVJ8ujW&#Nzj;0+qBbN_u7whPn4k$>|t&|{Q0tjO7KL%o1 z?uJ+?Nct6|Ey+Juf2o1}otg;b5vO-&oY%zZp~h>Lyd!UlIqH6IXq4oC{=a@rzyHI( z|0VX-zceN9%`!cuo=w*&K{!f`Nq$4+X?A0AeDgu*``5qw@BT{r%zlF^OZyIe?NJbW z*)Dw|6QUuKImAys$o#d|i7hIFx~Yp$S| zvc>M^b$w0(z_$XJNihxZ6U?@6Wd2&~hP_efl9AR8AB4^QmiZfh9Z^mgO84`d4?=&f zeaF{TWDYN?_P=!&m3x8(8TycN{zmeK3=y9|eDgu*Z?$i?yE5cCLJ921Y6_&eU*cz^ z8sM>+59FQjUmGmd*Tn8Yz>L_9EI%VUHQq?Ku`&biWdCAoWlt^B?XEAeXT+D99}(FV z3u0ce*C+H&`Y%qEI)`jJ5&!;`0AH%^-RB0{)-K6hnfGf@$v>cB3AeJKR~p>v<6pT_0PmQ7U&u$J^n1KlH$0@=1Fe-w28T4Q%T03i#sqV~)tK=Xq?ip?mNNk>{`l z@i~o%(fR)MPWdl3SVz=h4`o|}fmqBzkYj%XWIP=mmkuYyA4z|s``0w0`?JxN7LGlN z0pvSuGI;~|Y}qCg1Qt#=6C%Fpwy-2Rb6tOp1?-URO8?)n)jg|w>jY`Krd8jXd?HH7 zwpSG!t{;(H*%sUm?WNBnx(Jf>Tbl|1~paa}|yq ztGpFirw!`nTGR9>jUi*-6QYDwQ zb&gKRrXnupe^xr`MLg`X6f+*wTZ?bvlS<#(T=`$?sip}!`i{NLY|ZY|jF;^Nx%#x2 zv~KQ884IP+qTg)1*wo=BeyoN~GcE*hGo^Kx47QCQ&G~CwcKU67{zl#5`D)GmfZ>0# zxTGM~m)gG8uIv_SGz76Th*G{xNi@ZM@o;v$HRvzexBY6W_}i#%-x>ldPmyYt;J9F+ zUpnpY{(^_^WwW;IJD*_ctNcUZui*^_>8^Lumtn*FnBE~rder#biqIRg0(*LX~# zH=;XaPIXF1x5zi-j&J$sINuQUDNlqXOf5)!z~hLCo`G)3 zl_G6cH<}dG(zBZRDu65v?D4i+@M_21(SnST5CNb9zNdI=@L$|hh^mTfO9o=vQ``oI zZ`u=LzIGZ`o9}nc`Z_Wcwia9y>P9=wHQ^g)Ifim1z_un=e=DVYv+_gIMBbX0Son)u z0dek#A!A`=MGiyWLMKPXhIYtlQFCXQFZ;!?j9hfo5ET;FXFIlJ=c%yLj_lHlpre$< zV8n42?vzx&yZST2U{2=auMME$c4+mNEd*(Gq`@Ib_n~3V>Smd5*|*x{GVRoP`5Rvc ziU-~b7Hrx##l^1HjOky{fmu}GN08>|Z6>!ygXvJCagDeLzG3J@0280Qo_YkJRK`{ECmzs{sw=A2U1Z~gL;!A& zcA8T>abPJdVY&!U+ABYDX-k<4_+JjM$=fqNm%ueTBhR9AsnCACli9DwWW4XLioMbs z@|cW;bnVd!Ba@M5WaJJ^RoT<>PT=OLF#T=U!TY$ z!o?%}wjUJ2_E@_hmXiC4JS1%K6Dwqdt*Y*~{eL143F}%rbsn_OEy@pMiSS{$g0e%r zhPl-}-QWXxIL6eGeQUAg%>Oi0|9J44rD~@qO~hF?-#;Krgc(G0PvJQ{NBjeLM0S>5 zBFh-tJMeI9z<=frpEMF+X>D)*vrpuqir7equ5|e_e}amC6A&*)jqaA?ws;&aVOUdEzLc< zACMGTT)X+uFNnA&(^r0P*p|W-h4r%g3!$IL!^YSa*%G?)e1+I1OsaccvG+=84s-E| zSa-#S>>YTtRJ&=LCk@-MU3#hOH}x~c-QD=5Z4?3V8*q;h9tjW$9nB9JYs$oN=H3jaoR4R0OU}zTv#$&x zuny|i)>YE?*Uy88`XW|}v9+AQmHHJ5DC zUU&2q=4HPlk9zs=yvogvIfPS@E*p99u-EKklBX!IGC6V5`WP7`rgT^_89GXYpc=8- z_3r7yDIWy+jnFz;zoAc-P&{y?I4FlL9vcC8OOfLe1`muyY&$29!BwVNG!n(>(I9DP z!l}1?Pd@8BtsKF;t@MU1q19gK_=S0OUPYQbZ3LT4&FvW-Z3_FR$phZTk`qwA) z(Bqo&JuRgbSw!GVE)b|G`YsLJWBhr1%(A`W8@KTyKk0J}q~1YdR_b@3Rw(;e6+`U? z1h&({PU?BJaMvqhYG<)gv?bCS^TPS(vQ#ImvM>AwivU<%?-T$MANGZM`A6S~jl) zv5+DRwlSOf!^pZP2^>}Mx$mCoOnO*Lv(L?_>)T@Ur8Z(yjFyb;tS+cY0VPWV4U9_~~vzrg1B-)SC+r2+OX zd%4*)EmW3Q%(EPC9@7soQy5%IDU2AmRD{mdEqg3CFQ$+fXA-6eC5;+Psk5+J7%^O? zk)@sjj6f103(ouygbY@Fr<{U=q4c|(s&u@@)CMFhI`gXBbdWb)Jl6!n=|v|MXIf69 z9CGgL%wL>7lsR(U{!dk5(#rOw?%yJ5mq@ZxJx`XM3LvHg-!mk_K%g71pC)x6G+Hoe z#UzoYF&y@|i}{ug4p}6|hI`wyE)t!~2Xo3iMgBoZ^>VW_T$3n9^GA{$d~3+5Ha=p8 zg36i8t0b_V^A|Rj(7spx#KnwRo>jA+j?6@6grm?ae`E$gd_;r`C5Kl^Ek}M1ww=_m zl%no793{v}^!~=Cjg)2`ANeltGpB?76-zF}Q6k;ucsCEz>WTFfDiz-hI~ShjY$|7b z1SDD%t9e)jNX~Q%?Hze`w)J10t>w*+TLM+m2QBhJWa!`TACQL$8~Z5vu6s7lRM z7uHRr<&i9zgqKWKkv=mD*x`^l)+Jb($#7b zVf;g>-(&S(=s3AE>=KnZ)&KJQmmv3KA%NxT2yo9C^{n0KzXbB?cYNZ%oduR5 zmvZmfxF`rr1^=@2K=<@R>;#5e?8?$T`P61-TW6$b?*-q@?trk5#L!oyW@$~7uQLIu zFImX@CCGZuq3Q%!W^(kU9Ru*byn@L`g4yN1h1+PXvTNLRY)A^sz1Rw3bqgZ4j36eO zj0_JNref|(J#A&La!R-Wk&U+?)i%}Qvb?QWLExE?>p$=1F(5%?q07Y%wc0XiTiv7j zmq21OMI$o;Mxq#)J#^mzfjpL?gmkN{yLNJpNL6RZV=^YzUH9$3Q;RFM5B#QR2Ja%_ zqVE}J!iHqwY%tL%9LuA2nVNJgYuh?U>}5qZAYzKmh;=DSX)lkFNUJ8u!j!59f+nu? z#4yt=^~5+m+`b~PVJD`Nz{UxO2fwk?`)lKEbOdBDaK=Dh2MG_3fItw0NX0Hoe#LmZ z+v83mjJI)Mw}tUG%)ZOxjS$CMD(2%Yk#^l+^)cSq$c%uIC1iv5MN1S)Ov;&@NxXf*2u@7c*WlUQx%j7 zbt>E%ldq^gkZYfd;gZiFU429LV)a$`G--xN2-rZWV72n1gf|JT^-i~pir+D>$dSo? zVO}xTH_j_)&4wgHAl!eHOk{XqZb|NTS}V)F=TO;0Dg(DbP{;$JF(T&3%3|-_hf?ev+gFO+8kohN z5fgi7xC~+k>C6_Y2ZAO(;?(I{@WjNW!0uyYNnGYp{2fEq>1J_#BKwpJ`E0uCc6&*# z%Fa_{!bRQKYl7I+gA#&}T|-?pcx+>l8@ItR!^V9e*o~}=7D?E$8Lj%D{ z%^mrkklLojRQ$CkXBVAETlbZRi@99Cbxm`LAeHlkSOr90G#yegpV$*=pZr;W=EK_f z!P=S?rzhmH5hn-P`s(B%`~G9H5+p>)<;uR$%Ox_W?(UNC97$Hijo8A) z(XgMfO*}RAe6e=aCAUgWl^+OTc`pbsFDCrNZ0|CZj3-egWZ$l-*!Uta2C8-{I(+wz z(*vjFn$C#sJ5Y*)7i5z}qv}A=Jd_GHK^S~4iLa@5It_3~(tIN?F79oryfMJV7Pe%O z8q)t@G23_bg#S(wG$b>+)80dSc3<97dn&T)64J^vZQe)?Pl=MQnoxlGSL?6ugN?}2 zC@CD*AXCyVQNNK}UF)?wXo#Q`wLa+`Xor5=$>$LCuH-48NPtRb?wE2bb(@GA#h<(3Gei0xQWOWk_{zeo`<$ zQxlYBdYo#nnj(@KSl#|l`UNN$jZ%;X2}58!;PWQtAA*YiJnAFUnJ&fhGBd3qTb*jHL{-()xQS%4#S868J14E}Ewn6@Au_Jofh=7>f{nIOI=Oo;iRUs3FE=arH+0l`4DtTRE(2_~6e zk|ZdId6bb0MnqD<}DU&~+Xgcl;}0SO`=$6Tza3^HjszFAZC zFM-aU8<~+l6$(Zzcn@JbmY}p$6S++VfV9ig%pQ?}P&fDGvb}m#J>21Z&g4j3o9XV<{Xjen7{!|3pw5xTNIyrK zp8hR)q_u`rwdfl_9BHYTkF-P@ih=55q_L410V60D%tD=ZAF@lmQ#o6qs=6{{QK)F3 zGLzXn4|J(Dn_qmK$>hhdpS5PcJYzo)g!d^wIh5*y#JlE3YDb5512FpRK<137s-O_H ziFAXjR5_5#Gg+h9i`@Ean7hv5@XOrA(V;N*!U1;hhz5A-M+^8mL2NLTp@ZA7gKe5dzUi^?}$~q9}%B zh#*~%ARj}ve9`z)Ij7&o1j+=Xp!*@8HT9A7ha2@_c{4CHlWy_7DH;Va)# z`wrbWq)wO6|1lZH^3d_qgq$eU)C^5iRc-5|uXg1vH?OBf`1GiLpC;SdT>E42No&rM z2cNeulwtyO@Uc(~K9bH{dF=quz$HF+THD}i_mTflDXs|4XJxA#9zY6LD-`0Rz%=V> zNeiK5uLxr2wL;x8A{&<|^`e1B+995jR!fs<`MSd;PGb<0^ZO$X3k9q=3ZC`GRIZ!T7GG9@Pa`~yW=)Mix6}0yqD0rc8siIRC z;iP|#WWvXnuU{^ha%AgUCsJ`+RP?S|-#6kxa&&JwlBus+LgYnTKNa(NJ&|_BW&Od1 zH}Qk9HOrw4Rr+K(&~vdQRC^sHO@U>9e&8&~w0E=Kk=!@CXU|0E?3O(fb70xi7}6nA z@O%m8zzML<+G7wwlbv$r3YAy-c3XLDm4a^7=_8LBT+_ECE}4b$ka4aqM}-)-gjIgF^g>RWwTq!pWGd{U-|GM*{_UC0iH0V&rt-FN@6c^l&RB)m;g`$r>2 z>b6r;ft>4Bm=r4QOd|$_zb7`|k<*<(OH~a&^AX75*5)D^yY@C(GyHB^3+Zur zs@h^w7jtT{cGT6>GRn1g8d&Iv{WebC5T6{jV2sOZ*JzjqWY@~Y{Dl%jR zIR=J`4rU^Bi|1m&svTJgq(8rxB^qr}*DTc*I}&4J*YjeTiQTVDc?B2yS8Nkx1~_-W zbp`v&8BxtZA?{p*GF2?q4nEny0QPH&{0RhiF(@~`z$I#Le#jSnS zK^h|C^(^m#Uex)Le4vI%$bHeKmO}IP5J*~PP7Cs;W#+7Y0yUPIwc1gaT{V(x#|0%6 z*m3#MWeWAUBAn}zKP-1EDVf}1mpd^1)-Gm=&@j@auB5sluV`N&eiKWm*hWtlRBZG6 z8bZb7O{ZDKHXI%b6$2D17R8`qK^iKyMU;9L^k2y^+RJ#$FbV`&J)g*5xize|w+y3w zyWaANK9Rp$GqP=>9%Q(DB7dc3N)p*Nfw1NeDp3`k05jM&@P7w*1Q!)u! zt`LwU!YFn!naQtUCeogJ+xKmF@4_C3l8*aqHHw@CR5cb;#65+UyfXLzIC1sj-6YL}EIDmUUcK|*-91?3M3t@Vwc;r|^o%Ds#}j-UmZ zgEI&j6zK z$1w{XgfmxLSTT+~cCQ8D;x{R0G+S*tIi#xYeT?~d z`As<&ZZZMmIm2EnP?f>fGWM@z_yrb8;`b9+$s>7TU+7ii%JV`~;1 zW9NZ9k7!5LRr6M}ObZt*d{Y*#X(bti%86|A1LP0ex8YgfM0k6y?49v-yPJ-{v8Kle zuZxA3hRlX1gUI9ua4cMcN3vbhJ998}5H$AGG%ch2NOvT?}eyumL-+tYIm{?1M4``y9SE)MyWAe%plTu7kxmd`UV9 zHR=b#CZbfZ3d(JI8Tz3N3ohrv2zMk+I2+$UV&xv}Pc5!AN&{J6(6-Ib$%h0t-10LTiR3xYz}>7 zJ0t!1@vms`uF1-mh3SPkmpkOtMK^{RGGGpq)yQmj6Ueb8d(xRyc z&mA;DW!~)piKRsl@eak(B30q$K-bHqx*KEocHdJ*;OB8^$rY97l_e^OKa2peGZ}zg zBiEO0E%)DqSbz?tLdQs(%983on2Ufm27*fgQ?ZNlCz2y9Q8si8nGm64OrQuI0~9(I z#h_zBI;4iE#+$Hz2oV1B2u>gNs%VkRIy5Xtq@UBx2G7VyHh|icL^zb5kh$xWuFS3J z`Y#~gfp}Fnf>K022z~$hzkeY9AOAQs)rZ~1SB~ME-ahP>@^a{9TaSiIP&wRJ-iO(u zK)xr$HaCLE&3jU}rJ=k866dEtmI*1%k=N_cKtm*}XGSDri-lf-jFBj3u26d**VFrb zgNfnC4+ClLP}kNJsl5AYkP&||h)r&8WwFIKP(ViPl1$ikHG!z$`*lvm?m^V*L>Zlf z+B4#>21T*%^L&sV&z&D#F1s%+*^|A?uo-Fxj;Fa9L1y<9z%H4;J&^B&xDhIVMp1&&7d6>;*yQRfXS#^*n~}+cOe-U@O+s*4apR_eX>|e?#ua z@NGc?eCNPY7{Mf*WE!;xf);wI;1q<%bMJKsteN+kW88o=FwNug2_s=&s$StcZ<5KAKl1^`IA#ASZg3$Xe)Z%T01mL@^Uw0zM|90=afjy+&MxTb*gLG^R{O>R6LXtNGs zA}@}aR1B=*Df2*3Y(-Q0@Uzo_;2pl;qI;w?xWIdUuV4eO{&D1sh8&Y8WsvV3*3xN!TZ&+%4?PXGULCzyrKKvYOv~Pqb9ZU?m+relQgEWFNB!=2Q1ihy7 zQuw^`%5t~sanf=o^S0@8B&{vNa0jEhw#e*!&5O%g?Wn7~`#WYljCo{J7xnUqswI{Qd!X5Zr2qN5p7lw$t)06X6f!5#hNt z(qe?;aO9DM1Nq?LgV0*-pYo!Y>JOQ4WYqjv%OAz-+AtroMy)hizR9!dqZ0X^5VbZ1 z5Z#ZR-%Fs=16k&GZR7Bhtq7|L()G9HCCTsOyb_|#v6HP)NUp{>C&DKKe^z^DqLEH! z>(O+iY@N0hw1YIkX!oR}kOek}8L@PxR}@1OEl4-d%@;)D)_VUm#3Lg(kQXEtg}_#K zZ%rU5^n_8l$DrDa0eX#Fljr0Hf$s^h{aMoo<}CZrjX;4~&kt0!(~^P(vE5;ljDyW` z2`z;{5IyKd$qNq=24k&pdbn!5dqPq8N5+CpaIE53WR+u9p;KDoCCS z`8B_OD#V8?cm&adf;VDu36YGp5RhdH3w9 zXkbQ+2^0%PLHa{Ji#@aZ-Pu8A_mrbRs_)RE_6iF*npoZE6KYy52P?D`;n>hp27jrg zC`5^oE`*A@g9o(lgRO@OX1C-?1lexb3uJrlCyKf^f9NQX;!%kZ=_j&1za324CpNGI zGR@@^$nkt&nLv@=7wr`!^wyB>x#=u~V49LCo5kQT_AYet;m>ELXrnAPz;)LN@Ns7(WogFB7loAUg;OBC8XeIT5N|{6??fs<=-hR2xXBHcL^pNg1l`m1h>*sDESXu_V+9 z<55Oi3M~M3`H)!=s3l`2^*c`YiY!q{AeH4=&DZ5@*KNR#$QDv^}q` zV>~}+8Fe3i3^YVI;8o!_2;r?L|6R!Ls0^en>|I!Q)9c@6GLJ8W;{X}EUyvL~{R0DU zRKFlOkln9RiuQdX^?3?d5Z?T>av)`C(*`Qy;mYFM{AQjQ4kcNnHXMq3wqM*7tyGSx zJouI>?^o>Xv=;=JRup^@8?+k`dQ2%jKE)P8T;v(Q`~t5g54>LVE~hI z$TX@aawX4@mI9xodp$mQ5yBdtNx~8CNSbhNKYw1ElX5(IkU6yW*Rg28exA z7wkP4SQ7N2t5?@1hQWgH=6N9*2@jr?!c|p4dE*dq)9pswFOLCCS_r;$soxfWjAZW8^Mx^IKX zTZ8sF@*T*5!@n#=KkSJ0sSjpi*ARVuoPl;<13N?>aCBKxA$ZYBN<$@-3~i$3gkN>WcRRhwSriD z_9c+Gp#*Y+HEx?h~+0y zIF6WbuG{hxvi1h5v{z26hju8J@~G=d-A!{D}YA_q${GSt$DQZIpI%M-MNCsrR!KKG51 zZpYvt()>Qk6=5~qfC?1{I`kL9`T&~mSszxji|ls4Qiav*FvtPm(mEEYxDHH^qTN(| zv)AMe2mM_sNy+}45lJv(85NwQQU~FXf7A_8?q9{?knCA`^X~v5NmNs&%-U^s?i*}o zrOAjX*3QT%)ep2O;;7z%3YRg<)aO^eP{swx1t41%!t&^s%^#H@)eV~m2mBWG=*kWE z=|xv=m)|FXzTEIM9{X}av@cmGI+LW?nW=Vk=H@%~=*$f}Aok^kv*1ebJ2o6$lYq~nu%PGedH)J{9z^n9g$cv z*v+LSfcQgj&5X-U=h6-Lj%#mUWkL=;F%ZS5SWY-Nin<*beny-{2B$Mn9bD*H_3*2u zqInrH9#AaU1nGC#taZw+%}Q(Fwm*NPj8t$1Bb$P&3kmF;2GRZ_uMZaKS>tm?IJBO>On zdLiGCz`{#`-V`A+4N(C+M-g8f)5h@`Ra{#L=~v01d3tvssSM3%O>HwE$*se1bRDaD z3&4?;Odz}N8cK%dg_32&lq^b7$%1xp74-+7*2EP)o2pjg(||>F*r}>O>Vj7ZZLv!Y zQ(JcUxbQ_)u`U^tvN5;YJ3~;oAP`HfAa)W3)1)Hq#;{d95G?e$lup2zus3+4s|pBS zQzVl=09!pK#oBcDGAUNn1jUNR*eX9WC6+*xdWEim_Pe}hi#~Bk(6-U#V z`6RH?ndjjrMl(_#JM7Vx;pfW;;BplssJ zPu82N%;_)ig~psaO()w3Wp6oAKg$W*ao zmatRQr*urVmOuwKH#(35Fqap9xzfl-<1%6#)U+If zNlqD)WY(m)`OBmL4!?V2LGR>IvLpA118XDpIQ{Nm$x7{uU2%x%dtTd(iVSWO8EP2k~ z{JSm$4V-4b-~`8dJ+@`1-w1%zwhciQ434q67Kd3Pjk#bJs#)UAOXDsfZkDiA zlK+-Q2)IK1K+2lWLQOWwx}ks9ki*a8uLynMWC9w~$3o&Ms2sDG6F><4rfORx3SADU z*ES1%gd@*nK9X^0G7khYAIY((A}8g{6KV%?thix8xZ`vbk+zWG3Sl)Ijsnn=`K7}8 z09LXKQD*n2i&#z7C|jb6i!+vFP#C=cvORx0i)~>7Ab>(Yqc`pY*w&p@wOu%MOay6h z3$4BX*vmL*iv!;osMAJAmcxrviZ05MF~S|S6c;4?=BmsMH#^V;K*Toua$##K=4L`7 zZI%S9Pb^^LHSx6OP-)DQBhntfE5Mq&zm-7Yb=Piwv6ActB2BYG64m&K$l0#ov=!sAfNPVolW`ac+gk&c@uo*hYZ%ig)W@TxnGJ)vzK zM-Bmu_2p`BI7b1wF{g|iwzoajjzqL*%1-|n0lV3RWp-L$AiIb4=T+}-1B<}Qn5Z1T zPNe$i0ClxvS5HCw#(@~fK?K=&C6om??M_=L*2H6|}X9%!!) zSR&!LjZ$P1jtK);Wn$HJjOGu`>Tw>8fe}tP9pii&5%KOBQ5NwC zBlU%Zp;D=CXOXSr?>$_3yS=XX=Cl`tUU9AXNYMam+Y$bDFmTXK4jzx>fj2=M$pgQm zt~&Yh*un(`uk9=4B-Gm1Lv44Nd9&s~S~qIWh0%56Mvz)cJzT2WtJ-nm3IN_`3^_%T z3S?P%z=;BnJP~wC%9*i_o0DjwNKz^griSF?oK~jhIk5Z5s?e&P0cVhnd>OBLPf6p;@?`aa4B@&EY8iJV=YrIZ_(<%T-D4N_jf?D;6lgF$ld z9KVh}QE_0$eT+BRQT8{)Zf)B=`C40Jx3=wgTY&9rxDGNQ=9r374KLRQZ5*G8`oR{P zxWuR3VTc9_qo?k&f{R`*yFD{;dfCmyL|y_(#>iakDiiN@Qwt#Ro)BDg$6{b!S~UDj zk{u3=VtwJbpxk94Eo{Xuc@;qUYTL>M1I2EU3n~uW=DeRfv1GpqP-)$OzhfrQA$`7I zJe%UJlbwTQsU*aYi=dS36a3r>Xv-7#e%j5eCs)zrB|dk%P|FDmqq5#bMURLyyMQc& z1*!#S=o|=Pc@Y4Qs_AxNS@V~~1(2NKRLwngwmt)LcUmjRKf2V;5dg=g%&HNFaS%8V zw_8zY!;_MvyWz|tYmV+1_QY4}vTlzk<$kplT_HO?1Sa>3N0kDB%6KWN)r+*Le5^l2 zKJ;m${9E~Ckw<-S_>muWwF65XX)mj%j=bzBWb~W8Vm<*nF{Yk0^FMc`y^o%|G7xkn zN~OL8>F7+<9-VplS)S-jIo;Lg&eT0Y?n~!0^<^OFODmMS(kZ8|^xC8AtoQ_6KG8hx zOR*5rlqM!a;Z*drFQts$$O(lYutcQVC4SKoaYzLX`Q5X23xN5<&cVI}y7oMg5|Pff zd$3VVl%|$MnRZpmRG9*!pT(cvobzY1tkaDabZ<2W^&GEI> z+#_8Rc$XH2zBPLUE~q#VeWDJM>9<~|nOomA$1t=TZKM7t0AlenF|bIPqYrhHqiF6D zkHZf+7Qf}_-f;OiFAJAhZ|3t$qPpz9*|o-;X6OYK2SUJ?-PoQ13}8z9p3J;gAaQS9 z5_uqyxtGc^zi3TB=3adgI-0z~XW3}on8hLc0t}YNHir~SCWcS}U5rxz6c>uDj3qhJ zv8Rbi&QG*6*RpfreNMFLN}MFn{q*LN1iBv!r39QTo7ScUp9PkhI{Y*$he)xT*4Kte zIqi1!XsZL!IJf=-xb)O|R_=0fY4I8JMtsV*D31WGBt=eu-vNO#VkOvfXdoMl0_x@z zkJdr9?;Jgo!o8oVK7wQb%I>;oa&#Cd56NsjncD(PiY;XOV$%v2PwQrJYV^g0M;ty| z?qvW=M0T3rK03q$cb$u)<1VE4T}4|!7sCTUNX?5ZwRX6L$!hx7u`2uFhsjC9foR#F z0qBZfJB>us{1W{y~~X9IIz%qlE;(1%@~oEzhHKeYez|kRJdJXhEjAW#4?r zM`N!y3-bo1?en9S6z&qeB@Tq(ZUiQs3+_g2N6NNH^ybWMxdDA6Hv@S~Ex*k;163t5 z@2QEb3@r(AO(Pfpb#w2u*1TlaU+sOlWHC`p{nzIye(IP zsLF*Yb|dx3mRJCI&jf{Hl7IJ-y7)XwAqv?c0Z>T1XV9B zA+2Tykkq}K$ryFZtAvwo-H2WynRH8_a~d3N_q$QpEeUbmLaC%%pdCtp`l%;qA$1Ep zgl^pkjB77rw~S`8)h}V8ZVBRMJaj8TV8V+fMxAu%7HUtrHH-P@Sd-j5vTgPJM}D_r zp`dH`7!iQ7H8{FH5UJ;%(zX3xqU*7zME4zeLU*&H{6W`&ME3)EKzG0FtrF4&5L7;q zCv;D{VI$}|km!COkLZ@U-vDH~@5mFnN1OW%K%)DBJfS;|sZ;Kb6VrNP`xAMh5O0No zu(R;}09ark1oOtx_ao#%!1V`?B=6`$;{S}yZudVHISp-c@ja~p4~cBf0Ejqn@n-ev z(Z6lOQQ>-77;4)P!;Gq@*LnUZ%)8$`AP0ctl%YQAOQ89caSv7~ma)fPgh*3|(}~w# z9ue^}(GmxiTGBO5>oi+Yh}f+HPSxfeS%N1(QZr9J5hRFgs!u%RBY;@?CysO^z^*_} zMRt2WgJg%BnXGvDI;Bm!_bC*T0J60?JB(F9?6;<&le_L|SP8IIj0MUu62+GwThEn3 zsM0LSi##=D6-O8J4q{Tv%`M-(RD5X@|cWnF{8D14mmZh+cb*iKcd<-Q_6`5RXdBl#^EsE zdo&He3Xwxctq{>Iz%G}V9WD2UokyPl-JnNy(O!X-X0yWTWb61iSg{DVs?iQLk9 zerI@gmtNK#2LbU4Jry&8o31)R&my2Jfp$M|6X;+@B zSYV~8P4j5e0FfQ`(H4iuHS#)QR19F_4oy0ofaU`n$}OhYY07QK8r@hEV2lQ9&aD#D z0b1YT-0{0+X{n$#>Uc)T3_PKQ5d3tP&>SfGfm`F;{v1*vyWJCZ-oY$<{0_c^+ z3yo{{#!tgnQ1-SJ-H5Cxj1W1m)YAd15UI4*Y=j7Mo#)yJQ76-}=eB^ZOC!EOfKAf`PwKw>R*yZE{}mN)3yHUz9j-{-ZkL#*nujkK5Pp2Z z1w$YWIMM17!dra<{8awu15P3U_5w{V6!e9 zRogrTGNcE9H&ksW$D$vK+fEMrwOd&E+G8^Q#joMr#&xoP{?n%&$=QD%@baWJ(5V}Pks9SZTb?s#~ciRM6NmR_LRX3XPP^|=k2QL+DZuAGa zX0h(jtXar^bYu;&u~Ga|Fa)&*j(>ds526n&g9oPo^p+^5s~nzDV;j-v^DV+Rk^kV} zwZy`^V8jymV>z(p?me1`{9!m{(>Yb{JKJo-=)gTro9%LnsOj`uMzY+Zb@_IsXug2l zw6!1pi5TVwZZZ)-rU-dw@SFf##PVVp$q#J)iBiRjZmhWa^<8M0HC<^;%sk$PN;X*% zK-F1ujfk8H%{1(H$jojjP7>PH%%Ox{tX49gpKjLV)}{Sd_Y@ER**qQKE~?f?{(abg z_t?v@i_l&T86f+xCodWjKm-u?jl3y!z5$kvha#_zvMR!n@n zp0O_XY+b>+{cO*(Z0>E9&ZNzAROGjWZ9-a2C*ve7yCaaodhL2Gnbzv@FbCvbAPb>+ znIsCMN>RK}FJ-%%ssx$VO5$I&^}Efb!H)k+fH{rgOf zKJ3QYq~IRS>&TK4plT0Vj#T_UJrLvtm@-A8>~ybmV@`^N_9%P-#KfoG7$Y)lkaY#l z8Zz4wF!lP$Ow4LdHKsMMIHomk8JK@#jp1$FY;(QUmebx0Mshf@HE9NP$HfAz!+miJv8>5 zH1<%(P}0~#9npyu0@PS5lQh<-CXKa6YO@ebi3?3gwlbu9K zx^eF##ACG93m3+x_rir)z@t1G?#n-Yr9dK7(N!<$moZNgYmASyAX#?bwNI_eJ2wA%sgZ}?9TVz*T`<@d)c;C*4x^Fx0upGGRU!Z0vmvjs zIiKVGm3g^IAY1YR$iJwr3BXg_UWPLWsB#8D#hgLVvY0_8RvyM#?3NU+c@nylqhc#- zo)D2S1rZ93tikeIC;F9k?a5yH?NPb~-4FtDU?$pRzn4gK%>m^g5I_}rj+Lipa0O7SJ?L*_|nS%U|Mp>vZX}CoSso^iLv@IN!L5M8+`1+c( z=F)YESB%(yPGjg&hr^4z8bQRd7J!H4qKsD=MExMfiz5*Tw`eTGi&a212BOvbicYnr z<=?f>1xlj$NLU3nnF-5(bDRp`gUsiPQfwr1H!4W-JZW^XE}6&@CX{VUw8DNTVeK$t zAgtY71F02uIcGG(ZtslWZMKvIfGy=SuEg$aWTM(OjiN`a^m$nFh+c2V817qNM z6$f_6c9as)IIbNU!#hT*^mIE1G2?SyYy@z(o8O@2u_aha={Me1_abQ!SO_pUvm#4+ zaDAnHxN7n|(?ofx_S_?5z5B>LQmzCzcm+E5&CRxoP?+`dy;f<*U(L>b%zCwW)Xnq zc$r|$h$h~5*vKKAkme8J%k`JaN3r`XYZ-nmWgv&2VERPt5%Pa!&DD<1gX&%LCgI7E zYyLp~YR$EHw3YQZ^8EwyS89eN>+bQ*Kv?q!@>gsA?B}g}X!YOyn=-iLF4TYb#}V97 z{l;6FLG{%fLG)5wyap`5Z5}9M?}KE-qgknx&16crn#}DY>mgU06b0;vm;ieByW|kq zeH{YXb=ZK0-a>Lt#c;)OP?iH>XR7@rQ0=*i837wn46OPsy)KPM6S5T2H&)7NPXX}wz9(Bj?9%Bs*$f{;SG-Us>YqlSfF{#>W`8%~3ciqM}`}4Pr zqEOY1K_*=N9{)g!Ur8OpDSjpOE2LA@d0?F*_qQmr0TCh0i1sK7X&;Y~D61Z9eX1P@ zTDa5^+ZUBt0lC=VskbG>Wd?B)7hl`pH*&K`S?FLLY;x(!QS)HiZO4!aAJqRqEHO6* z8y~0OV3V6fdLC>0>81?F+J5@0O&n`PIMz}rA8SF_)q-`$P-6=-0xnQ2ICW)mX{`0l zJ~&^Ys0ayZhW?}PrC+5x_H!X4Q+_6Ck;bcTdDDOVQ+)2urDbx|%V z-BGg4y?sgM6Vk6#h%zVTV2YIom;LFJ86<1xa7-TPO2^*xaG{5kfh+YWqYsrIx|**DFfWLZ&v@r7^GtW@gPQ~z94 zy?anKsO-<9su&@qdhCoqSTt5(6N~RidF)Ol-R<&6I-{oT`LXv*<}5YK z&XHtJ$QiPFOk-h-&0*6sbc?m4u0vxXx%SiVCqgd>FfAth!i?@>-)sddxFLr>!NgLB z8>6IaRXZ6Sz7iKauq&5?7&D?94i@9+CFLa4s2xbO5XFK~kOrqq672rSE=RZ{X~vN& z*Y|y@y!$MW+B`hxJtON2+GZJFzmp6N$&5BwduUGc6)rWW`K?KT?Cy<@V!H=IgYq6m zBC6ltM;no)aZqI_s=kl};G+OZBS=*>@SR-mTfUWGdj4LrbD^ zh-**oCm{a%cof^=VJGF?ZzPNAcMo~8g}WR6NxJ|Ar%?*h2+EMy&Je_#p#SJB;^?=! zik&aPDs$DmA&(B-jc&QAQph85Z^(a>WGrh}?GFoh5gBmyd?0^JSpEg%`v+u^@L_(2 zM8>yCAIK8nQx~*M_{0+TfjlC7k0CcOp?`t+0{ekHBz)C(!a#!Xj675>xqm(r4g`eX zkwvWy!n4-?u^yviLKJuZr!oGCENVqe9$B-$e?b12aOUCr2jpMb;*jw>@{sU9o=1c5 zj4TqyT=qUe|KXHv=cF^?KtT8%`9s3COU|NrN0#)Mo9;K{`v>H|Hepkxe?!9kZKA*6 zQ2sy`3Cmq@Ghw@>?h{!dEXlELT0tE7{sCF)yaQh6H)NiK{t@zTHpu^Q*q`RtPgMm1 z!v7q3SXFylcLE8*{|s52elaz~x)Y1?Us;>x8D^e^V&2TF!B5B%SGG834a{~TRNJ>I zX+RkA`h*q-mR+{~(~>q%$v+_<^Y%|4J!((!G_i`*I3QbDVGCp~vgOD=AISRGWt;uu z*1A{x`v>H&q|-sT;eBt-Qx#eB2l7{HCRL_QwxRS>+T;iFcWR!g{uiv7(*7@B^FLJN zsQCl2n*S`taqOPrIItkf{S{4tX5OE%d&VAvX9=;m*o&nOxc-NoGfbhoQNKJx&mJ!j zKvNv$n1Z=qMzst_AY&9ua7=|Hc)3q>i)AXZ?w3HC&KQBagn$VY3r344IF5z9H4?Tv zSAVCfI?~IAgo7;v*ZsG#i7$-vawsGRTKpqL8nRGWtRY-L!i`yK6lz13+R*r12GHjy z#ohzqS9EdI4kTLWrGifo?vH(#lJh35lhk&zCt9&kK`*GM6?0?vV&Y4`;XP#`+d z4iAw7nRt-RjliP{;oOkv?Ax}r3F0zG#=%MMGD{+k2iu08mG81CsYWo;_d`37#&-xSMCk9xoAV-9x z3lm8=WRY4-IRhXYC={%M@P~xf^vCmke+Luw!T~4{CbD7~$Qdxbs5%g&*e&uKcHAB->RonXTlQUxb+`OKaVPxI*X|lZmY=bcRq%~*BqtDxyv|>Uu zXBmY!`bar5)sG24`<`KTK<b`LDtgv3M48j8O!o{)Lxz3pEY z&1;>0Bx!Ajj_dRz8ERKv&Levm(+`oDeo`r)eiG%vq~mLHaiD!4T&F9YVP-o|t?`&V}RRBs}!;`dk%qi5JQEX)(5Dz@zSCAtglnScpXC7i_4t+F4h_ z;ZGtRRu%f8&kIR>L5ohv*tLNfY1vPpHJxXs+i>v=hOgwFUW2Z9c|34JEIqa*Gu2XP z8&7{ysO@d1nOlfr!6-=g1@{`|W!F&07zYwFjv#){o0UgKFXvlF~LKz3@XG@?X9Uw`G3$xk}wfI}QOdjwA_>j&Z`@ z^oeZG)2mf#c^`<2dQMu9bsq>fKi8fSzaK7|Rlj{HNY^w_^qBUAbnf=(Kqi7s@Z2gi zUAJ>U&}zn8j$JP@$VS~`4PrVJzsx0cC@9JkN|cXS7_0`g4vFQ+`T(e3pbb~4L6{B} z%&8{iOMqX58m;!UHEfi3{cJmP-*H|Z0`9#1hDz+*=7OGVMPraM4F>Mt%slDvE4Mp= zY|raAK{goxB!&444o<%L{|`6JNc+sDi9@@URPN zT&!sCTu4p*mmal)*&zIjWa%lvn&zT-3BgB&bg(780W$|%@O2;^?3(5F!NC@ONK6L< z6b{B#bTCq;gDrd+eKYf4eYC-P*roYl7M7)QBvsO?EZBrrM7|OF{PoWd#2=j2((0*V zvdZdHK-fM1`==8v|3dw+S-G$Jk*D1*ZJxM2Kc^RDik9=Td#|;QnIoSGSy?x!S}o5D zk&OwxHo0ozCXn^3Aac+T658$5TA_SEw3;DXy>Ll(&<@3dKM=_HP*wd9sU5Z*N!>5N zX2C#CUou9c)6-d{Bb|65_N6K%9uO^y$W~OHlwSb_do(*f+=QTfp!CUUTT_AX0`Fk| z$QWQ=ef5NEzkyhtUak9iA@-h&4lMO5M1LlD= zfA<=#8cVLovPep8le<=3zSf6=cyR*w(}1XBvehekr}2J$7%}*VSs^1@!K{A~+~nSE zd6|Y@x0|>X>5IP|cwo~YbFzZnsW<)uvHQ^FCAA>-{!WV|Z|1uGZK~W=uC-gIxFh=R z-M6at-ENEo@}I=ReQV}*7fq2;;y;k;+W~@Tv0J%8u33FUJ3}J~Ydv;Na|E*G|Gpi1 zL~TU03N4!nB3Hl%>6bu~%Vz#SwjrPYvtnh@?|;GlQtU#sWMptJcAP0GCRYcEBa-QLJV)oK( zEGPN86?A3iJtU*$Sz~Asp`M*X1Oj&s5$Xx%qlxE2A-ntVYO=+S5el##$wRs?y2Pur z1UeCQJ)Kvh&1QM3QMHcKdG-QqWfTYw$h@Kzj@pQHTJp8xjzcyh@?`QuzR6HoYSSe0 z9idVR^^Ku25DY~@#!!jEgDF&Mal#=~N+CSi*BX&AR0`7ES3Fb-G=|DRCPF3Z-9@Mj zWWwL0QP`(@=qxC|$=?*;uN1^WCw}vd&`-@C$#XJx+At1eB3NZI)ryQtGTKTC+=6H= zaoVeN+Y3waUm#i2r)C%8anRO%1pb4OE-s)ku=}mVD3BNfSqd|1G2$Ipe~N)M#UY}- zaMUf0QXwS!&Vtxm6_T!tpG?SzZJNEvpxd};>b;@IB zXo(^zV@?Y_B%>A66B$P+u@KfdnW{5!r+Hq%eVHhkxL6J&=M+60`mT;6p9xtfTufH@ zQpi3C69E|%3zgsairhr{je(&pL3ox|gfu3tk^`w|R+(7R!{e zD~P$}MMru-5U)=P!kasDAms`UwjID$1$zb{TrhCRoObW_)+i9wOZ^}D36@8GD zS56!^3q3Sz)7^Qc({&=fki*GDp-cHdBOAKw?q`m2UZ-+whN$b6kPo}fPt@=Y!-Swj zCR#CIc@@oUR86<3<>ht#L=$(2(#IP^f`sp{i4kE_L29;BJO~*gKo8 zFUzhAF?*$9)5-2jvqxl_Pace_c*P;p_|sF9!n^lj0wfZ?;%`a@gh2zg&`O`#To5oU z8pw|KG-vkzR}e;SLf%j+G6XABoh)NMw`h(fi{%*hUTr*X&~_S9_QfVO!J^-pyq+BTNgKaE85 zl_B$iXoln}NN-#^fIa;$gj~7efFc<|`ho(iZjW_l(WC)9#;?>Z)rI%W_|;;kdE5~Q zY3ps)6+~V`4r*7Qh{HD3_DGWbs?W?}<3QLEXcoN%($S;fAH5D4lWPo$Jv2tM(P*ov zC{7yds)tl8dk&lS+jK67&D~St9X|Ll9HqFYoVK1Ee1~mXCi#HOknvijjAa6xe11s7 zKHW;9kSd2g5gL!Jro`Qb1(g*Pwd^_w7au_!m|kNvWh1CE8WV~jrRHfo5X|+_irGle zM$!=c>DZJp%&`qiMJ2TP$}l(6o!CTr^J5<1FUa+j^O1l;5i|>BL3(AKO0bV`a5MJ9 z91e6<|nQS+X@tb8rd;a^9y|`C947l(iNqMlQIY z^XqGU|D6^eR`E3liO+;g64vuMTz4sQ4#Qo(JX?pkTB@I}!#2*Vs2 zMU(?!x*&PenIK-My>omb#%+F~^w0{?At=k&XUPM%oeOltI6|QXnuVqyy{0;@#y(=) z&CCz`#_k%)-reSx*3wA#-3Ky;L?1UT0G(2@t$F^y{leh>e7{f+ainbFOHXW!`*Hhr z>V;3)!A@=))5A_5#$ou=a@yz{2g1Ql1_OH7$z5VN0=S2>99&<($Dl>>F+Z;|G}~|9 zd)%X(oO>B|9$o>39tV>psCsar03QSUKp zM&!(~u)UTpMN)jJj_gw4`S;a{wdp0)@sp+lGAP8e@c5k&DN@xl39J4STUgV`TgskAC$XS$Nf(%wk_-!AhNf0QdIex{DP^AKWB^aCvFc?z_am47Snp?Es@Y1 zB$(J^*bD!55xqH{U%}y@A2fT1xqO=;MpYnJ=#v86TCd~KXW6EW;cU;p?VYcDITb&# zcfcSK&&K5UfUH+~nn-j#^AlX``FE1TkSw{9xT~Tz`=;JAn>`C?Ue)=hBja!M>0RW{KWh;DBu}3%ZQnkB;4-g!k&Q`_(90+6h=j|GtYL+pDlJx2&Zhi zEIYaVyF z`0E#tNFUx2V%BzJ1LUo2Sf5^Me=WIU{d?Km?mv!;WHFb$C14i0ORZ)%3*^wbL!M;} z%d<=irFatT&oY-u<>c1VPrB|{kb*xNi{Ak>eU*mhF9BqR3l{eLI|+ebKuD0V=T|WK z=Lg;1V6d$fo3EKs1Y((vJnIbZnGl>kB})Ry=284))#HUh0?$Zgh#*-a3Co7a1j!Pm z!2exHZ;$68Tl%isJ3LH^Xx993L?G@Taucwg5_k9EuniB>-M0Lb%45z(0?+g@BIY59 zgu4*A(8(wVegQd2VpK()?3;RTuvwE!j_Z*+A`tTsd6rZ2@F2vXTs*-Is>tuhPFYA3(ziytAzjGp6x0d?4FZLnKTPLw= zoZow&hsNqFCq3wxh(;1}Di_iw6b?|)P8 zjW$amhKR=pmzD8@`A38pS2%vMj3I{43^70$VnD(}2$(R$KnnbS8$*mgk0IupZf~6U zqZa}(55bfR4~i_8PKH@Keqz&lkiav#KM?njAYm>PT;OCO2Yyk;dF-xAp8MbGWSAVI zBLXoG!JG>Z!mON}3=?TsxmCd-#L%n3(kC{ zxclE&_i+a+a;~|#?Aa=|#E`*|cq1FG$;l>`Deu(A~N0ZY4g?l0VEex>!}8~cCn zR#Rqe8(u2_nPl_l2++@a1R&zW7bpEOyeURRfPRb-p!YEX@R<>S2!=07`1mFlMgYoz zU!1oHa8pGiz&G{Y*)`{aUEhAMW%b6cNt??=d~4WE!mVS95xdK7*Z4{26_$JInzXx| z5ZfCj3Ew;>7w#@o4*Vh{cM_v2xoiGA`~J-?M2LO=x2^#2&LX|RBI56#{8b3E2#OJj zhQCjVpV$i*CK`NZq5*`721s}!0VaHf1u6U;7KFq*EPo!~VSUr>Eknj9&A!~C8IZRI z&F^gR0`skG@+)7wZG9&jyv8|^X~c`rH%^-O%R|0?)n$4AgvO%)yv2#gJ14Z-?yJ{8 zY&MeTyf_arIHA=xF3#mSFV5o`Q%xW~8wClQu7V3Dw2%Y8xGm1_s>ta4uAbZMGR1>F zuscKQZui!SZSkqm-7~P_XLXyg$)SjuCEI zyQ0f%0MCeQa$Fr|zG(O}v=i4jvUW`l6xXaB+0OJ#{aDtP`kMgy`w0;__1ZHL0Jthr z4yT!gie36>8<$}r5u~*}A8)g&NFlE_*m0*9JZD_Bh6Aj0F|O{9v=V*+ zoAl}qieKD`{Lp4b5>qfqLKDOZ zZ+g3)OSd01yU3Xcd=X7xAJT*g<(|=D=6D^~8ms0QMgY-=f+nCBeLnRT(-+xneoqeM z*z~r}{CsL54>o}PjN~g!JX$A7HDTZ@0+#Tf%fj}8B&k~Uj)?(Sd!CD4$F4WH#3Vof zf}sgj0a1<@@&!W4*rtChd)5}u==+cZo&UrD*KC|7qsssQCI&9sAYvS+ejt;&>eP=- zlQwei>kxV6+vUE`F}{*=b5%^~1SST1BGPoZdamZXkX61}&Y?_|U3FL#Yu^S5my`|x zSy+1MUUCU(1W9RDb_wa-C07uT?(R60NOud0Ah48xA`Nn+OF>E${T9!8-}k)V_t*Da zb4}bczr1JWx@P8?-y=*aG6cj-N%=l9IvKR^Whio5-@S~ui>3&TffTua{OFXX=FAcO z7}34;#eX5f4Es`K;4v+Sny{WJXEZ+{Rn}biS~A?FMB|BXa~J$^blGQKffA(h zwcnw*h;^QZ12hc~-^>$%yx}P#Gnfywxzrs0MjuL&<(Oyqab$fj^CAC}FhhY5L;-ob zjJUF7Am?b;CFhNm=DN6GNXA&_NCCmqAOi#9ZRic`ii!F#^l^wf_(Ylnl}?0$a@e<| ze!nu#CJ*rz&o&?&G3}*aLY9%k2Q}val@Y)m_orsQMMKf~niEYTs>q#*oXBk8aj0GV zYpAGUDgEFv*tzs&*b}rkd@OqBepFb{uaX}*-6m*d&8-0SvOT}B98#*T*@d7WRynL9 z$Go?QYE-uhpU;JWTyAFw?cSJ=)rrKi04ov#!#4@?gS}O%HAy^{tBt5lju8~e56#hvI|-{g*s=TiL@$u`Qmi~~PxMp_lv=8Slo2R>lm!~(`^G9=Pi0Ki$EtwRCd&rlk}UUx+ecz3NU zQQrq*;xamxS|9scaGq(cso7d5+DtjYTM=JGM@AFJ$#+}6FR3wdg@aiO0PTiXwot%Zr zQq9L=O(rR6@37X2e$A2jQz>m%g5c=Vdu5V_jNvojQI}_LUJCW%1Ynt4#^QWmM}2b7 z?QX3Wix%B%b^0OGnm#d=!^vFd9kYb%Zw-ZQgyjHTp5bGf?E) z?jZ)xre57&<5}%xqwMrXTzo!A_W11E0z;9tIOlo!2A9>uq+46%{T8`m;O%zlo{D!N zr}4sXwFabHs=BzERwawP9ycV*J5lN|F^`u=)^%ajm0 zzJNe9n}b(AD{zyR*q}jytu4)T*2Llb2KOny{y*Rq!XOVY?LAKpHqZ zsurj+ra5n6Ys3xqRtcIzY2asUE8mddK$IPq6FGg5%RW(EkK7it>y%%L7^b)GG@V+| z(^kMU{?i9HVvrvJ)${@TcPdvAd*;hy!w7h%eb;_2Qz{sGKYEzh{bXRK6+SAv7{pU#dTs@aym8?vaMaNc!-H&?8hEq-6a;l<2u8oic$ENC8eO)bw z* zi8{k!^5+s*<^8Fk1wfe?yV{wLwA}%U-DHGT2=oM)FFqez@A}l2Z#oA*;6ZVGC^Sin z@ww2fN6YZQqE}^PoVlI!E3b)wM~BNV);-O-A0nkzW2Vv z;jlw6V|@@gFPcfUg2~yBXkE_|uzLy68(2~wXsanFRJ0xMfXoK!QW7Z+2pJFdUL821mQ^YPW@R(La`;WxjZU92i zG{TQnKBaDwe0J{~R}B3@&#aM3)@Z%}7&>B6cTf>5cNp%ay{w!E1&oMp#3?K$=L8Eh zZK0e99ZTMRP;`>n37;y?B5{1v$jB&H&;#7Rm)O3+J1UKs9#m`R)VQkJKFE5{ zHgrhT_@#9l+w~S0#Gx`EAGu3G1FQaypeN=VTKei<{T0Nz7)H z6)`=p`$Enkc-E;PBNhmUS3l2>kxh+v%fS5tX6dKLR6rv>Qd!|{%DWMSzj1`!=-M%j zuYBry#fNdUiL>pOt4Q15Y|}IA-O6x@K(pKIw|jYYAwtGdjt0yZ2o;1kpiTE;a({{WMdXfpDl_OJGSi-3QySnQ}~f6HAQO zYzYH%^5;~V(k$T2Y9YHNwHVc)xF@~X)XsF7$T1~Yt@r(-cPy8sA25!#`Q}nTnEz{hNz`(4?qkif}7IY2GR|uo2ZxTkf znHiw7y5zAo!pot0xBMCr}kWeT0LLBe5I}Cf4iJO4AbzG%qVtJSoA;+W6LDj*mmFhNfMM0|$?)nh#Q%mw* z^T-Jux8tU2}bE$`?q|n@ozV{)eGnA25CboY-h^j_!K6;eIC^5KW z9;~gko-%){$6Gmq4rolt$G9*P1pEY0iv>R5??0TSYj7)F>vqrL>OW6HvEh=PRPmZ; z@!j`aO+Yfg2m%y7(N>r#*7WwP=Zm)p-R{I-Z_tTxv*xjzWtw<(>&{sEK6mSVjA zj)|T|VI^(^AkCkd@%rQL)iUfTm^PN%l9E!~PFVaq|ONS2U!oSFOfT~Jt0{=9AN#f3A+drT+cdHWLjj1$1J zmP)0raV^z}Ec!IZnSsp-R1uvVtl+*|s^pK?bdg zx;f80IXW>8*w&VVZrg#Cpseun8?-By=I~9{Lp}C&iv5+S6I{hqeyb^_vM~UdQgpva z29orG!k3t)ltP@hY@6(vf>xiPhB#p?VHkiG&=yO6qXqP|V_py|o1rqy5{b%YUZ+rF zp%DyNZM%iVRX&?n6Aqq_lr*mkh79#4Kh<$~@&?9fr$9xr3axIK=!*!I7wM?52YqJC z%vS@53qK(0>#a|#BV&wyph$(g6`4NwUinb5UU=ovGTC3PKGN_Zty`V6J#0B>Pov@% ze4K?P@MbB54$+%zn`Y+!Ybat{Lm= zO~`OuX8?6u+jIq4{7KEZNP=N(WLya9b}n+TXJe@fixBB=(n)S=3q9T`5*eK2BII?f zp6ui2RV={V&Xw6ykf^k-X(GQOfsfp!WoBPPR*Yr?PEJ25ctF|wwun?O535Gw@}%Bo z5zakvqjO*_D+T9cP8bOF0Fp(_pO6%~3k3}0;AZ2`H76|3ZB5^+oTmK##P%-gz45v? z7qPSQ<2Y`R7JV|)a2(59`u&V{V07BqNcU+PbJBM|)gM>HdEUBdWe#n`zu*#CVvq~{ zR;M#rxmjCgu*VPFXs_Muz3ulH20VD7 zytJ?{4&VhFk~O|Czr^NSuEUYO7B|a8Z+C+LCW$ZJ(+{lNB>w)oJPpETb$5_~fmG2~ z!vXV4gN*2-Wq4Fn1XRvycusk@;b)^*Le`<&a!}#csg3W*Z1LM$-?tSl-iICJgGY(( zw3csc!#C$lcpQeF5i+ZKtV(}gj^B>Soh#cmvg}@`uv8h;UxF%piq}|M1hNs}?K{MG zgcI238nEW>TAf1T6baytZ6xCUUwNX(=U}nlwT)CJ@}sTM3q#W5-5C2OZ{8Q(%WoJ! zmTlQl=qlaHP1yMbw;>y)FiBWiu2R_sPe$tohd!aomp6{ue%1kRR#cn${j$0y0`^{H zJ@ghk5s1K_$mpOVR0&t8R6M9Z{o{>CGYn0c3=ngE(<-d}&IhZcz{trnqaT<3jz~o# z)NIk+@TBQ7`&9=&xWBB(7?|Gj#Z3EMLL+NXmzax&AUnlX11b@w$lYUn`e_WS@*mkU^L$^c=~;(-oq(<&is&1mKj(aK4v0Rk4My(2Nzgd z`mB>5C2RWQ=wsooF#87=sc7SuvMDE(maxG27(w59cjGI~fy2Qzv)psZAPOL3#PChu zo5huxP0Ox-7EMcc;nCQS1LH`8jQeMvC)4R)z2K)KsY7ct2Z2Y8(2|lL zfD!4Q30aWoYUk~TwNS<0D+Qr%4o^ zabl&zQ|=KxoUO6i?c#@_i-D=hnldkkS%>?r&DzK(w{ed+`?X{#fXpt(Wu=x$TrK9sO!_ekVwhBNKen;RngXy0QDZ;rOAoRFbw_`t4lj z+xa8gw1D_VrJlaKsje~4?L91BB(8l-C?e#|lejs=wDaTr*uXc`vJyX}sl~(-`k}v- zx&BZl-t$smo0lsBEJ=Xoz4E^+_)XQ~z-ssbwQgujzeB#w7kN(cjN*(D)OJ2NSNfT;6{vJic>S_9UDsu(5D$X*)Cfr6DqpSor9pzR$QlT0Hu#nrj&vxlXsKo!A&IWi zkoYEw5p!&EC`jSf)C9GRg=<#h-#EOJl$o2beVEUU4u2WYp(XVF6*gpGyJ@Fwnd<2C z(i3R`qjPPM11Z1Nh~b{R%3mRHR6z4Kw1V~O=3yns`t{P2rbj%9SiAYR0=|V>u=hvSe=qlpQA^PHd?>SOnGQZJ}(pI{7vm70q>Xu zd6KY%5lj)djMO@z$ZPb3<^&OJ?%y6^v_YBg6$?>bnJ_u%yLoR{yw1s5ab-mlJJw@2b25;nxXRO|hOd@Jd@V zjytC*<2QzxpiHb4N;$#DTz8%j91%8JS`=UW)zJb(Ch{COJ@+-;kBDMN7sT1w4a78) zrMFuz?itrB)?rAXB4{NH`|X3=6*AQHMQ8dx$Zv>*D=T90`MiM@ zn_vfvjpOOCG=U1`RjgTI;Y}F)OCQ_3wU+;;S28_j-?yoh23u}@Kez^hqJz6bk}~d3 zkBWI^aXC11GwU;_%bs4oF59Y{MNqck(GjbG7vAqo?|x)eR6CjrGT{IXO$zFTK0Zmg zid_lFei1V~pd))5#w{3aO_RP6x0?M}JT^l{ zxPC?MgH#fuuY;l1iNPd!vvW6Qg2`*UY}Z*`Pod8U6;5^N1(8C0SOj_Fevs;BtTA#( z=lBNaVOvs3DZOia#*Dz?CyX!Fv~4q~h`OfLc8YwmpauI?x&9YH1L*-3DZ&GeLL(6{ z_v0x z(;sMsgG7O{lH$UWqN1YWl0YelG|=YvF>0P3Xq*%ZDDiJGO{Axf*FXIF%gk@|S_Icb zjB&=1Fb|a1wMmD7f5B=vTpi)-;(##HRJ{iO3P8jNf%5c0Iv`NM>ogesBb;kzuhVrM z_fnMi2sk+f9R0;hxxz!SA(HpZk|qmsm4)* z`kRpd$oL}>j-)s`NO4^J-GI_kQW8=?N8n$WgshAdj*sgG^!PU>DT6z?{tLrxh~d)n zUzmifq!fb_qn_T)rmX$kP)Iybk=Y jvrOB=(Gz%0!*5C*i9`|v literal 0 HcmV?d00001 diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.pro b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.pro new file mode 100644 index 00000000..d14a5c85 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.pro @@ -0,0 +1,35 @@ +update=19.04.2011 16:12:34 +last_client=eeschema +[eeschema] +version=1 +LibDir=../317Regulator-BuildingBlock-ModD_RevC +NetFmt=1 +HPGLSpd=20 +HPGLDm=15 +HPGLNum=1 +offX_A4=0 +offY_A4=0 +offX_A3=0 +offY_A3=0 +offX_A2=0 +offY_A2=0 +offX_A1=0 +offY_A1=0 +offX_A0=0 +offY_A0=0 +offX_A=0 +offY_A=0 +offX_B=0 +offY_B=0 +offX_C=0 +offY_C=0 +offX_D=0 +offY_D=0 +offX_E=0 +offY_E=0 +RptD_X=0 +RptD_Y=100 +RptLab=1 +LabSize=60 +[eeschema/libraries] +LibName1=317Regulator-BuildingBlock-ModD_RevB_RevC_19Apr2011-cache diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.sch b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.sch new file mode 100644 index 00000000..3015b63e --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.sch @@ -0,0 +1,224 @@ +EESchema Schematic File Version 2 date 19.04.2011 16:55:56 +LIBS:317Regulator-BuildingBlock-ModD_RevB_RevC_19Apr2011-cache +LIBS:317Regulator-BuildingBlock-ModD_RevC_19Apr2011-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD9804 +P 4850 6700 +F 0 "Sym?" H 4876 6496 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4726 6934 60 0001 C CNN + 1 4850 6700 + 1 0 0 -1 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30A1C5 +P 5550 3450 +F 0 "C3" H 5550 3600 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 5550 3300 30 0000 C CNN + 1 5550 3450 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30A1B5 +P 3650 3000 +F 0 "C1" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30A1B4 +P 4400 3000 +F 0 "C2" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN + 1 4400 3000 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R3 +U 1 1 4C30A13D +P 7800 2450 +F 0 "R3" H 7800 2550 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7800 2350 30 0000 C CNN + 1 7800 2450 + 0 1 1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D2 +U 1 1 4C30A106 +P 6650 2450 +F 0 "D2" H 6650 2600 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6650 2300 30 0000 C CNN + 1 6650 2450 + 0 -1 -1 0 +$EndComp +$Comp +L RESISTOR_VARIABLE_POTENTIOMETER_REVE_DATE15JUN2010 R1 +U 1 1 4C30A065 +P 6350 3750 +F 0 "R1" H 6350 4050 30 0000 C CNN +F 1 "RESISTOR_VARIABLE_POTENTIOMETER_REVE_DATE15JUN2010" H 6350 3600 30 0000 C CNN + 1 6350 3750 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C30A056 +P 7200 2050 +F 0 "R2" H 7200 2150 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7200 1950 30 0000 C CNN + 1 7200 2050 + -1 0 0 1 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C30A040 +P 5500 1400 +F 0 "HS1" H 5500 1600 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1250 30 0000 C CNN + 1 5500 1400 + -1 0 0 -1 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4C30A026 +P 5450 850 +F 0 "D1" H 5450 1000 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5450 700 30 0000 C CNN + 1 5450 850 + -1 0 0 1 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C4 +U 1 1 4C30A009 +P 8550 3050 +F 0 "C4" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN + 1 8550 3050 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C5 +U 1 1 4C309FFD +P 9050 3000 +F 0 "C5" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC1 +U 1 1 4C309FED +P 5550 2050 +F 0 "IC1" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5550 2900 7800 2900 +Wire Wire Line + 7800 2900 7800 2700 +Wire Wire Line + 7450 2050 9700 2050 +Wire Wire Line + 6350 3450 6350 2900 +Connection ~ 6350 4250 +Connection ~ 6350 4450 +Connection ~ 5550 2900 +Wire Wire Line + 5550 3250 5550 2500 +Connection ~ 6350 2900 +Connection ~ 6400 1450 +Wire Wire Line + 6050 1450 6400 1450 +Wire Wire Line + 6400 2050 6400 850 +Wire Wire Line + 6400 850 5650 850 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 8550 2850 8550 2050 +Wire Wire Line + 9050 3200 9050 4450 +Connection ~ 4700 2050 +Connection ~ 3650 4450 +Connection ~ 4400 2050 +Connection ~ 8550 4450 +Connection ~ 8550 2050 +Wire Wire Line + 4950 2050 1800 2050 +Wire Wire Line + 1750 4450 9750 4450 +Connection ~ 9050 2050 +Connection ~ 9050 4450 +Connection ~ 3650 2050 +Connection ~ 4400 4450 +Connection ~ 6400 2050 +Wire Wire Line + 9050 2800 9050 2050 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 5250 850 4700 850 +Wire Wire Line + 4700 850 4700 2050 +Wire Wire Line + 6650 2250 6650 2050 +Connection ~ 6650 2050 +Wire Wire Line + 6650 2650 6650 2900 +Connection ~ 6650 2900 +Wire Wire Line + 5550 3650 5550 4450 +Connection ~ 5550 4450 +Wire Wire Line + 6550 3750 6650 3750 +Wire Wire Line + 6650 3750 6650 4250 +Wire Wire Line + 6650 4250 6350 4250 +Wire Wire Line + 6350 4050 6350 4450 +Wire Wire Line + 6150 2050 6950 2050 +Wire Wire Line + 7800 2200 7800 2050 +Connection ~ 7800 2050 +Text Notes 750 7600 0 60 ~ 0 +Author: Bernd Wiebus, Uedem /Germany, 19th April 2011 +Text Notes 7550 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.D Rev.C 19Apr2011 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.svg b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.svg new file mode 100644 index 00000000..d3faab75 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModD_RevC/317Regulator-BuildingBlock-ModD_RevC_19Apr2011.svg @@ -0,0 +1,9556 @@ + + + +SVG Picture created as 317Regulator-BuildingBlock-ModD_RevC_19Apr2011.svg date 2013/04/21 23:11:09 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011-cache.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011-cache.bak new file mode 100644 index 00000000..9ca95c88 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011-cache.bak @@ -0,0 +1,213 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:19:50 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011-cache.lib b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011-cache.lib new file mode 100644 index 00000000..cd54f9b0 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011-cache.lib @@ -0,0 +1,213 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:54:41 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011.bak new file mode 100644 index 00000000..ea2a672e --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011.bak @@ -0,0 +1,299 @@ +EESchema Schematic File Version 2 date 19.04.2011 16:19:50 +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +LIBS:317Regler-BuildingBlock-ModE_RevC_19Apr2011-cache +LIBS:317Regler-BuildingBlock-ModE_RevC_19Apr2011-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD99BE +P 4700 6800 +F 0 "Sym?" H 4726 6596 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4576 7034 60 0001 C CNN + 1 4700 6800 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9750 4450 1750 4450 +Connection ~ 6250 4450 +Wire Wire Line + 6250 4450 6250 3750 +Connection ~ 6300 2050 +Wire Wire Line + 6300 2150 6300 2050 +Connection ~ 6600 2700 +Wire Wire Line + 5550 2600 6050 2600 +Wire Wire Line + 6050 2600 6050 2700 +Wire Wire Line + 6050 2700 6750 2700 +Wire Wire Line + 4700 2050 4700 850 +Wire Wire Line + 4700 850 5250 850 +Wire Wire Line + 3650 4450 3650 3200 +Wire Wire Line + 4400 4450 4400 3200 +Wire Wire Line + 8550 4450 8550 3250 +Wire Wire Line + 9050 2800 9050 2050 +Connection ~ 5550 2600 +Wire Wire Line + 7400 2700 7250 2700 +Wire Wire Line + 7900 2700 8000 2700 +Wire Wire Line + 8000 2700 8000 2300 +Connection ~ 5550 4350 +Connection ~ 5550 4450 +Wire Wire Line + 5550 4450 5550 4350 +Wire Wire Line + 5350 4250 5350 4350 +Wire Wire Line + 5350 4350 5750 4350 +Wire Wire Line + 5750 4350 5750 4250 +Wire Wire Line + 5350 3400 5350 3750 +Wire Wire Line + 5350 2900 5350 2750 +Wire Wire Line + 5550 2500 5550 2750 +Connection ~ 6400 2050 +Connection ~ 4400 4450 +Connection ~ 3650 2050 +Connection ~ 9050 4450 +Connection ~ 9050 2050 +Wire Wire Line + 9700 2050 6150 2050 +Wire Wire Line + 4950 2050 1800 2050 +Connection ~ 8550 2050 +Connection ~ 8550 4450 +Connection ~ 4400 2050 +Connection ~ 3650 4450 +Connection ~ 4700 2050 +Wire Wire Line + 5350 2750 5750 2750 +Wire Wire Line + 5750 2750 5750 2900 +Connection ~ 5550 2750 +Wire Wire Line + 5750 3750 5750 3400 +Wire Wire Line + 8000 2300 7900 2300 +Wire Wire Line + 7400 2300 7250 2300 +Wire Wire Line + 6750 2300 6600 2300 +Wire Wire Line + 6600 2300 6600 2700 +Wire Wire Line + 9050 4450 9050 3200 +Wire Wire Line + 8550 2850 8550 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 5650 850 6400 850 +Wire Wire Line + 6400 850 6400 2050 +Wire Wire Line + 6050 1450 6400 1450 +Connection ~ 6400 1450 +Wire Wire Line + 6300 2550 6300 2700 +Connection ~ 6300 2700 +Wire Wire Line + 6250 2700 6250 3350 +Connection ~ 6250 2700 +Wire Wire Line + 8000 2500 8250 2500 +Wire Wire Line + 8250 2500 8250 2050 +Connection ~ 8250 2050 +Connection ~ 8000 2500 +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C5 +U 1 1 4C30A61D +P 9050 3000 +F 0 "C5" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30A60D +P 3650 3000 +F 0 "C1" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C4 +U 1 1 4C30A5EE +P 8550 3050 +F 0 "C4" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN + 1 8550 3050 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30A5E2 +P 4400 3000 +F 0 "C2" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN + 1 4400 3000 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30A5C7 +P 6250 3550 +F 0 "C3" H 6250 3700 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6250 3400 30 0000 C CNN + 1 6250 3550 + 0 -1 -1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C30A535 +P 5350 4000 +F 0 "R2" H 5350 4100 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 5350 3900 30 0000 C CNN + 1 5350 4000 + 0 -1 -1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R4 +U 1 1 4C30A534 +P 5750 4000 +F 0 "R4" H 5750 4100 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 5750 3900 30 0000 C CNN + 1 5750 4000 + 0 -1 -1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R3 +U 1 1 4C30A529 +P 5750 3150 +F 0 "R3" H 5750 3250 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 5750 3050 30 0000 C CNN + 1 5750 3150 + 0 -1 -1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R1 +U 1 1 4C30A518 +P 5350 3150 +F 0 "R1" H 5350 3250 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 5350 3050 30 0000 C CNN + 1 5350 3150 + 0 -1 -1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R5 +U 1 1 4C30A507 +P 7000 2300 +F 0 "R5" H 7000 2400 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010" H 7000 2200 30 0000 C CNN + 1 7000 2300 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R6 +U 1 1 4C30A506 +P 7000 2700 +F 0 "R6" H 7000 2800 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7000 2600 30 0000 C CNN + 1 7000 2700 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R8 +U 1 1 4C30A4FE +P 7650 2700 +F 0 "R8" H 7650 2800 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7650 2600 30 0000 C CNN + 1 7650 2700 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R7 +U 1 1 4C30A4F0 +P 7650 2300 +F 0 "R7" H 7650 2400 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010" H 7650 2200 30 0000 C CNN + 1 7650 2300 + 1 0 0 -1 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D2 +U 1 1 4C30A497 +P 6300 2350 +F 0 "D2" H 6300 2500 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6300 2200 30 0000 C CNN + 1 6300 2350 + 0 1 -1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4C30A482 +P 5450 850 +F 0 "D1" H 5450 1000 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5450 700 30 0000 C CNN + 1 5450 850 + -1 0 0 -1 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C30A470 +P 5500 1400 +F 0 "HS1" H 5500 1600 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1250 30 0000 C CNN + 1 5500 1400 + -1 0 0 -1 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC1 +U 1 1 4C30A461 +P 5550 2050 +F 0 "IC1" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +Text Notes 7500 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.E Rev.B 19Apr2011 +Text Notes 950 7500 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 19th. April 2011 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011.pdf b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModE_RevC/317Regler-BuildingBlock-ModE_RevC_19Apr2011.pdf new file mode 100644 index 0000000000000000000000000000000000000000..659df41db3e36326feadff178f239f23cf31bc6c GIT binary patch literal 45220 zcmZsCV|XS_&~9vJV;dXW+1R#i+uU%YC&|XP@nmB=Pi))91{)_Q@AsYa@BEmnXXcu! zuBx8C>#pe@DrIp=Mph;c1gfUX+E)a2QWjDtQ(FW90a9jp3r8z=Yf_G{TdD}8q@>J} zHumlou3xRaiMxfkg_)DN1%i+ef}6Xmg^2@#clJV;TpgoeJQde=&t5$86VFzHjRF$x zP488~Zb%|m`wWlQ%w@=D_s@hTY^>LVv;sZ;su^1IO{Y3ca*1C_v?{+p&h4JoN_}#s zj0PTpK3_lI&VychB8)ztKf87U@FPrKA3nQ=jXuX8dOmMogg>T@29|HmKLZ(iK9-F> zAC^z3KBQi)1Y3-PUf(n0>&$S24uxNV{*%i+=bb?g$Is_I@4`JF^L3v!7$4EY0zpLM zbx)tK-Tn&mZ+1CM2=h;Xe(!3d_0}T%o*;0z!tDfWq>*Kg+@7OTyKU+sR(qp;nH{pk zcRT!lvXsH6Z}=@S5$J-c1A$KKNxiOwJx6lWX&c);h6nuq3gc?sbl2?;Ms|roo5OV$ z1g{+Rj_1p48dzYbb0Sob=5KtZh2b7q-A5IbeSpcV3#y~}WnKYuA?EpIHs%H>Frriz zhr31WCGo1otw2KuulMZ7yF%lh<*JIA;(1TJcOZ99OTg;`JymMmK4EgM4s7Eu>}PHj!o08PF0B^>ic<)lCB}ivXYeCN$o*0KhXU zD+IY@(M@K8#+;JblUi^lLtSM`B-xpLyxcezd+Qa6#khY;p`AV?VbUIEM3Xtnw#ss` z`G$W%h+AjKhUksgkUh_g9|GQi%=}aCU7_&Ky6JllGgUmh^#v_WeBe4wVJFm#u+E*8a9mn5GCPAO% zGpu9PuOCeeLY>HtFX!C>4kjh*#yOki#Ae{Rh_H9N(Xy zG9A2^!-JuS0h`AUSxZn^opx-wm790!T=l-M#T#uPmTekEvd2f;ro{#wgV= z9&{Ow;t!+xw{caJAq4X%S-CnvSX=|X8ogEVY~O0l1V(>3Gk~eYLz7l2)+o*Vtzbt+ zEf17fx&YEjZsp5&xpblh{|ybFDUrb0{Gd8wk=CduCxiYaGZ#M#QTuxMfLuh!G7SF~ zc%&R=61Oj5=atZJc^%%XM!xT-@PhN#E%bgMQa6TZa)yqR z&^!$}m_soFBA%JxkS6AL4ubDLEx!kIXZ@<*l*YOAT-K;DRQiOQH>}n9)E_<}u@oKW z97#B*Rx{klz=ce&llc*h_vpf_ULqfxE$Jcbg_i;z)1txzlQ!N`k4_r}mJ#2Ss?)>N zQ?6>TdFoF3#I#)PUhE^aiM?G&*F*#MtQ>)Vl5SvZtg6RVpbqAjlvzE*G*U}HmG4rq zHVj}`EYK<87h5u^7^_mYRvO9|N{sjz%tcVADqw+EMNh*jDUL@Ws(qC>)FrArU%dJA znz8KqoGG*u6Hu>qi=+#BfS`A)f*SalNEyHx+jyeKZ*&$Rv%6`;A0f2U_!lk@+HuFPAnw@ccvhSn+|5GM z3Yd0t6Y5aPix4-PQ|LiUp42JJQv?B6p%YAVn&7wl(YBw$qHJDE{^clP21|RglS%Tl<8h4s%yb!NYNiBo#Admrnct- zc$uP?p{Z@!c_&HgRCA4vmvBclh9%QYDRErorScL9-Ku968E{O6{^;(eoBHKL)bw>D z;V%I-pF~T$@YkVRotVu!0Dn|cv_@W3S)0w;Vjb*%8N53h5X{A(DwS;OV3jqc_fi<> zA2nYxg-wQ(#*8P$*Ub6`Qdm^a3Rbe|@(KU;RnMA)b%G;q%6J5#@Ej79n?6*CZFi!- zRIDVwWdHH{hD>ve>##+!z&n&qN3OO)0&C`9kodUg#vq61V+6x!wlc>fd=#Ld<6+vm zk#A8m5ce!$<}kMSR#?$|FrM$AeeUc=cJwy)vNZlm5ScDuie;DQecc7{0nZ3vREr1y zlOUx&h|S-Rg*#P}Q9ALwj%WbV=BXo`nYX-&hGr7Cu!d@d*=s{dQx%u6$E>*LouBB& z8p9x%$h*)%P7|xI$hh!A8fVcI^QSKmAqdS)q!{Iz=+^#b4yyN3)GOg)6~Je~Dq^iv zLzHJFvH8Jbt#?*@ND{aB3yJrb&j#(B9*hY)V_fDkScY@4lvSSqnnY`@N8hlr*a)bGNpW63j zdUKV8IUYZE1#mrF#&Lnf4K8wgg05h-$yVhOp;wC4#-hB|~wJZgyQ9l}DE{;*Z z_Q?I_uAKcHF7a<~qk*-J<6Q$q52R;GHdNj{wcxixxSgy*g?H3EoL7G`g8GREL)6xG z@zjmnAaP5@yKfn+5A_~Ukl(7rQ^woTezi zy_TLv335ne1uG}?*|N&`P& z6~{A8sskKPj=d8u-$%ppLBq79<3;Cpx#A7enh0_Uk+e!8K;1Wzi;u$_dCJZV3yiB} z!3ox1w-sOmXZ}m$8}hLQV7ehpGsZj%b;LBFY4xo*7MwMy{x3)dj;G+#5T>T-^p=|- zUgF>Vsfa!WzvR5Y7RTyMNX-W6)!rl`_B8PQVWht6(O- zFk@Jp*S^!JGf(+B7fuQIDfbN3*-{#=Fv86zjOC}ELqT{-&WkqcbEHY0NJX>GMr)wl zYDCzU%E}$g({3Qm<3I==?F=xd^ORDxjHL3bK+;&q4@kbq;7g6lOj&9}+tx#?yH((t zX7hn-;+l?|Q5qs%w2C%!3F@d~JK!+`nX#qUHl#4qoN$@xhrQFP7`>yM5xwxu zkEC|T>#gJN5qm6Z$(@v$Dot5ePhNdq8@=)kD>A1IB$Okqsu!~z3~TVE4bv61UJZK-w5HL)l6FHXHDForcKgtB5pAxYq4ZCSFK%TIE}nc zb0IuO?)~t*n#TQRr+zX0Bz3&7@Pto2-0oyjT?&j&@eYX4BuG1I?xJgUF+(V39@J7^ zk!82M<9l#0y5{Q3ky~A2H8&djH`0yV#=Z*Fs9$#hiXbk>)DrDwp7p0}N6k0=;2V(~ z{|f1EUu}YPtqVRp*CnFs7a7|X+)F>R1?(y&$k5hgYBQ`R%F>%>~i#cJ6uo3?{f&kMw5^&EBliOC0kH}27nOI;1mLwA2!*u?DV%0z z3GdL-I4R*Gt&Ebvt6Y9rf1q#-TA7I4?kou13<}{+wY@W57aiB(pT*dKD_rIdgc^6N z{~S8V&}GhbhW0&1n6%)4YuKTS&9W{{jBDCFF~Zo(m1Yv6@$rXT0UYhG5#n&y z`LAV8r2e^V75yz?f%Hond1!@8urC$cgAu`JYVIo-U*=N4+M!5kaY-80N>wu^_K--E<{WZUaw=gts*1yl3jHCtOg+w&jTYYZR%x z5;^v0!e!=PmkF`}wrEVUm-9#0Fu79Ze1<> zb5!%G$77ODL}oCRX*`2jes@}rql0Me(@A6a_86x9Dnt*1jA4H_@7h!J-vwe@bk zyA+b5M3QsZm2otv%4ArNc%75AX;_W!ull+1w zg9kjI;Pgvu22G(;G4cZJ|FWO~>HNsx1z`pYvl^HdMIXcRdOou*r9qs`Kw3Fd?WJrz zh#@c=u1dga!nyZ1n4w~8fM!fckM6|{0oHjK~cbHJWEVfgS zoIT7*6+~HhW>9VKX$K~(^u^c_8}5EvkXk{*4(3K1vzU^3Fea7mQhUjk(0h%Z5+qqD z#|x&L4&m(BJ%lm@u1ga|r&3J?*s4<(A{60vFvz&+!+6<}SCx_L@l=IZqs5MeKi;XV zdPY-g9z8OHFSpOmAJ_LCqo~@eGg|R9;h1i-Y30k2@5?M1jMEw^x>>LO5~C zU-WBGLO?305fN^=GIXHDIQHnM6$zN_kLCqC z;;}85C~-jycITx#$Bxu0vC#8dN2feph$oKY?lqH>7{ylFC@tmtVcp6g`5wa70hyr| zRGv3xpU9|kf*=w}JHgL4h<+UYYc0h6sht6{^qabA_FlF9tCiF~ceT|&j*7j5#=G=K zu0dDYF2HtRO)#?qrjNAc^qGrH1Ch<}1<87!4D}}5dT?d#ZVX%p9wP925(~25x>MJi zMVe1+O%{^48v2<&fI_2kGmF+FPlV!| z9Ae<(Rp{B%q>AcMhFJqB=hS-KqQp3UCxHnrBmT`c_o*Gh6Peg+GX&(-6&3jswqdG+ zZU4Ty)t7Uasp!ozI1wE#0*_yDRZSyz@~l9N&h2HA2s8LqvQY9vcM>qM>RwJ9T)1;g zbe26YdBT}3N6B06iPKO#?813O&C|Jw9f69o6H;=ng{zr zJE@#*voB2>5b=-XJweorlQG>ha8jm2CaY?Q2IAXa5%#OmzRAr0vfLC+teC8_6{o|F^PcC@)5()sV92Z7TwuV9#}TetXarfV3OPo#ji!Pp^kdbq$@nqq?pDed`%idT(zABCt5nG~oge49hQY*UVxbqq#%mUl=m9ZC{vvOpKw*%;6IrQdT-i1q5xqN#sEhHQ6 z|2YgptsNMKKcwe4xEidar0A(@kfYN}W)9lU!mr%dkpkdNy?)TagZE>6>s+0@e(@<^ zs980LB~+AZx9nrqZ0iiA^2kcRfcy^^KY}E6BKeVL3=zTIjqC{)-ZwIFZ;48>PI5A9SL~!i z07quRg@tpqdqQz;sk&B678TW{hlicC!5@OEyJpKEyalGU@bal+Mr_(S!d?*ZnlZA5 zb!?+9I+L`cljc=I3wMjf*eUwm8r!xe)p`SI{M(ad++l32?67Ccp|Lg7$kd^9omT9> zdoGSlLx9nn@-GJ){)Lx@lD`u^f3wKyiD)Cyj=`|U;c{syKr~luP75jlV6Gg}pkJnQ zQX>tU2+!fn_@qm`-1UAiaO0J$S@2(uwwf$|YpQLTiZMm725>J>#k)yM-vN_RCzcMfHVD6mLO}mM&7;7IGtxW0{Nf%FJwY z(U8DX(XaE6QAxgPwdJ`AeuBUtA=NA6ws{dOJ`ozgFOVa?*BV7uL(zV@st6rr2BrV% zq_-haKHSvWzcJw|e&So8%xbTk`tXz25AIwGeXzN30kiM1FVBFsMzq*0!Wa)~5b1BH zYeoM)=A?i(*|9RD>AFy9#ly`M zN28mzZ6tLl`b~*xQou_lK~GMJFGIk#+4mfR*{scg)#?J|z7rTSl=xuWYkSBKeF8eg ztCk{{VCOIsx|$d1tnY}oWv7Ml5>LO2ykT%SIAQycY9~j= zLW*Uy6cCp1K~BE{m-t2qqyL=Ai~x@Fees`hogxLI%M+Q+C);SOjwqD2>@2D8axvr(1w)d<)U}W%da+qKGu?1MoXlOPlW)^eBppEhdXsv~;H4^{}vj#gB-m zBKfnkV`ro6oJYuUW-K!&3LE)Eb0e^@oZM@eY`x;HZ$yS_n_Fkj4~X^O8)kM(QGjlv zTutw<)EngDg?2rd<2rMxCp$_qDnk(VVl5}I`|_*F!S}HWuPlQaV3ZV4mo}h!&KSMz zeWu*rae#@#+TD(#AOcbBcR^3q3=fCv(5In*3GO@^ahzME0LZ63M#RNfH$NKk?$JiO zj*XyAeDizlsh0SObuk*NTv6ClR!mY*z)f|GQ;GjNB>jFyR9;VW)pF3HzuUSp-`<4h zn9X&-p@)X(o~nP&t?*r-GgY>di-Ga3BTl2jjO0B786BP617%EErrZ=SyjRIb6cXC$ zca#mBOZ$u>)*Hjx@$fuUvI#lnaOK?@-|30~9fJ5Noc%F#QgD}#ZhXDmT;aMMd1<)(*~|pG7zen$6FRjz(Z$w3p#Cr+noE1-{txrPqL4g-? zx3-Nzs$5{hWaeauzyC>Q^96V=HjrXa=VX1$3V7fM+n>zVTPv(T#VLp-cJY|{(H&@| zq?x!m0(u&(xblgYjor^zso4l|Q>PA*XogmiOj#409Y(wJ|E_ul$rnsqad%(U)wl5t zA?)nW&Sm=&0!AOVlJ=^ou@#=*9!-cK_bKv9Lenps@3AIymEL%b#Z1asi%PgHpk@td zMVIR?S|fM+%?)Rzd?{+VzbnnA@t%2;0$P`htSl`cHch)mJ&kzVI8U5$E)BcJD(%#+ zH8T-oa0ba3C<|RmZ#DMnT%8dwu$29eIB02 zP2@4lMYJAqkIu-^56NWfXu5IXIufQnwW>3Ba>d-80uul9m>e`DNE0Xp{Y_M}mO) zX0cuoarKgb?bA593uy?--H(&ab4l!h+xkTzgShjGYX^e6`+oRQ+yh@~jABqFK%Ej6{ozR2#p#6w*@+@xvt9x<;ul5-}rsi@@Z6m2hbjI@Q%*ufQ^ zLp!HBuM@?WpPbE%U<7W<)y#p%_IDJf%bU0uURs@71*tb9wjGvYS8cP(iP`mm;zw0# z1WC-U_zf|Ndh~#VxND)IH8pF(?Et9y-j(J9YZOY)bYvjiY%cADhd>#$oJ;hwyi>8T zwpq;3>P5FhxiiF_P+`AQlvX-Z-#Jmc%Et;RHo>4XtrXgYWpeUi9H9CA7x8Nzg;f-vT zJ$KU%oxd$FS$o3n=e6pPRLcE`ZRhi@O3?kh(>M0PO;o{hU4Xve;0J!lGJ$K^YsHyi z47?FMaZ#Sf)4Lo9%?}zSmb=#oUyvMg-oQ&l^5}Ir=Vs)LATq<@D%OwmJBFX+@R}zq zes4$eVI?C!J(4xQ8TgFqN4lVP?eYZ$)mt`aM4@>1Vfv*vLzd8T;MlZ|X%a2TP`4}9 z0^4hT-O;##ocMan{i1!FA2^WPvX|>Beh}l3$c3+am`|~~Jy4v!E+HCi{4a%}%KPQ` z-vBStS1s{${N5HrCenV#Ixi5Kp6Zi-&$3{o`MrqK!bPudava1_+!gSs9c^9W#d9!{ zM~R&X^KVJ!!-iWp_Zhb8FNZ6A0#p8%Ak>NrVd_NibN&Mn6VU9f7P+!+@35gI^PXQlQWb-ad zk}aez4ZrMckfS;ANWVj~gE)t3rbzVF2(2z+b%Ge|e(m=*jkWy`F7 zCSXr#Ix0R{uA!^D?skf68%b9yOf{wc8URaaarmD7_=1He)EXFF68&5N^wk;IZyQpw zmmJ;ld(mi$7)<>a1I&1ruABU}%YGX;vnXd^BVj3WR`cx_&&29QY4AI%aZe99(us6L zf=3?N+swQ{&y8rajm9*K@pK@o@v^=4w58i(e387BP18%Ff}6-lij@{$nB~qkt}F44 zRce4ET#1%D;fh#2es0+^EvFd`?NZa}WSlmMnx=q`y;`FO`MjopoxL>5-@~ko0vMyD zG!K^M`2#}=uKonvAFNR5DQ0s{Wu`b)Da>r;CY@ze=m}vn6m@W1g0=J`OWs)IFOMLy zdl2g`jffeO9MPvo?4&DM3viXlA`h^>sq_o>^#dk4#h0U)I}Qg&h*L;}voVa1}5>*&`a;iIHKzaASv}=F;T{7y;WivY)SJodM>(nd6;g z*ey;Vv37K>Xi*U!9)-X7a|AblYNa}bs=kZ47=N*LxRIq7r|m1Yedc4NEr3)Q(2FRV zOZa|P_`Fe#uS`#$I%s*~5mg#zGd9!2?51I{b^D#jN4#0XfNG+3jo`LkZ;*1zfcPH5 zu?SxJ@f<~M=qvH@|k|gbc^} zY%1|rO#OJ}_U49vj$H%G&*OM{>5d|TnNT_Ad<-dCO@T&h#z|ReKgHs5ut{BfG)S`W z*i_=vH4h+vB{BDLz`&oc*+K^VmpQ?b`B5x!zs)TsOeQSk^SG#4r7#9 zFu#DX{;%)SK|JH=)7(R3h}(yBmlce>_h;IP+5B@;QMCE-qHaR4<&2^Zg^d$gf2e|e z-(_u;N21h^l#^PqZH|#i*FuJHo-+Sk8My58v|p1M zy%Rf@bj^c6IuBTH27C|IaD2$?UJADkzP(mwlD$XfD?`x!QFJ-$iCqHZ3HP8{G`>*=}W|M{}J3gWr5T7F)G_Ck6Lz5j}Qp6 zY~}i(EsIUaS!ubj$xM&?wKSl<>M-8YBI4bsmQ(v=WYcgE2KcH! zaQ)h#@mLiFv=U~V{S2@#+)5L=b*`kMXa>&G_`hjyl6hqFAhIg6P2$egyT z*dw_nSC>SXFb;lY*SO={7X5`?#)Z!&t!8O^f8CL?m~+rF?`k2*FcWt7%fBgIkp@aK zjx8otrcq5{&D@S9BKot96u`g~8$K*(>tfNlv4oow-t(NFhmotMWNI(t5z&ibfUT6$ z4m?-Lqq&OTU1Y7{X?(=2O0Q?Uluy{%p*%nMN#eX z(er%nn?nlySFSB-?$+05Vlz2mEM}^i)8ndooSpyS7N(?W*>l1WtI&vvNp&qn3A z1&5Jt1RF<{C|=3=99k(eF_ZR4O@@Y;3BK&kEsmS6PbO2pjk^_x!M?k1G$RCE7#rK2 z7?^6PX-Rc`zI>oTn@CHnhczVWG73LEg_0bq4+tvYbjW}{u8B};b+`Udr+ak&rs>#} zj?|IYJ_Y}9Eq%lT{97ItfxEpLIX<`RyD}VPh(l)}{_^2U>0`f1%ujJVkm@u}QlwS= z_ZXKz<`Ja+4$KUS(k|DtZ;>3uixfo44vLm^?LRz0WH?bVD7+JL9@!U^{X9!_ODJ{3 zk4}Zm1)+5>DrY+T0jor_LPrWyRKS*C%D++d~o%L?8=pUGg<(9Uvr{S}(y&}re+ zROyj(_&I5nX}RrBNWe%SoEZVa|JQ9KHn}I<#jh{ypoY{_V{u!+8qn|*Opox?l|O=( z@n-}ucp(@ne{{iGF8+b15xe*Fju9F3F4BP7IA%W*#AI4T<%5L1uYc4elG{9H#QhOx zZIV3apBjfR{GOc9@E6l`IN}`=#1*J$#hrzYVi6fWpj101&iHrCOTgxYd3HiJ*EUNIAKb(Jj zvUSlw=!FV)Z+JdO@6GMferyM$ zOseAUp!}P4ZUY{*tqTUxcNRzK>LbOZ57t2Z1o1!_t@(`v zYHRQ{cIieC9nqr)_)|VztsS>V;1Pk_a;p}>*M_kZq%pZCm>u)4w3IgllgyNi;*|Yi zgV2(tzB`Bu$&|V(@>bV-0Y9v?a76UD9xf$sBQmTX?Va>&TMd{n(q?=b-v zH#Vn4mlUUFVO#pYQ^PU#!k`(IUcQEuk^xF19NMNvjN)hGi@9}k!~OraugWQ*_LT7T zoAHyLgZ?77_eWGFn+?Zxr2XG+t2AjDS=%dH+F*(H-7a>Fq&SO<5Emb-w&2Ux!w^qd z*gv2qr7%{z4@41-1#gBt{{&~zI)Xdx1t?p26q(pXW>kM4L332FPL~OJ7@b35f15Oc zfs4cgfJ~s|c_#9)pI(X&7b zk{Bl9<`5KSj5m*MF6lQNtPNhPLMG-Jv1*SNA;sYnWpWCLdy2KO6KpXK$GLe=iQX!p zF9-xPA*TH(dVKXlnF z{P*+Fvoaz$TmBsE1kBk;>PG|d6#kN!576SRT5G(Ca4pjhAZN1vu)pzVgYhnU?zkWi zQ2jP&2|H}rXL<7H$co=B92pS1WhP}0IuWWWfCO979H3e8f`tD#0tX}K0sDc0zDt8i z2xiQ9dtGjx3kKR|20ks5n7q>@S3vUAT6eX=PJ$DFZ{H0!pO<>aqKU66#sk;;AGu2!L)5)T+ zROO>@-bzUSn=J4{660;slZ>rJYNFhWC1ah!@{OOK_v>oSvu*sJj8+7C#DhNm0ekJl z7g*knPUbz>l4*VIy%fEbv77c==F?x?NwR5T=yG7rlb>z`+nJN@3vXe`FBqb?_xDoavlCC!BcWOK_4{@gDDv(Y!F3>fE_?6Mv6J44dGN)gSHu z8SKt!$YOmgAhsrQa!vNxsQ3}N`EheDNdKLT^erJVvO0CWlR`Y~6Mc^N&D46PvqW|7dp0pVzg^z<*PRc}t%9u8&7VKHeU8e{9$)46_+eA9W|Or<_1i zJjrMAwJtT2?=x29+rp%IyBn(SUI+3HpRaBo9T5yuPSVayoi`*?&RY>Z#;udNegdmz z)2w8Up8Uy>F-G+k!Bp%7Nmc!!*@+&LsvSm?=-b+=jbyy~j-Kd1$f|9LuLqC_Fl2@z zwD(vO9b(Sg?Fwd%`0L#F3&H*d;+b=2mxp47pwUu`?7Lu|K$r~+E0e-82NLXE_uIbf zQp4G5q2V?hU87+dg&pi)DR*8{K((-xuk69Er#Q1Hi54sjIk8E1kst?iNzpYE!}Tl- z?xBctPN*hfhKWtloc-w_uJN?nXfQT#xbK)pk`eOk=*I>5z7n-ib*ahvP&%up%icPwUf(IM^ktA97}GuBmNkKr^JrP5q6|7374=F>EHKu}Ta z(Dqxeh#5h+r|7ZU&__pbH;?u+Ax?(RL2-oW(J%^PDye*jV9+Q_s5SV>(&C=bxuprp zZP`@e#=$@Z?<^%3Jzo)I$aUA|;yT0FxN{OZiA+Dt+f1-q!4qm-B5UTa?-w-RJ~c2dv4 zVcJj1cHn1e(1dr3cOXmc7;siG>3?)5CW_LNRdq6r(gh6ioqrM(uvWVE^Q8J4tg_~K zZH>;2^}CQWv3?N4i`>ePz1p;F8$z8f&qv`@?qa&rQbL1LlvP>2F!Vkv;zf6aMC9*B zYSCDyh458jgPFRCg{S>bwAI@s%+dNoP*LA}ok`74tA#rHGO#5Pl~6I73eChM_QbB$ zq-R)B#X!dOLtuN1RXcTTiRvO4|rOQS)25m8weW7bW#YD6i=D%+|Tk2Kv1< zQL+le-z9{uG@eY0ljU46_Ik^ zTV`qf_cBwn7Zh^A(lpifizf+0L_x@WTv|#MktYSQfPd^nj($B5#M8aG zZ})Nr;X5|hwJ(=}{O&fM9o$Y%Z>Al3PM6pMxw*_@i{b8GJvI+AEt*b@^UkE>%WFu@ z^ZgcRzIlsBxOUg$FIZL9Xy*Y8gIiK#8s#2NU<-9}6L?cx;hBGqg(>&DOl}`7D{B-= z{GixeUqs0S5Xo8`@yErjuQl=6;!o;L9I)_8RZk>7V~b02fD^g3v;G??e0guFDy{DO zN6Maxc69toyb5PCv+2ov?v0OwD#4oIV{@$DI6GW>Dq~WU0P^QM%pSTu@AkV*D|8Ab z6|M2ZD(uQjBHVSvF%mXD{}0B}G-)dPv?8shSbicWq=IHWwgQXIyKVr|l+}7Xf6f~R z8acD?bBTKVNw{k!!>Zh`>fJ2;0HJ}_=flGxtNM+K3cWJ7ZWH;A9)Q~g5mqDgV8jjz zV&W?5{9-dOAjR_LPY3u)NN0~Z^!Uy)>6Z4NquIuNO8s!zEpg?Z_$xL=A;;ezY+R^2 z0t+c|LQNf%tCf{r=CR>o33UcMR*Kkh%80>0m^!4Y^a{9(q$aKnBH1>$q0XQ3{R-yBZWbCyU0T0mRMXaF|16;mQPN7+5v|)TEFWn3 zS0zBsMwv<9E3)Rf64H9)0%IAZukE?kvYhoLA`qmmx_?Y1@^h3=MLqTn`ro8U*m^{C zCTR^t>@`E5k{NWQJ)t^nI-D2<#(Ng{6Gnn%h|3~hZeCV6`ojB^4-BAMIR(Z&IVmc| z$I6L$k7pHp$5^^k1wNb~G@Aen<)m1rCjmJff?J8v#+aR{NyVP5J`&26Bf9ZJ+s~D< z28}TAc$PseWn(O_C6hWmXr>=?{l-Y7xtt(i2j+D2KYv%SI0vb@mGvcTTtS((MdSoFV%ets{4w_C zN;V#fyas|u_s6N2Vm8VNn*g4)sQ0fFnW@yvd~78voobQ%16ve(b@;CB?&)o9&g(fZ<}n z4N$v7jZDv_Y$6ttwC!`1A|?W6Hg5`=6D4;1M{aoinGNkI$arEO~`j-Du<^N?h){sZL8vUX_p5g^4I|;el;iK#!-7~8} z&D5_WlCheJp_9Uzut8FM3ZfKJoafgh0AXrD9pXYM6!zV5GYS21t$t*Ap zuP1L-`yN~GDsVWI@I7Drs#Q?*E#7^V^_Jx7KROk}vCC2tM85mLHAW%4PjpI2h)0kj zfAOoELNF`?D8e=|?Jd=gkBUd&RZJB2L42!peh{>BskA*=Q5_b1BP>bWu$IdIos|47 z0Wh_T^E2a!NZ}zbJVrM%ibDDfw5U7s@^)6G{_1mmr!Gs|J zxy5XOvmVMa>*wcc3Rx^C)^pVK4P4=rCntRkwTNc3-zH`*Y>ctB6-O^b*G=esm z^kI$gvl6KI-R?ZX+R%hHpk{wl+8(W2+-D>JA482kHhjueHopT zr~_n_V9mGjTutc#mUJF6=z=7y5K>xd6B9+EXw12h!C8cAJ`5UlxacqCUo8QpqB>gw zYDhgACQTTrN63p=AY@iVH0DXbMAFUQzdTML!#iqgg|V!B^>ALa1IBXPBm(gaA57B( z2Kc3V2r?*H8Rb%ijfeSVt}jpX=aRX|==ou>)M29GMFE|pcSAU^5RTjBWgHn-yUz!4(lu<*@h76?% zP1IP6xuZDls242Q6+(TPdc@#BOIqH??bnw2Z5lZ+>2k6c_I)~7lgyJgo zQKBDGTVV&;rw(G3Ujz3K=0G9pYVZo&{J=h3bRsKXZ{!=?W7oe-Dl)gKC`NtRJt!iM zxm4d_HoXW%c0Unct23xne%YTa=Dc#HVfc+;vq#A=5ZW-ba+BsvJ6uN(#fsA96FHA;xECgBICC-DcvPlM7WxSD(UYW1DT?X#OPW$y+92Zxnem=6j6)a2G$yTj zLg!nYDJ+)F z@{WAL4(XscEQ+XnM7{yLS?EuID=iOPiYYG~)*LTfNB|Z@Rk3EZ&}TgFH&H%U+Cc)| zZ>l?CLu^8)paL|k17)z&u>v%w1LY`ZDHQ0J$Rye?$5isU#tP9~Q*+3pe5CjqXvJ}L zzJzaa=`%ou$_@aDkgI$K1oy}!32?8-}z$4A~GE#lqoQUG~fYPQip$~ZG&2hEEr&CAD_(tB9T5D>ciHCMEF;;ARO*ceo zTdWFqDqW&nk|?W!&R0eT)qqC{rA9|7Q7YjKWqbs+l*YK1+NY0@iApy=h~NbpMLcqVBxL{nFXh&loCDv1J?h~cb7A+j25(45m7aLtOAO7-C5PEMv4YBMO(Y0awM zVn&sDfQeE-H1fu1=+_^5BGeI`a2dGsbmpeP=BD&8n3y){EBUk@RVNAAEPII7&54`~ zI5T6H-@Z!TD+HpwK2zl`9wkhtOX>fz2k&{H`pqn3$$#~*Sn_^^xhMI-TZI4bJ3szu zxd5`0JZfVh;Nyp=@`yuSvp$h&+Ei&m%u6^!An~C%eXd}FrCqNLi1HqSL9f`MU;)~q zKYMY?O9$5c9_4#&#%S1AZN zquTq2al7V^KrXOII;6jLK5734yg)<06*vDEWY5cdS#0ywuYI)nh5*iK1hQFX&4pw- zw8c-3gNHDjkhx=1fei6Gc1y7}uFEZ?ulf&XVSf=?{5`004Q4?g2#QJA)jS~Rwl1-@k;+9%g$;23lQwE%3Wkc=lPaYQEj`>2Eisk#|)Q80Bg=6=OE-vhWpo(2BG5FqWX$c-P=u+4 zZZ^K@y5li>+q~nN4Ro6eiO_`T?zDd);pD5g%?EA>KyR}nAV=+N7m)YXqO%#2`O#<_ zLhNj%Savp1PQxH`^)*(pBI?FbOrnN4r8fGSX5Khpfz#I0Wl?Cwl{`e2#?yOPo5km# zmW&~twVB-|tz;&D1+J=ZDjhT$MmI>J_stV71DLk(yBZ`cg|}7GnkL@mO~J$;$ecT5 zDmLTdJ(8Zk(k{S#{-O~?1q%>DL=lNO^J2c6b~;;Tz$LX9P%h;8wFo3LazH_Cc%LK@ zUS>%lbmJv#eSnjKp;Px{Qa2Te?m6&c6bzlZr?bcf_CUst+q~`6L|o))%j+1L@-&5= zKJHW3Rf8$6#6(?emykR?(WS3AmhoG?HR)D;y(3G>GeXZY!8=G0bcLa-po*py!G^iePx#+Pe+*4m$dG3vG zmdJO5Vo)22y+Fd~5t>ywUIZ` z`aHO632Qq?#e=hFCHCGPp*1m;xN^3_H%lH8?6Lo=kjSEIDd3=C!5-CakiHly_wOdVC)w=nm%>Cz)V$l^lDOcTusGT~S^l#jm)K zn7-5<1}zbKG{V95RB4yOE-4ZUjg7=yu zL8P5oYSeS9Lg1vqOHyneT2Qwy z*F4&R<$1axW)v!g&4h9lWExlH4nb8zA-F)D#dch%1X6EcUoR6ilp+8Wo4 zZFbO|^8}-K&$u{`^_$c4FV+P}f~r_5LzJPenPG@l$sdX5&E^+3`P@PfPkKi_5n-B# z^Om>UOo`d`wIbiUB;is z^XAJhilEk(I}V1#wdcr(HzW?0V^vs@SOUIO45!ZxS@K24SOXg3`45)Y?+*4ntUT%- z`z9}gDqz3kRJIB&JZBHW@y4K-zYL07Pf*2*KnxU|amF`Nn)=_NxWhS+Rgtb2&(6opWSDuni0V;4yP$~=*CihNRw%2>^pCL-p-Gby^t7&a?ggMZ|9Dd>TKN zLI>Yq`(0{`BxZiY{MsJE^N|OtNjQm;dVi^Dx z$p&R9*OpIty~?XjO35`Mgz1rYmLDVF`LP=-~2yLuX{ zC}b{Ocpe%_VM_DUn@yyOiK7(wOg+67g~m*^5He)`LsxAOF{*?ghhtc1I&&YpBH?XQ zc^6CdO>dVANjIMT?t;|s+;uBR8VZZSmPlE074|~HyJo2~J#rC7n)6HCPv6HXBSV9P z7$_!DLHbQYeXWy$oZlKwZ0LC!J{Fms!wG5=NHKO3Z)n?V%Zrd`?KWJ7tAl})ktV4} zNcK>c(!dGQ5=-1AXw>kbpnl=gMaFhzVrU9vd44WK`kH)+T-825&xR72+5N$)_Bppj zgiL9u3JAkx@7GGuS-8nF-$Bdcq@G}F5M8#~2zcFf%_I74I=d7SK^+H84NLkI#? zs^2@ZJwMOEK9YBim0r^m#8Ulm=a_1PhuMY9ad#B0oms9NO_{LD3Eh%fr)EgGkjRRs zXF%iy@1c~+;k{6f834>BLRRlhs6O|NaV{axqfcVZg*CM|mKiI)8S>0$kLh29Y(_1F zhtpf5uYMV`YRNp_k7w@~j?8cnviBKr)Mn3=Zy^Vk>a5>8vZrU*#cItf(S&TdRoq2p z^CfrH--bmvI>UwJLLHsKHb&?%c?M<|Z*YoGPvyO2Kv&JM+-DSi6YjUC31_mb03_I| z6!LOK8G)u3`!6F+-hDH!1SFRoEQbE8j9)2L?18X`SxAC{E(Gn;;P`b+wTlN(hMNnB zzDdp*(JHl_icbope=ZvaPs;gSls9CWj)WZO@nvlWB=?BYx zdUuEKke|11CZwZ(SlA0a8e|Tx zRBZjCI~DlGza~wd7Gm5k`uzm@gT*Rs|PanXw978;$?J%R zeG2yrS=a?!Ul$T*IjB?S@yfz32-`8)ILGmI&b0w6;BCw@vM^GHtrX^|uu?%GdCaVo z%H8@xI0E;wupw`}k%b}4xmXw=S(u7R8z3!>A)awl^hZL2%bJ%=uV;qIgzPyBzayUr zRxL{=(VK4Y6vl#RbzR4soJvT6U@h$**k`KTIhj$GYs+U$YX?h3X1N*prXkjQ>|lIY{M2(1p8Zpa$%@vLCc{C+-9H&me`(+x%V$R&i3JyVXT zoP9^SA+@vbIkp+%kAq)GWEYy~Hp#b$Hz`X+EAL~W?WSORvf@wVc)rY$;gcpAG-lvQ$nZSj zP_-Fy6T6amytl_f+nEW6LXJH0K>b~ax~R8|y0l9piN zPvp#_-70qG(Qc8B%Sm41envc56$C&bqKL!<(Kqe%wam63uJhlbSQ-lnRF;*OgBUddq;-n=@~4v#xkO?T8~UR)HlcT?K{eC z3*ddPnz+^lk53n=HnF^@_1p72mg@J8U{TW3X|6&MWfihKPdilo=8UPTHgkqPD-x$J zY3+G+Q(C(Zr`L)!rXSAec|l*X?F)&W6}kpQUT_~usT|uy8RI|MS1~f{@Ge=OAD4H+ z6+iA;Uq24mino^M(BW97toUYlel|&D2A@<5;pKRqbx7XJnOTP-b3V^HWFJ|MjK&Jt z`%F1%vqyrGfCJ0@BIO;~AIE(~cl{cg-f1_=Jk9&7R3ht8by>@rZ_6iyVgh4t(8V-9 z_~*+*&$*M=oLPrL@MMo#VY$yL{KmQaHN?xDd!ZFs=A|h%r)w(Gc-H5|K5SRf3|P7Q zg)tSF6B(DIc41O3dKG&is3M9g@hNF9~?LyiDe(wxmk7^ z6)S?qLQ%-_Jn>MK#(O&ziL^dRF=gtXq?nL-C^4oyh{mpeA(3Zjh+i)vAguyrw5dSK zGB0wcpsZm*GVxHw<~*%0(sLfYAbXq`W(D)XA`NIjBqqyH7bHu4f%Ti3JFR`l#6uzD z^VGju=(g(Pp<&J~7ZQnwoLep=!{xHe9`4{ZosoE0f!ytZzU)A7(@8EMvjC`fo+4(` z@T4(XuK>}$IVkx+ zE-=3<`G@G@gUqla?sJ^Z6-jrASb9&)xk2_BnPbQR9T5hr9+8P`v`XfP-IqkZg`BvF zlBI~e5&Hi1uRjp~Pk)~BwjYuEbX6wSyYn6y$kTyj^Cyvbk~wq3eBR^m2$1iB97s9a zBZb?LxMud{&>m1`q3w~ejT(M?4gDdK23bp)mjjisq!M$WF+9_Q|m%#v@t&vw20Cgi&y*97F=;cU0U4}m~kryphY>iDLp0k%ty3I<|hPK#KI zgi1Lbi-d}_2AiW$c(VHICdM6r!L#jc)fq$Ju5Q4y3O^ zhaF=u2{R3u2rjTvsf}YWTNKZNt3@}AxNOmiM2l|Ba$}2vwpvvDvq=Z!E3i#3B)5Cn zrgr%RzRSaAxt0gn1LY!@*aKn01dGia=s@m3a?&P^OE_m^{(ADYMKyP77cZ6Dcj?nsyeaCGDMt)@3^P z=T9n$%#O38sbe7D1-VA6qneH(TNzUhN7kZqR8Kl@5z{%!P~##inZ#OBZjV#tNSN6p zM3%1}S0Qw@(76G!(jkMc-jOA{S;s&%opU}bELOAMAzBGf&)+tY+@sIcRTFlhSGOt# z9C#)&(g{HQ-jSgrzZ9Kg$X8SV97jj`5NdZ7vQtXM%o8cK$3N zuM9kJ#TKplz%^W$|AqK)ZJR;0Sd8hFbd39AFDbHyZ*o0UMmQ~#J!^DeRTIea_4L$> zSZ~;ApaNG;RQP7;asELj5&|k=q&0NaZ^qRXh0OD7A<#VzJTxX`?6cyi4IT&+GI240 z`n@CL^S~_$=TX1PYUo(w7c%F3RQ>HdBaiMd6sI-1!%&^pZ8&?GgH;^ItITouj_q(E zw+V&c&}B9#cOi)ET>GNfUY5*3nkNm}iFsxatAQ5r1HvrEK1|X@ui_6xbwn`<3)08) zt!L?M&8=s4^hCsz9;py7c1g~KJ|C*HXl)DbdHzqp zj7woyYA&u?P@d%}g8h8&a74O#%EZ16G82;e_|14*U_pqh&89fwrf%X6+;(KZh8>y6i#?|lgPa34E`hLEkEv|CAA2t<-S)4g zZjTv|NqvwZZWzq^g%CS(*3tX7Ee}Ot!QLWn7A(cR0MVv_74{I6H59N-CoC7G@-m1* zIgTlb{o${i)+*w_q{cNuwZTw~`T%9A4A)%T6y0a=+md;>1h(fVk5y>BE%<%Z3J~p!GR3?=S%yO1 z(3YwvBo6kyYN^aRB;`2|posm9JVe$IXDW+%0g|LDmf8?y=_|Ousrip$TFaQ1L^7rY zq${RHGK?1y>4|AAV_f7|$?Uh_6cI+SSV}RiJ0Xi{y%YcQnAT!DfK5zm8R9meu9%j{ zAf~n0dvBYN?}8w#WJn~kFs9|uew6r>As#1X1j}mZr(PQ*(r*~ma%dk5Z3!m+67{jy zDeg!~m+;&3%}Nu?A7abJT`2y@m1Io8Lbe>gpAeqn`ay-GmMJd$>k3DS48l>%7;jc7 z)*}S*AVYjRT;V8(YGBE#GdAHULzX5SWr%G+mT;6o`(7wZEOIY`K8{9_E`m`6I$}}M z7GhCC5P6ce-jR-2lo7TK0>z@l3b8062nMl`Vo?TJR8>(WLrmEU$QWX4lol~lEU_rp zdJ~IcJBWR%G=o8EUWTF^n$8kca;q3i+)0K*1h&OUlnfA*rDQM%z(^De^+ckiV??4v zxFZs!mO&&+gb|4nf=G}`F%qTrL`+HTiCB!N} zri2z{)QK%BBwJK*C^Zf!OV8v^1aT;p>WD*$%z7X5dg4%OF2tcK;pdq^EQC0e5CnoG zCZa{AQpArk5XIq@VJE9$9QUb+4XY|96JsDsf{{NF#DY`|0V9!SAWHl*?xZRf0P(CS zBvBcOx@)Mjbr6Y?d3QvjL|O z@l8h{N@Ng-64DWf5^n^eDw*dQgxNtDha%7shEiP+h7!WdELgjDq$3PP!VE)+RYxSK zl8G=BYr`;$rj&x1h(QtQ zi9xB#h(T3kse57y0#O9Upd5-}9_D1l`y>jI*FRBR83&?58H1A25l>RXWXMU4SAb|=#-L;bpe#cHZ)%IxD@ewm zYN_x`L>ZAHu}3gTHDnA*QUH>qECy|ma?w}Dpzdn^x5c351$p~GmL5o&A5M<#^u&Jt?t9T}d#;=svcIrF*$aJqnaQ9{U3 zRu56=$g2x9nO%@}!F|fnJt0_zG>A_^ETt6}X|o*)|Iq1G#p2*u16!rr7??q9U~?Ek z&|)|Q(zH-O{xYdj#o|olz+OK~9UV6`P{(_wdz0>7QFt_gQ2IbrX0@8E64As8kT0z!t?YLHuWVZVdO5&MRW)7ZC-&O0gW&3+WvA z$-l~h8wGEo^+ms?1vd&3nLBP2B=40w6Hww(=BoH+?r{4_X7pW zLgcb~XSlvCF6%OOGAFAI*9j7-N5l5|#5%hjKasn9(NVY#h*rB%Y%6Qzd~D-ky{1(&54byV=G0oI8h{alvhZWR900OP$x^ zkOJwS(&F*O68pkyj1u#H>dW7ByoJ8TDX$YOKwc-vQWHHjP@d=~lKXV;Yec%b$(X&G zRK{Cqj*lerlb&Z2;b2{51{??QxoJ4CWlpk3ZnPHw*9n$VIirB?UV4Z-ZWofb31$x_ zptx5lB`I@vlgQq2nINmo<6H$Pkvf-QMPLpxth`H*LagQdiFbV4bRFuM;}&t7U@5Kt zNt@Os_LOwHpHUe$MjTm8r@BBI4>^kehN}Tt$L;HEBNIR*Dhoj!q)Ux8=hUjA`;0x` zUo2D0uykDLR|?(MdWn>&WmtHOCZ`s@+Q_M;i#b_Mx9z11$lLm;u6)^%3LSZwAeGLO zU2K=JoO_S98*eA!RjSz6cn{o@JJrPs7Gzpm>%zm5B0TZnJGf7bC8CBCFBGI0fFvr5 zK^>$_g-yIquvPOP#l3j#ALCv`dg5Lz#F!G1p12pU{3~Sg_CN-(h%m~2M}F{&g4ktg1%r??j{)ng2cm+B$R#oGicu-2#-E)yi# zDic@(=?HpBN-SW6Y|nkIN+xfzp=#E0(_$>wmQz!Wd4=?P4U z3<6Wkpk&xb$aK4zs|2QyoXHRq^EVlW;)Og7ax~#AU4KE+RW7gx(h->wVT7iHbi}5l zFvO;WAQB~oy(1m5DJItSgqxLK`-L4u` zd`MD`GySuM9@vj=mQ0%U4)T1~%%lR>(EpFN|T3NW~@&aUeb6ay4+DbiLJ9 z!&8)D;uUToB%@Pz-So8!qf@l(p6HZ>9&UFxD)vK!@gWMK=oCzyaVGW##-ga2205CT zmS!-Lu5#T6C7%d`d`1fJ(K=0F{7|3js-aN1g|$NEZPr z0Ua@-N@Z{F2xNc?y@By5_6EhL=%My82P*`qgw#v4y%EO!KBXu^MUR=`pNcG85k;}B zES7^bLsaa;3`lV)&>+5CU@>-Kg{Y)g@fU(RqL_pQ>5dSUbcPTWyE#Kt9CjF@5_5*A zRKvA6r9k>;HH4_7!ugByeTaF#A-jaA#5+S&6^Ia(N=?OE3(Aw6MR1?)y{#uiCGI2o zHK>fYD-0Qs;wL>JD!5q)QE_@=h)QG++(#xLLsYdCW|gG7mo73lAu4ua#i-b8N->F< zJCQ^>VpOWLZod{%t~4Ch5+tG!j8RDnM4Q<48Ij^*y+Pb46r-Z)kT%V$u!p4E{X$>G zs8p=pfsM#f#FE4x;U?7)F)GQieVxrJrxZ$ch%Pi%uJvve-DmBLQ3>e?6V*bu6(3_% zm|Ym6;_RXjl?=0sIap1%O(uqbq^?o#a*R!kN=hHg(-_e%V|gbe+HSnP98zLbGJ^4b z!>PDKU8F|wQ6dqZ_)ZA8PrP&yHDruRVgQn;Q@N{gJQ~VS7-Lj7HUC*|)){xH zjs8ls2j-8MZh<@sF0Esn-xZm4n)=D8HhAGxgr{@@gq+CQW~r0+1KtREZq^&|KaWwZ zLp*FZuCaZ6RdlfD`nC%>a+BjhN3lZ7HR82o0=b4BPjWU^)3M%=Mhrifb5nF0FfGgGPR*K8Bb|~_z zSiuozZir0IA&@9F@vt;VPdKnj?hs2g>=E>c3!y;R2DKO}#p)3mpC605NOwlg3lXXd zg#bKaH2Hl%MmJBfAK_P5PBGV1BxWd-GKC)D#2YlnZK{WF<`@uZJNV5+WfsZw=9lPB zII&LNY?dSLLn}>p#5`A<_XscFp*Z45K_?vFB0|eyDOlG_v|)qdFTKa?+#;~j;puU> z<8qGny=ikGT@AXrms%V28bF%H%UQNTYzf;mXWY_Po6{DxM{Q34YETqXgMzXe6x`LI ztIr0dJy)L$YICkJ8YledZRYz-H zbLQ6AL;_tzPQSIJ*5$mWsK#P1ht9muqM38RXtL!|-V2$tzvjSNkT?y*QoL$_go|x~ zy9^LDtO&-t%%!p0kEgwmewj+oG@WE$kgOt$K@O4Dv~ttXGqs*^Yiwzp(=Mp(of>T^ zv`sfMfv9z+92YB+Qb7i*&RAzg){h;6h#D{psjGo7dn0+X!?J!|Voo01FfsE`{JBKe z!zB=ps6>K%RaV>$&{>()uRCkW1R@Pvyshmc8~Zes9*2#p)=@)g9f-7!Qbp|>oek(6 z{H1r)u}jpQzE3(N7jiZO?jtfs<9`I1X>O(wBGTA#^HCnMs)LiUI{kWKv0Ckx&AF5C zdM&wQo`xz6+4s3m6}AwuTXLid5mx=in@gZlT{WKkIDtBiIq@+)b@Uo5WWlLgV<4*E z>if|x;tLO%yFoeo#8_&8FKjO)B3q8^Yq9N$gb(mivAm*&gfX^@ImUlg5K4ZN>!C6> z*BsmyQ^xL_Gje1ek};lDr~A#=4GGu3tA0n$sWAW5uEm}@XD1_b?rr^m^pLSzyX)v- zdmv%QJzZvu^qEo_&}EMSK;^MaS9NDPsbDnGAAa5jS0TGCwj~pY>Nml2%)Vd&iMe!z zmv~RlA8uI3IFwb|e_UMEmG{CaB^p8Ba7r;K8!CKeqdc6;4Z%`5J1e<^vR5c99sO5G zE(KHys|8V}+b8yF^Q>V7LJIbJN(#!4RA}2%tqOsli?0@u+p4E($iB8U-fnKGA?-5! zQFRV3G*O*{tL{|bK_?IjJaUFB)j2!{R;zPZn5+WlZDDRmdd+k0*o|kiF6Zx_el`vF z4o!qcI*st}vT^{r7)`Nhl@3QpmsKM)}eiCWU$NbYM&Rd15H<1c=N8MHVn=_@qnT95y=PLH&SopgxS#1-iu>t- z(rRF*zvI#v*y*v;s`=9crL}d0?D*tJKMf`Z%CZA+m#v(1eqmx~vqhGK;Ebc#;R(l; z^x*--Vqyo~ewdgL2!TQp6oh4BCw+RF*!kn0-JeCq?9TT#GL}eRWQ=4O8S5dV+6=zS zQX*rCl*rh%cFUY@mO6MV-HlKa86)uzM8=LiA8=x1%&tivABK<_Xllp=f_sh`o@x;5 zx^^e}kc*s%av8Gkze*s?wiw&c*nz8%S!lz{93;GbkxH3>A(fg3^Y*)A$uN=SE)TuXGDz6yvhrT;~C<==jjYaz8WOtKq&_O zNE$)5!aae_d$gi%P;ya^WB}_ePbjE*6$uea^GQ9~e$vkQI9J|+ZexV|?LjGvpY3r2 z<}h|#4q-WR=X@g*$bjVYJ>C#$5x{g+rhjOW=BplS?+C%o-Ngc@ThAP-}HMo{V1mf1Qs zoHAt9A~@rb`OF!=Gi1!jO`Z(2BPZv0Lo#)mrAEFYcIbdh-f&L#Y?>p_{;TKkChrfVs5a`KHzdu6rC?$&(H|?ol@I-CysN-lZcf}AZ#5wG&fH-#7+fNA*?>r zSm#LAklmsnsUMb7k0Wh%OY&#eWgWXjop{jD##Iw_&K`)ve-EQ+eq(ph*y6jTowZrq zv2Do&A~nZ_)-8grO~tRi30xJA8cOj(pm>xjieKq?K=I%&#iNd0qHc7A(m6SIcAe+W z$+s9ie`EZ0~5sjPvB|{Ftr<@?yG%CJf0NV;(EQ!fjI> zmqiw}6N1{YP*-)wyuArM#+G9-Lv{2RD`bbcYlVTRdW-KMw1{7oAydZ^M5C#%;>M6O zUQ)oiPKI5P@C9BfmdBDs8N<8S=M114LdkD}Jzk31(K|RVrj5-*)N*GYlG*1*r`yfY zEg%<5fUfH8oMprGEs_?esJl$(z_DsnFM%#1Cmr|fF7}A--q~I3b>4Mt>H@mzFDJd` zR31z9RCt`yT_Y=dT6yYigR79=d()5!MD-i#JtFoo(!LczRrz!?8&ha2c zVLs=4f_Bh1oKFnGntjLs+`{FrkZ?@dTfrTay*_(&t7{Q))=N7JnEW#8MO00V4hFbONEk zJ!dynXaCyQwmNaFyG5GixdGwJO*}!LVZF-zxb?k9Vx9L+O@vlz*K;0Cn-l3_Wf!E4 zTAKg%&|Wxv12^5Ld>}{6C%RmDHhoJ)0@D{>&7FsWxnuZdt|l@z6V zY-L*FwG-Kj1*4{BQn5^HxSNb=9b>cDMKr2Pv3i#;UB4i)Xjdti#!IwmndA=}V-+Pk z#L!HAK^Bc~N)0XD+0X41yEJ5Ib`>fFDNsz3CS^4#c*~KwDf)3tt!{@!*z`EN8+p5} z_=KpDw@XcR*=op`HOAyZ?md&sbTs=)zdg_tPNujYU+37GSOlXQ6N_k6Rs5Nk^{H`$ zz{F6hm>6iw6u_Uxa?lTki5<;`S<;#Iwh*pE6kR66rHSqE{%K)E21e$hkmLkmS=a&J zo(6XOxP8|Zm|K@QBIAmv%~KPqdlr~mk0uOvk=c$vAj8@)Fh{}+%n?BtPNf)_yA!g& z+&l4qLtt(ld<|M6YRkz$$@7raaz-*iLnaW0tS(l=vE2|qY+E98>k!9`6q;iV8Ja6d zhUQpG!5z{@aEI&}oMRPxBwgciV6d{59plKAVohtj>RT%~#+fTd;$9VkTqq{lL7GuM zvWF5cLQ@=_D0-dZ1V||sFArDJQ=A(i`&WfBT*zV)1f(S_*caWmI{I#OM9H9{Yz^vT z_uEGr6)`%&xd|((lvY}#&E**RLon5`OH}v) zT!3E)HkqHV!1L@+>v9BP&8TuYpt1e7hxO3EDB0xUUM%Bso4e1kS+`tX0j@XB)eb!e zP2OkRiC-pfA-Sx3^EX~1&DAT}t7$MGXrx0)V#YgOp`oF(TTcDCI2*(r8gs zj}|2s$I>AmvTRacs6)Eh1P8f z1H5g9I>(5lbz_maNy{h+{=8E-V%o7%p}!ez@07!xvv%BW>&pjn4nB4lc1g;m-S1?V zq@-olg2>#q6uQsMQ-(tFm5Lxx@`K0@gd^$45(OnxwShv^4hEt2fT-G0%GD0qP&?{} z+QH+IsQC$mS`1De#JbIXa8k+=iU=7Yj6Ms*HCA5X%4Sv3J5g0t_rJZWX5;e#-Hufa z(?oxrZP_9-B+Y!#gb{Z3`a3p2sUwcZSKNuGnWPL)Cq1UpT2y4$0PyzATkJrFBlWeb zsFR!ENFQXZyNZP9(xk4q2E=w1QOtG~K^a2^syF8k4t*hM6>C!!l;H@03#lUOa{bAk zqE5x4M)Nx=e~{TvHz6u5^elTKwd(UBCsy;2nM>4Qz!8y#uw6S#eQf%4Q;`z>tosk3 zi@pNHmDgVfytb;E%P9w0__C1rpbf^d-z8*h_rdrZh#Rdd48)eU?u*(_)_t3FlVAZ& z29{7eAy?e{^KX1OX&0vK8a1~YvtLK@x~quleOs~JYV3&P1ELcG6vLzeq!II>dbB%O zjEKl#-5#Qz>@2pd8vw4uj3cT#VQC57Q(aC=*tj!}(>o?@=`|hSVy9rbY3vx{3M>`_ zn*PdHE(N-CsAiee#ALund2XfNcT6_0vAa17};hizqU-PZ4s{F*b z?kf_qY%A(Qd8~WBc8)#-twS0kGj5j<(#sMu2gJ4&QOvu|;6>mlsTDi1lzwCLNG+xN z0caJhzfU_8A_u~KrrZ4hw2IL^Q=xYL{?1+KrF_$;`(g7NwJkf|&LU)o7(Q0_3p;RH z>aufUM)%?ihruohdF?9($fU4hE~!#Ro1$(HWnPNjY@NKUhf(XKj?RRGR6$>9)SNiz8-TRw`JR z(RM4a`e7$_$lYwKE{kPK<%%1Mz2H=kQ7!%goZ6P3X@_k&WHMMWm$Tq_kr|g(9&D@r zaq;f&wiG>mrEMu5Wx=$4J||;aibrI?4YFW@FtT6*v7DD)3Ry7UgqAJE|3wx|+n)3E zmA0jLlpoS|=N2-8AVH+<=`9Fv`-L=^wmFDAm|zfjFay%o9mSAnGLY76l&Bt=Fu~%9 zq&lq%sW6|p4L3)SOEQEH?f?(4ozrN-Pj2&Xai9|IA2q2Qr-TDO`4GxOq(<#1DQ4{3ZY4jFj^FKqeXKU zVD9t;af?F(CLW$Nl91klaYw|GMxvM{jRa+k9;iNtjjLJLltC}aHr0`NF)U<_tVOf2 zon(!C$k1X&)M|Oh=nv9E(&tUWRmWCzfZ8N0%#ratWcr#l637vmjwoJx4NY;6(?-$+ zcg->f!ssSddB+Q_-!&>b|nfVc0M^F#MrUq6BlLyfC z@DG)v$-!VmL<;nKNP3btveCNlqCo{Pb9sQiCaQf9OUek2^E*6!kacRlxtla!4O%b} zSN&B4gQmc$gIr*zF1Z@zBg?+#$U0f4O`dDb8`;`xt`6X+qxE;62I6Kq5at+`R(cF=Q^q8>Cc6dVN{dy${5a352N!3q}wN@hjgYNrwqeH?Ky09SQ1nd z-K6lrT6d~qu^Y+2eOrunZ{09S<~4<6KoT~^q>u}0guP9za*t+Sir#FUvMi3tPEa{c zA^DJbP5pp)BWI*7)Zyhp=t4joUQqkV@Iw1MeQ`(Kp8N3Hn`diP6KkHW)l94*_qb`k zX#!Vo9Y?x-($*A`pzL;FwY#nOD<)=QCPgr@irCzWRiuCRy)jPI=O$J$3+NzYhzVhZ zT+oUv(q>{6AwEoORrFtND?I_O2FppWZvnx6&`)Gzhp4pc{c2m0gTaCD4`hdMeQ$)J zDu3R?2s`pah988^YX7OPk^d_p40)n@VfpVjy~;zC$J>k7MMy(gUR$~JDaZiGQa8R~*vA9;5X6~rL$NC9rvvHA$)!@G8LX7cX_Vi(Ic%1uFS)eQau|<4MBCIy;d>%jom=}#o-j{as&VQ3a92 z$usmd$qYQbhpN4UHd-sxZ^&335d=MPZ5 z7EOcFMBfJ%CJ#SM?$$xA^rCA@k>v-G^Jzzf=^FM>KQ8l;ro+k+nJ4mF`jG&k(P@f% z#}JN?(&0YXqdX8TqXe&`{Dm=pLFBDoD}~Vsq@z?;y&=lsk(kv|Q9`V02_k_|3Zu3N z`t;e_7whhHi#A2;eh|&V39*VE%Z7CWaqAAn)Vc#{wQkh!au|<4MBCIy!E2Qi1(QAs zHgLBWUA%7UwfAC#w;rrKA|tB|v32m?Cy)!{qqWZURaYatFgTkL3V+cEBO=;+d*s+86>EB^xyL2V@+KuvVsrp;2mT?|`nggV0fh zu9!3`Q1;J{J1fPWdjwKnQ_nvkh@I~!W-}V) z_xB>?8>zaRcvMy9iB+tsoXyybOkaD9B4NpZ&M2&7@q^qI0R_*8&T6;18|V0@hH0C8Q%{0D4X{bYRgmik0aG5@kx5z^YQZvwAy(|j zSnk)XsN7hNGa=+DZGf;VOmpPD)O=>}dGHCmFuvre z*OZRPOzP^Pg#`$sOP-qH0;9`=G}i@}oS4rt|Azz;~;2FIokk9j`k$w41v3Z$x zb&?R;eWr8ERllIFPC-mFfHF7~a{&fWydrMG%21*h4s(p?0}S>yR&O-FZ)b=5SAx-z5Y4Q>*^I zbT9uY%+v1$m3?JYT-~xQ!7aE4Y21Q41a}SY4vjkv!Ce{}*I>a3?yf>6WL9bASgxge#*cIDXKeQGyP9}Uhvti}PO4O1om|xwRum?|Ds$nUzFd8iem$MH@U76I+ z>mPLKTlFCf+;du<8vLAr(3PFT?j%sMRK)giJPpv636i{DjkjUQyJ6q`fNhA%$iZ;C zv1+Ik0gf^`;aaG@OSnq?99;8MJ3-sU^VSp5WHLwfx=8B>*C1R>x@;p?TV**=^<*~N z8^=ra*z^W7!W_QDZn~yykUOhxMD!P#*z76eLF~kf&9kGd&IYX|V)$0hf@;%wpYVc@!aQ=s;r9A#t@>Ia;6rXX-`0$S@ zLJbf8GJm@8w#?Ls34)n3g&Dkc zmMHazkrDS3Fbl=s!Vf@G>AOvad^pE8M*Y?Y6*a8c_na8dg>G@v(@$?ET|2}S3&@0K zR@inOe|PYy8$3Nk5^LOXS>#&1J|2z{bORl5V?)?vSa>vFhTC?-VC&FP#+$(q*VH(~ zbQL|UKB=AFbd?`B=_Wdj zFtfqR!MJH*Au%>uuiC`EdnCc>1-Tw4MLA5`qr%JcRDEkcX4T{UVe@E;g7!3XUFO8Z zIBOTzRnb%XAA)QQR$B0hJ=LP@x!OXH!r2_75I__U6_S3h~0Lp#bVj7c%F zldGSKijO(?c61rc?|(rDTTgJ0Eg}z9y#iG%ElPMrmt#W;hnpe&&h}JA)A?4Dj>U5q zf7_%5%hF$v5Iq91f?G6J!o0=;dhwO4CdJHLQhnrCRPH%r4vHBvF=ojs-8}UHPsXud zYR+73lGl#7b-EB#^FaL|=6A|*i{fTHSd8+5jg^AT??28m@&^2bL5qnj>A>w&kG$ia-6Zlq;{YV`i?T6)19tP%FCNE+M)A_5BiiDYq=6 z;NPg^o#Dz@fXrR=@5vLOJr4}@8rdM)+I*^1{7YBlGxic2Sc{zY(}~|r7YqV)v#BB0 z^SYS(sn=@~-GXraPFMWUR@NEgu_&P&ja*X#+#;1r36zvXAm1;v-H+$K2I=1dFvg)p zUDtDA!ZhsByI**uVc5wMx1>MX>tzJi`VZg(OVGnC}flZc>Ro6u#zj4$nB0-AE` zy&6SQhxfrLWA?eKd14eUGz)y@d0y-!yrDVdCJtY*b+esdjp}9m9dI0y>7?h;AK;Og zkyRfq)7cki&z{*b(!%sf5^+?+Ie*|~&z&Y~OP!+jVz8t>hRW`DKeR1;CdT2aZG=pz zJ8yaFB2lpG+()Qv*!GitBC-4WP5U#}Nm6p!>b4V-FfjPG1IN(9Y5EpAe!=g!+d+)i zfFNpoybd!j^;PDhQkkpXFcSXkw<$0<)A?F8WqoVAo(U;z0Ud_m@;m^B=BOdtd)!?^ z+?L2`GQBnHSOSW`QJnoWm+jgmmEcB@`&ih0NnaM}b7CR&t( zw^wWUWC88_S?I`UUR|I)Qq-y6@ZBYhiX=+(syqcuylKX5Ka=7i`;IGvM54Z>XDje7{#c|4MXMxe9MdGAhNxf_8$XjlN`Q(<$WdPp zLo0!m$8|^^GRijY1wOHWH;k&Z>HjzDo|V|8^hA?cbX_u?e`F+}sx>=ps(l|7wT{;A zg$*ydT}>L33DP@;8a`CD90r&S-+g8^#^~db$8fudG531sBZ*nq?vVdfQ=xQLg&FTL z4bd4rEMQ`mJh8IbW`Uvz#&c#vH_R>Yl^8cUTjuz|&uNdTXEdu9y)dbCwi@F`Dj`C! z(Rk+y>@;nM3fKc3b6P2V(Sl(yHx;~e88K*q8k9uVB5BATKfbm=5ST~42#;6T>Xp}?>_`VmhnyQbnQ}C$BEbYQB{Z03Xa)|Zm|@6pi&OqFaKZc&g4l*C8ZfR&%I_({$pI_( zOIGCjiG|foZ$Xqe*q(h6QK9XJ&*$+G&K7F?LGHJyyn3j@D%(?PFyt)(UL1crP``|s z(>~kY;W!ez-W)ozcc$}a+)Z~6YWd4KW(2F>C&Vsy>;d$*47eXvi`-u04HWe_Ba&5% z^h(~za2ZQup}<$s-PR$(bZUT&9^Gyu+%hZltfM(DI~?Dc->o3@cJiLEFUu*V-g<}vq$`DG-zn`|=T z54%JUnZ|KPt$C6*w0dOQ?ykYddJWo!`=#=}5Wr98Pkeb)@s&o;WjL4;BdcT$vwKLR z%J1r>Lfz1l>h`KKY>*Su%k34|MkSeE)HMKd9R|y=u;655Ax+!4TNf_Z4plGdj^}X2 zXq?LQYDOp9_yvOo<&bQe6fwp4nfc^93&7PTd9y$DzMI_jB^}(f=|)R4YNYOV)n)Ea z^hIx|&1j~IBV6_@H)IAZQE@oWd0%>d@!v?Xs868v3d0`$l+;*qn04<{+5YIP+U99A z=8nbQN&Y&t@uxQ-U7LjZTBdfzPNqR07QM$cdHPysJ7FhFPmEQ4q^=Rxnmvefhc6uN zmn>`~W)F*Wz;!ra{WH?dhXnP+j1QYna>et^0-K+Z#9^Za5yua;&*K%-P41AhJdRb;oEvc_s>J13+2Af};aOrr_`EM9PyJ1*WR1|C zWFtKs+ZEY~4ZIhiq4@+_!ECEjl7R0Tou&7qxOpw%SqLrEc=Y1y-?>o^TM?8r`x&)G z7Oy|%&)DzL(_4P@#THyC9ZIJ%flwy;W+%j}&LnILuR8Y`I$nL#L>(O8w7hsL09*Me z)c5*BV>RZ z)sS;ljBCk#P7kKRXJOF<0hIm&nc}4Bl?eDJe8DUQV>FQ7XNpadv|9Xg{ma9E1h}s_ zqvU894xzbAJJ&l?;@}N8rWrI^LTj&t&Q45O`5RHTf+3hgFIz*)+1OJDdII7H?dec$ z1@nqmNFUc4HbUeLk!sN%(n|aA0CVnekoe+g2~QCpzvyJC-yhBQ7%^Q;@;SIOzIk$t z_{URAh2<0qI+8x~sVG zflY|#0xHomRWFKRU=+pXSH!EYs8g8D;(}yVS!Yak*|S>&dxS%yBuZns$-fp*ThJAv zEbcRRP(lI;2uHq)ZX87ECmP)IkcD4%D)B|bT1y{Vkw)mGF5g%KBJs_cZNm!!&P5rH z+CsoOw+tT;(JAiUvals=0tsAcdq3rY<`c?2OZ;dI;p=Te6EGpWrUHol3e!Fb!2A&} zC96!D&$U_BEkni4ahQD#tLEJaJ^qLF=`g_<4f<7rB-)isE1uY&mwqB9qo*B^$x5Cf z>*pbR5`@LHUP!4b392JvUyMS}d0Mh2yLt{S_ldbw2B=;p6E@qjg zWmnS0w%N$hrbr!FrP9(-eS)p#6L0$dGYq;_6? zlW@HONh=33^t>O(s4?ek3S+$9l1n|*Brek|)#dvsK?Q1Izv)W8rRhdqSPQ3u4A~{! zWnoMcbm>z;J|&Lz0sY8Xi2OI9if8m!hF+JBJC7*rRu00cffI5U{JIzvCE`E9FnymO zsh8a_^=uwFejwEIgPsgxAupNJ9}TmDh9UOVOkQ%YUJsqI;>=;Vt}4m3JSFodB&UT3 zCooo@x<*53O=}Cudu69emi9`R2|sOW*h7NDF1)V{x#rgXutPyR>rG`Pzy;2*8aO3D zikZzOxsGINeA5X}UbjP-xJB1!lCHi`6&0*1x3V8vjU6v3bhC|MSp}75l#^GMqLH~8 zQ<@=h0^Q95i-b&b9#~@#)%1lr+GKK=DO+#oM&dAS6F~U6WTv5lIBk=;K>fOMvQ_M} zuk?K|W1mAf9XTR(oOTPai_kO$e@~>fvu(4AQkedN&{S~yuF@vbxNoleAMW6PZvXtq zl1&GBMhkG&GsB~oIWke6FxlLAmwkxs=EUo6 zyb9&amh{9z%hs}2jXzj&TQ=(DBWHIftKq4?()m&lY~h3RP=0l&Q~x8IoYwsJp-Y5Z6 zvyN0T&aHY5c3JIbR=e|qBbgEPcqHm9!U5BEtPe7@f_o-6*kViDVxP9+P)z&vwJGh1 zE{@hn+LL^fU?hG5C*HZt%l`gq*mWC{IL{1F@P_^3wrU0Kge&6(E(sZR=>kdj8X4d>{vYXaEgVS>l8gI$2-y&(k)VAW(=qD%28!0+97L!13_ZVzA66o=PT!=v+Y)+!chTUpyvP--dALrgS~C2797n{YOd+^ z9LUFDxYzNWFd&MK65?s=%SqEn4)~~vLUD8-eRi5k*wAgoD_Gd+8L>NnTwK) z*u$~1m${PaRjIY-VYKw`TW- zk?}_0Xju#4>m(1-DZc>TiEXZgAW$_~*~X2@C$AdvCKs5)fUj_HF}F-$cU4OW^AH9} znLme^xaN5{rRyIle_nHjlW*m!?k~DVh@n8k-y!0TY_l=9g!MZ~Ml#Iu!MQGdr1gZp z2on}vCMI-SVI?5(8LPS6holZTHfs42rj|dZ3{+qImv-^e2Iet_=(bV>4#-CiIuWXZ z2Ld3fXZye;;#y83c|QL? zAJ>x#+ZTbBUQOo;M=YOpdC&7xo@XUtgHtL>;n*zSvP3KCcUur>)qCDNe2%lt0_qeC zG0iSf6>5~ktx6fHlkDX6PbVE=aVlyDe%Xk!@;Nx^&`A~a#qRvWAJX%tDwShy-@~qBDhRm9 z`we1r++BnbvsD*L$a4qACZsG$0OTw%(_LK$V}AR==l>@;@^Q!OoW8_NIGLVDk&$n?Qvx+ zS7Ry6I)xYGiVHo~NfNIJP{KiCh$5oPBpDiKl)*L40N)6`CUX`e--~%<;}|S)-5?<#+uSHqiG8&o{<~!@pu0;)QW3NL4MU3<+@*&A;h0rJtIRs;v#!?u}`n#X?F1BzFAsy@a&ho zE2IJjfMdNQ00)kI@EF&$H+XM7(_~;9#FXIy|rF#yr@#Kgp7KGxAF2$!T{rrixc;ib9#BzF>Tb6Zh| zzpJH7q&T%&4mFTC{Bt7Z!I13(MG`Sdh2&G*j!T%g=5*-isAKW7J~rOscfN=*9bIUQ zdD5Cv`R$}M{hegyHFh;DE@^i$vrq`+(H;q5KVeR)9!g~#5xN#c%B)W&Gh6F%w&Rw-k5*Aa)a;K$Rmnt*@?m8_Zls=ddwvdTiD!NhnF`v1>oP=$R>Iow;B<)#L7BYLYbxAY;cjr^={1EUmeQ zPV2n59H{X#1+#dMiYSe9NwT|Cmdp%2V@XH&Y1yxV&qD^ZFc51~rs4hGn(uhlQxYM2 zkvG{L;!I;*PW!fGkvE2>Juh6-$FY*$u5K&6F2N~dRb6wDyuT8vQP|1tX zHq6w0>yLf?)IiHGPplPzs1w}!4HP}K?01@LAQNQyLk2DHx{uMvS;y3r$Bt`Bw5 z-i4S-(_|mj_DkhyUPOsj!_!)-a$W`R-H;X>5y~g#{St}bjwL^}D9546l_DH~46nII zcLO|qPl$y;k?trgnugCo7>9WCZU@ai(LdpZ0)lXK8Dw-f#~BfqYS3KwStQRIlsXNM zkp%^Djt`--_KrPB;wA^xnv&T>W+FQr1XrN!8_3>Ird_3n4A)g@o{osnE*#6zbj^Q8 ztWgwACO=lSj_bDZA7a&QseB>JA7e38J8Kuaw4WgPP%SO4bc*d-Z}4J|zCN$d*;_00 z!NJH*r#4y@D`sUE_737J9o?oGV)ieM#!n`&Zve zZd9G-9c~X(|Z1tn;cc7pA|?+55Mo&r;NOMd8$ycy*u_a>(K3TEpl=v z(P-s{W&?0J`lrrIlU!_~ycU^=CFF@Fr&^#f9Ovg)6WBmD+qtBh2wJIJAv+U3Ai$+T zaemm;7Tq@OY^jZu>_?PXdZs2E=%9Irh)5`YP3EPb0gIPVXF-+RNGIwWcn}*LGwB_x z(!S4gf(D<5LldeieBZ;HsD%S_jKi^}(%dsd7#!b@Q~SMd96vA!OTeg+kNsk*D^k9f zGiAWXZ=&Ywpz;g|7tKwYc222P)QaKpMN*nnKANEiAuo2ni7BQZT}X7K3hRUZsJzy9 z30{j{{tz%(XB;y}Rkr_gv%8;9SFhxP9*yeWue_@@9UeA0i7}!S19$iWY@rHTSp=l1 ze0kFlfazoI&&<<tBFOOfeC{M2_Oxp~oW=K8V^qD;L8GO@r@b$U zD<-r>?0X;jy)h{AE4hd}V41EoQcDhsiPTj%`}j(;C|-DbHneN-w8&|{6;FARKFzpsqzt{r1r zp{*l-@WR<<{Hb@R>GXu6^puD1sl>_9m*R#CD>1)9aW#MB3zB#EK}yYqzx;UoDEw06 z)>WOx9NGOD8u}IcLE`oid&OmkljKdeQm6I0YQqOrTQU#%E%$Bepv9n)f;`HoyACRr zcmzFJMM@o(d0rG}D*L;pO}U81C=S|A&Ksbd_#*-TB=OSlee0?UGA<#SKEsf38^v@L zn>!X2Ig^i5ColDvgM@qdwG^6L!O(AGA#%~KJYw^#$sYC9s~8JXD6(H)blf|FNqs!W zSzwkaD0!YK)AR)1DJZa{B9t5ej2*g6Ef+E^L_aDytEQNa|GF)|E_yrL#{xiO>;hqw z<)H-Giym;L4qk-=AV39H>3T)_6+eBu>Ms#ut1^=YW^{+BZXG3WRl^CYv-OSCWK-}?o)P#FaZEvU{+Tl`aOK#>Vs^NF{N{(5WfYnlZX;)XQ%MkJ#RMprr2E@eAaWn?tMZpAd`^7 zx!XXOuFHBn)8+l_d%n>S$tW~ks>W7*uznP`=P=U)mDB1 z>Tu4u+;XTJH*VfqrX?rhvO|_}Gq_8*F?F>^cR>eAX7sZx4l2@sQTKV%1R1sWzF27NXTK2`8!rZry|r87RLM#7P@zIv3cpjBGLjgX zOyfY$@BJTiDN8TIP~T~MC|T3NbnXH#dM#YjTSSK_$6bkCImS%?uVMVo$J^^lWj=|#oIc~y;@F3!OY zDv?FwRamSN{r*vVaQfKb9ve}x=cGu|?WvTSr&$7xROKAVy1`+3pa+7sg{moUY&)FLL76%KU^NNpb zd!tXkWqu!`t1q)pK?PfUG4b!Go!&F zZjXKZ5QP3Y0#$?hbRHfkc7P-xR7(*%P$d7&bWg3yb*7L?SG`l7wHvH`0!JP=ij6d- z=ahjL@1QqT7n539Lh>k~bM565TZbV=#S{dG{}Mfb72%M7Oi|K@MHZy(t3A3}+Ws*R zTEmd5Ii&KyM>_&VAVhnMD=V+4twg$UTo%l$cMJ>}L|t+ZxzC_)lc_}=3NbIOaK?Fu zRnW>^x~Jq+03be=D;hjeQFd49xUKyS)#q3}Bp)Yn!7Mcw&E!f~i7st7g&7Eq;+`zB zQYgGA`njnRFVA+Z5zB-1H&(K9|D3Z}?Yc0Qzr2j1r}bU;888HnqtxKjK*Z2@`DgC( z7?+KCk9r_PVOr_8x!uF~D!`v(n==?g=O$RVa2cp+6?e7W??6(oL$b^ppWr;j9p?)jSg`!H| zLkioi6%?Yh9$3ONx;SE8F6%jT@w}w$5OygK%pYE^<2mVn5y3QxVQMS7M=-Q@-S2H- zL^lgRvCD-{+hR}C*zxt7i&0E!-0x?%VPN9uq_04lMnQPa3FTMj0WaOK0FU31)Ts(f zmdUBdZq1=_+cQk+#Xo&Hpi5&2*~{I>ZY6mGN z1N$c<-D2c(p2pmWn5N`xy39s24RnIE>z|hM5GAF#(b-E2XPgO#j}gQCgGJX6x2cHc z1XE^gLKaD58d)obiaHT1-)jG{>e{P}L8z(HIHzShC|4B~y&&)ENL~lu3av6`l*>|b zIL;I>BQ?HGUs-HNRbkzUt5vi*c+d73*e?x%4Ccm= zaW&QySljuB!SUC$BAL>3CqTU}c^XCRvbpJZ%iwNy23aua>QAi@DKv7b!q#1F=jF9= zj{KK#OZA-rEE29-CRWi?c~a-1Av|mbAAAr7qx2nmOGYW%NBY!^B zyp(D&L`d@w;9z3U%h|8V617{15>J;kfFv^aI-nX$24Q~ojNY+G!~b0DTj%)M;bkQz zwnK08DJW|A{Ze~lUzNTMdCM~uqArBgJ&l_CJ&@Q|bQIlSAkT~!4O8`P)6lyO#t`uq zvLAD#bCgDc)py~Qs(u#`YY@a~m5ie?tGfS@x`CH`mZ*AUahWSr^LuqEINi9q5zA8} zr+l8cS-;;qiyU3V@R^B>Ir4a=lu#h-z(XX4mP?zt58xcdYVh7x_aKv2AXK%H2epiv zBqtu!2ei-HXL~5R7+A7JC68xEA_+YI+C%bwnOTeL7R6lYr_Q?Ff{486au~Rul)Pdh zoeoD5Uek1xe1n{wnCt4w7#LulXzjOMMGH9-g3!Dr5AdRsrx~+BIXqV*{9BU!USdir)FJb2`>=yRmsNnEIY%OvufAq@C|aD9>VkS1;bIzTUiMS~4IV z^j65{6>m4mHV49vgG@AIDq1GTZ)I2(Tam92hKIukos*Y`Gy4u@Ws02Xip7@%QJCLd zMsf+80c1x}9qt$QffpgG51AYklbz35pC!O~9;!RyiUG!MP6B9g!bv%iv|%bvirH-ANJ7O8w4i1{0Di zY$(_3N^6JNzThyG>B^fg01mRxAR*zq*-UU?jS`TG30Y+tsF{`bB9%XJF`#f6)cTl* zk%-<0%ST&f&eF;{h-*=pqgIGgp*Ob9Zj3&LfJwogVp@p|k#4$9^)z7D#*Pb4tH!TQ zV}KJSB(sk79_~cs*&SXj-76|BZk8^!FBvqz6b^4P*iW0{#X3#Y|Y=E&wdzq5w7kiz;kWti z^KboJ|E&kWqVDMg1h6QZSOWjischl`bO61Hu>w^80ThAe)+S<(9ss>Jh!w!g$l3E<-61{nPFjJTr%=uOHM!13Q=(k_l}PXA%ozn1w2{VVb|Sk&GYcQJ8rb^5!c zndg7O;%~SF(B0Y$s46Y?7yOq4EUG|PM>iKUpex{S7*zkmoxjHZ4cA}C|HmEIe@v6N zHg|n9{jbNW|81??Ul0F70r!6>5Pu^A&%#JRW^z{EMqwL~n?q&x3{}KBiI(YtL`G46jZUQo~bF}=I>Njd!|4qpM z$auH_Es)>nKz`%m-vhwS#l^t|umJoEw@zx&9aScER=*p8th$ zzHPfVw*N1Ti~TK7{};x?$NT?aeC+?B59DHEZ3lGuha5F)FW{TWf5}&MbOZtZI{vR+ jChK6~2>46GKfJnvOk6 + + +SVG Picture created as 317Regler-BuildingBlock-ModE_RevC_19Apr2011.svg date 2013/04/21 23:11:43 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011-cache.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011-cache.bak new file mode 100644 index 00000000..92fd011a --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011-cache.bak @@ -0,0 +1,213 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:26:06 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011-cache.lib b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011-cache.lib new file mode 100644 index 00000000..6abc2aa1 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011-cache.lib @@ -0,0 +1,213 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:54:10 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011.bak new file mode 100644 index 00000000..0cd8851d --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011.bak @@ -0,0 +1,334 @@ +EESchema Schematic File Version 2 date 19.04.2011 16:26:06 +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +LIBS:317Regler-BuildingBlock-ModF_RevC_19Apr2011-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD9B74 +P 4900 6750 +F 0 "Sym?" H 4926 6546 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4776 6984 60 0001 C CNN + 1 4900 6750 + 1 0 0 -1 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C? +U 1 1 4C30ACF0 +P 3650 3000 +F 0 "C?" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C? +U 1 1 4C30ACE6 +P 9050 3000 +F 0 "C?" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C? +U 1 1 4C30ACCE +P 6300 3900 +F 0 "C?" H 6300 4050 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6300 3750 30 0000 C CNN + 1 6300 3900 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C? +U 1 1 4C30ACBE +P 4400 3000 +F 0 "C?" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN + 1 4400 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C? +U 1 1 4C30ACB3 +P 8550 3050 +F 0 "C?" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN + 1 8550 3050 + 0 1 1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D? +U 1 1 4C30AC84 +P 6300 2400 +F 0 "D?" H 6300 2550 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6300 2250 30 0000 C CNN + 1 6300 2400 + 0 -1 -1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D? +U 1 1 4C30AC74 +P 5500 950 +F 0 "D?" H 5500 1100 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5500 800 30 0000 C CNN + 1 5500 950 + -1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R? +U 1 1 4C30AB9B +P 5750 3150 +F 0 "R?" H 5750 3250 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 5750 3050 30 0000 C CNN + 1 5750 3150 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R? +U 1 1 4C30AB9A +P 5350 3150 +F 0 "R?" H 5350 3250 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 5350 3050 30 0000 C CNN + 1 5350 3150 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R? +U 1 1 4C30AB88 +P 5350 4000 +F 0 "R?" H 5350 4100 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 5350 3900 30 0000 C CNN + 1 5350 4000 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R? +U 1 1 4C30AB7A +P 5750 4000 +F 0 "R?" H 5750 4100 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 5750 3900 30 0000 C CNN + 1 5750 4000 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R? +U 1 1 4C30AB5A +P 7550 3200 +F 0 "R?" H 7550 3300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7550 3100 30 0000 C CNN + 1 7550 3200 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R? +U 1 1 4C30AB59 +P 7550 3600 +F 0 "R?" H 7550 3700 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7550 3500 30 0000 C CNN + 1 7550 3600 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R? +U 1 1 4C30AB58 +P 6900 3600 +F 0 "R?" H 6900 3700 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6900 3500 30 0000 C CNN + 1 6900 3600 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R? +U 1 1 4C30AB57 +P 6900 3200 +F 0 "R?" H 6900 3300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6900 3100 30 0000 C CNN + 1 6900 3200 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R? +U 1 1 4C30AB4B +P 6850 1900 +F 0 "R?" H 6850 2000 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6850 1800 30 0000 C CNN + 1 6850 1900 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R? +U 1 1 4C30AB4A +P 6850 2200 +F 0 "R?" H 6850 2300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6850 2100 30 0000 C CNN + 1 6850 2200 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R? +U 1 1 4C30AB41 +P 7500 2200 +F 0 "R?" H 7500 2300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7500 2100 30 0000 C CNN + 1 7500 2200 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R? +U 1 1 4C30AB2F +P 7500 1900 +F 0 "R?" H 7500 2000 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7500 1800 30 0000 C CNN + 1 7500 1900 + 1 0 0 -1 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC? +U 1 1 4C30AAD5 +P 5550 2050 +F 0 "IC?" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS? +U 1 1 4C30AAA4 +P 5500 1500 +F 0 "HS?" H 5500 1700 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1350 30 0000 C CNN + 1 5500 1500 + -1 0 0 -1 +$EndComp +Text Notes 7450 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.F Rev.B 13Apr2011 +Text Notes 850 7450 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 13th. April 2011 +Connection ~ 6400 1550 +Wire Wire Line + 6050 1550 6400 1550 +Connection ~ 6300 4450 +Wire Wire Line + 6300 4100 6300 4450 +Connection ~ 6300 2750 +Connection ~ 6300 2050 +Wire Wire Line + 6300 2200 6300 2050 +Wire Wire Line + 5300 950 4700 950 +Wire Wire Line + 4700 950 4700 2050 +Connection ~ 6600 2050 +Wire Wire Line + 6150 2050 6600 2050 +Wire Wire Line + 7150 3600 7300 3600 +Wire Wire Line + 6650 3200 6650 3600 +Wire Wire Line + 7750 2050 9700 2050 +Connection ~ 7750 2050 +Wire Wire Line + 7100 2200 7250 2200 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 9050 2050 9050 2800 +Connection ~ 5550 4350 +Connection ~ 5550 4450 +Wire Wire Line + 5550 4350 5550 4450 +Wire Wire Line + 5350 4250 5350 4350 +Wire Wire Line + 5350 4350 5750 4350 +Wire Wire Line + 5750 4350 5750 4250 +Wire Wire Line + 5350 3400 5350 3750 +Wire Wire Line + 5350 2900 5350 2750 +Wire Wire Line + 5550 2500 5550 2750 +Connection ~ 4400 4450 +Connection ~ 3650 2050 +Connection ~ 9050 4450 +Connection ~ 9050 2050 +Wire Wire Line + 1750 4450 9750 4450 +Wire Wire Line + 4950 2050 1800 2050 +Connection ~ 8550 2050 +Connection ~ 8550 4450 +Connection ~ 4400 2050 +Connection ~ 3650 4450 +Connection ~ 4700 2050 +Wire Wire Line + 5750 2750 5750 2900 +Connection ~ 5550 2750 +Wire Wire Line + 5750 3750 5750 3400 +Wire Wire Line + 9050 3200 9050 4450 +Wire Wire Line + 8550 2050 8550 2850 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 7100 1900 7250 1900 +Wire Wire Line + 7750 1900 7750 2200 +Wire Wire Line + 7800 3200 7800 3600 +Wire Wire Line + 7150 3200 7300 3200 +Connection ~ 7800 3400 +Wire Wire Line + 7950 2050 7950 3400 +Connection ~ 7950 2050 +Wire Wire Line + 6600 1900 6600 2200 +Connection ~ 6400 2050 +Wire Wire Line + 7950 3400 7800 3400 +Wire Wire Line + 5700 950 6400 950 +Wire Wire Line + 6400 950 6400 2050 +Wire Wire Line + 5350 2750 6300 2750 +Connection ~ 5750 2750 +Wire Wire Line + 6300 3700 6300 2600 +Wire Wire Line + 6650 3400 6300 3400 +Connection ~ 6300 3400 +Connection ~ 6650 3400 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011.pdf b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModF_RevC/317Regler-BuildingBlock-ModF_RevC_19Apr2011.pdf new file mode 100644 index 0000000000000000000000000000000000000000..0b77e9bb06faee29335c8b3387d04e622f58584f GIT binary patch literal 50736 zcmV*SKwZBjP((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58Qvc;Oz3Z}; z<@_~W|M{Q)hhP8w|33Zt&;RcQ@5?kz_1FLRnt%O|*MI+?*Z=yz{hHp>umAJ4{rXS8 z{>!hqmG}?#r=0cI|MB~^9PeYAf5o5u*xu{)x2*fSp69Rs`hWfUUw-{hnecZs*7bdC zzx;pqeS0s<`l~D#g=Kz7VLr;c&O4g%`xgKw`t^v$bi9}O{IxFcy)H&$s_*$E@Q}#< zUZy=0abP*$bG>L7(PKO8cdc6h+j0C_FF9X)fBkx~-s!z;PwNeSORevc_%C&SZ*?A% zK&j=uEm;IWhGdY*0GZ#%lB7`T@?MuL219D=vX?4f-skkN@^xF@+qy{;01RltQrD|5 ztc!}Z-hN(vcl})V^P*tC%hgrr(xqNXf9&1wy4PQ?RAPG{=NuI6cX>~1vERqBCV*Xz z60Zx{H>bKZj;M_+GoYRj2Gm5q2h=3QW8E?zl8^nK)+``)HzY*h1sUZ+&T)_xe+HCo zy&^>uHO=cvzv>77R3q`V)%}dg( z#>=tRR3?}s)#oCG?(ye<>Y>_DBng$81*w`s%*T<~6GFL4Yak^cjo?7aAN~>V}9#Gn{AJ+ojl+p(5?_UH4W|^|@ z?`><-+tgIQ?Dbt;OY3BV-KZXO0-iGm_2JI}^#L>1r_+|%8=4!ypVe)Xm>4wOXhRna z5_mv%U(4H8u9z?OtJ~_VWyXGQ_0-tM2b>*VhMaqvLH2d?aVwzs&_5!**q$H63Nh+`xPi4dGk>qMQprRD)WdVBk|`njX;njFcF$ zD8{-$nR4TNApr9Q3t>o$E{6R}l|v!bz>GK-C?-w^W(%0^m(@4TCP1Gj@cWrT;fgiS z7p=Wn6t;SA1fCL^>v5HFbLh9}-nv}B>w7s&l&f0+_}~%IW4?ONtT?iF*uUyB#^5oX z@BKIvhsQj((LcnZkx3jFl}mC*X42>$QyJqj`tX{oo8^u^#P4U~_epp;NyCS_0c%}d z{!2L;a7}CutfvF#+Llxz$0eLOmpR^h&~T#1``oN$SG9Yw>F!!3&PKx4oK*mSm$syS z{bEBCaGa$9whVhSyq40|>eP%&%=6p>WzX%pb|l5y0jm48z-+l*2$d}xkTUd9T?Ks{ z>MYZnW1mxRncl2>N!?{gGc?g%2H0*h^_L)Y7)wQmi7tA~kg4s2&}F99wXO7l2tXgH z!9r%=PqEij6}pX$LANEKb(@N?-$)nzc9&Id-pKfH)!wdE|J-IDAErXvwZ_k-N|m=} zOw10f*CHUml>4|0s#L~jPNTY?yOhd+t@TlC2Di($uo=jS09lTb%ar$J7iFrY8J!LA zdbev;Izqj@ZCg8{Nv$UU{!oSz7+77PU=mw&p+o?El9;T=F2gPh&Y*eA(#Di5(V<%< zJ44E}W#MJWrKe&LpGZS~s-6N=BOx#`l99$MN=Y08GA#Q=<=P#Wa&`RL?96Mse61s8 zD{f?zeRT^W1J;DH)%Jf}?JZX$rs7a3ZhmjRC%KTbSvZEQb|AA|GCgK|a~T(9JKk;g z{W8+_b=l5#M3*Z&oB12~ung%)z)98*Gi+7KXHgPw-@PmvhZPX%m$s^D=0kODDe4^e>C} z+U8tZYu(_AY)F}ohLkqXwe}P{f+1Aes5{krkGQ%9$U0Lv7gxvJEMST237O;KxNJ~K zlg(-Nc;@napS4qxtBlPsNl7l`SdK9zIac4Ht6MI_Y-aVsvH0;o26}~k88nqSH~2!9 zJuBMn$s3G&Yu0_v6HfXDq9|?Y&^I51zAN^mJ?Gj6 zil&ou_C6nB>Rf!z6p_XCkCQRJ(9C<)b8K6hd0z%?v+L5lKCb&(vhu=knL%60(~a3h zbMDGwcF~f1@?}t+N}g^m#Ef5RU%9NmdGf`>cd__N7F_YA3ZJR|whD`h5vXxrn)1v`PQW~SW^uXflktPI# z>XsL+_R*ZR$dGf*i_4nb`a6&=mnfh9b5FRkgs*lrIDH2`K;t{0lu(N(=WuW9clW!&>S16yt=L6 zGl$i^hnbAo#gMI8voQhH`G^%ffR`a=tLH*zn~^yq8Q?ZCrlf{6OB$1Rq9e|$xwOpL{D#AlgrTpkHEwW1 zVOw*;01kaMe@V6z3}}WcjXXozES3sQZY3wemt^KMj}~+BbQ8x*ABl}1omidQ`G|Vc z+H)*<4Z2DBVb5I3R!SN`%jS0UQ1_N>?i!Km<6qrdsN`--7-G)zWJ_4pzI+eZ5_O&3 zf>Pbrw6=~}c$ZP_Q$C5TQ_T2eAihWpv_sLZ{YP6D$7~^+u*~!>2TWZeTO7|nBD02i zip(5xr~}R+lJUB8YwTd^DV5@Wsni%CC8RY(MvW|rmze36b{EB)<8>$LpoNkSxX6feD!D@%0}{L@=hA5ffZ8jp;M5>`TzFOZR$B$0t&b}B5yQ2mr7A*CIYWVsaIp2c|aZ`wtg3z_yFsd03! zQc&v`NlPbCyA%K|%!qR_q7rM^3Zak}>+7}0*lJSIM`Yq!k2->cDK8=%V=Oh&S?krV zs46C<3ke`5m-Gqhu3ZnCjBj+lEB2^4ha1v~b0FgLjH5&%TP(I|Qo0~Fzc_Pka~uG1 zcDoQa+BgFe;UvjY9FC;S0n6$oGBi<>_KmY=;@I44mU`zx+S!8YO5a5HY)f;Y=I*%> z`mWfc_H6EqzocO{=Vo&a9lgA_ShMf7tJAV=qwu<(>)5#m1?}|O#NbUQYG|+ZHz5irh+LkTNHn#bI% z9;ezf&PEA6Ku#5g*Pei1^IkQ{~rHiHVGGlJERH~^QeMIR&wpq)#d`LvDSNE(|T{$*+ObiOGy)EYVf(Hc2( z(l-!AG$)Pb8=>!tJ!;Q+6<;_3nVCJu)c{OM56QGSfkSnjZZp8OOM%z*v9MMg>~XvR z8KSb$30HjvSnaU4yGwA-*ky0~x)Xen{Kh9Xa&gfL+GC1G@AzA5ym zEya(Cf^Tn3vwU<-Riltdx`lfs7~~<#pJ|K?qt3x^r$W2y-N(m zRCFOWW%|t1s$SczD*;1-vkM5e?+>!S$qK60w2}ayc-WNYHf&a5Y77wQ2TRRyxJ@YmP1D7K86v+~Jc*p&P!!AJYj%>wjnH?+ zhT1dZc%JQKZW4V>V6CW6U`g*3ECnu;5>lPfiO zh|hK)nI>gFgGpL^0d23Ikga7K$urTm`Mpog%0$#)6yuO3Y0k^2osx*=sYrEWHIWs9 zSvl4Hd{(woqJ@q5{Y${LZ*1XnIN)mrk{?uUryI2wV%B=A{ET_Ayxo$EW1^l4NREkLDZDd`n5l=1;6k*Bl^JTo$AJ zK$*jVl~aCbpUPB^mPmAkU_hkG2L{C9_l1(!fehHXEaR%=RD7P|s%+H^uEbb~LLyNT8r8k+SHV&DBdz=b$ zQYJ8B6YNt=7o-A!!_yAm_Rcc11G?$#1b;zT_(Jge!o?BA(7+Lc4uPZ^YD5Oq9c5%v zU5HN?+*gt=yZk~*>>8mLH$vYPdl>N9PE-}DtHx@no(N=U-aaNj_fl0r{*+8i z|HpLG0*k|Jry~hDXmVoy-;c21II=Iq4LFW|BAi56N{cLMb82M$SQFU91UMHXi|nz4 z86^n<8Bjr#kqRD*TX57slBEV-NV`XnH1I~}yJC-;v$enNU|e#t_; zaumO_piU^lO&Om_MMYoq9KD1#Cjp^Vq99j&c*K~ zNyjQ~vV9ghYEuzEc>x&`gdKQJ`MA^q zDEreDL;AxNL;Ay&mmq2zi*Y=XG?k6jbD$#>liG$r%v5M;vFeHLaytxt3F2@-DKP=U zVia|AnBV2|P^h&#UGx~vh79R?A``QP1@v@#HHLY1NPmpfVnK0^1l~7y%_i{xQU9To zW((3*U!Z<=SK)zZ0F~#V&u0s}l-D!`c80}+`mS$a#Z)16L?9YjmP%_`2fN0yX2t=A zEv^>ix^YjnKh4!$EjjwyDau-7r5QNyu4}pL8<51N-)GLq9_~WgKnA&40vkvzgbgGF z9n3<~6Ou+}v3jW&8$H6Q-H)Pm^bUO;UIT;OFVm5FyNr;+^VpMjL=4^%9 zAq%#TWm*_jw*4{^N13_u$48lUTVV4061J^jYLW463rFtr&2HTe8s_fDHDvFO?+oe9 z@Vf1{E$GXjsV`MSaVYbxhUTo1;rYvrd%Z&tv6MZM0DO4=y?c2EP}R zGzK>4)f@z2<;CPsJj?Ld#Br6mrWcw(5xZczSiJ+U?bC zr=@xIS;c|th;3Z^V`U=~2?{0Fic{JVeXhmty>Z?n5C=MgMErJ0t(6WOpUUeVU6p|D zcxR(0IH)@a@rzd|D=vs{%+?KVn#q}ofxwy-xj znlHp1+#JnBFay3+Osq$SK6Mm}jVQS#tSoCfayFz*iixpZv_IISsJp}8g-lC0(`QRhj1?E8Mc3peDjR-^Ha3coIUO7ibn1Ud&5v9aw zN`6!fg}zJy{}-Qa7PawHg5U{csM))N0zFcd3TOWeM61BklQ_< zJE8B2J!#K5u{&dLa?bwPk!nAe_Xn_bUN%E;kQZk1`Ej&|QalU-T^_Jo-8f-($l8Z1 z$1%*=VlGz+@!iTx#W>JN8Y?4ePrtY9-g_jy%0nVjEjb@!%GU)Rgt#Z)0qw{-3gxJBdkez9iO_0G;9qKo z%xy#WrEBr_n8_J8%|UvOAP3eyHPh-#VcmAzGoOL9-KeEk|CM9P?HK9sd)XL6C)`E} z@x=GK$$v%;a0Qhwo)R$CZb{e{NW*6WA;Bq2jRb^;m{VLi2@i$$hztC}kB zn3#L(vfI}~2xHGvAvD;fK5{c(+J@`#Nx356M}Xh4&&n2e;oAjagbg_&9;1|+8+6Gc zs|Jf~9n0VzzQ_`Rex}yUvFm#pa6O%!B-V%tBjbz{5)zl(lPqvKUa}yfMx&S*18GSE z)l(YVy~8i0C7w%!fk+yxeMlPRt-2$v*R-fHk7!hn!H&^lR02t&seWID@-yVzv;MtQ zIiN?iADl=1;)aC{97k7`K0$XLe$ym(XGiC|QbVorzRS(=!J5B7U!pOXL~lgCEA;64 z{qFn2qb+HE^}n5)tnS=+MrA4p{5w!tOM5#hQ3>Rkd0~gi zE$PYTk3ACj6S>&!=Z+x!kb+26mf#91*RaqMMlZC7*AX~(LuB+b@$b24c%9bo%2ARQ}+hdnQN=CM+ z<%UZlypUzjB77NS_F<}@$OvQABSn&8UWlbePcp1)OBR`tr5)a6&9pap_cLpzJ;`cs z*GMxhO_jZzBh4ftO<*xSwAJjHcB-w=vcB`$*W3vL{925BB?<^XGAq?Z5$@m zBqAYtj7g7_y_c$m&Xgv@Y4dM9#Ke%Tz2YatQ%N`-F9kWjNP59*O{{&vb4_d^kSC1p z9-5LE-KTbvmgG*PSIqR9_<#M+zwWP#n~C+0X61FWAbsymKbALyqofT8eGz)I9Wm+p z7av5ve*MS)?kCz-CYSaa5#+QkRvXae}@#G7VVVT z-yHvh?xcUP#f#6jb}sD=Ub+SSJ7A6(+OCDP=H8(j-5+bMpL}hHJC1!CcVxv{^(|t# zcnk?gU4zVo3_`gWkRO}e+v>U(&hCEkFFmN3ka%>o*g;_fBF`V!gdHzh-YNfBgXeah zY3s<;wuVBMNTT!|;ti%X@cKaRgnw`WXfH{IDd`J<&*XEwt=u8A3(u3%33(ah`yPGN zlm5XLpKez8xdwqXk_&zdUabZQ_AD!moXIDnK)z&_>ET;gTzjRNV;87O_d2enjJCB!gl?7nn9O6Rd(^nN%$@F0 z!dGU9N$)7JKluRK@Mznsqj019iS$+dAO~$9Zck`#t~J*#d5@)f!OpxrKb(-oPhgHU zp7eQrLWY!cYe+0ex5|FZ0P*x`rp11T&5*L^CzZE3R_e+ln%OsNHhA4dXpk#OE@qk0 z@RspkA-VP+WXk%#C8qx^296a+1YqFsXl+N@fxt2I^ubK(WoZx$MIo>Xg8_TnbgF@{ z?jPf43;pGub#ot2+Myb9`Zw8(9XAv1|B_9cqJzT}C{h2X%cYtN4@V%Nen`MTn+ zz=rIV$d?YqHG$ei=PAu|?I?Y50LR_UVUz(sNlrwrUf#T-$ee#~&5x;U=#`9q)2k4s zk2{VQbqe(AsBolb$W@IBdeksmt+IN~xxXzZx!I1#9?M*7Q8N_@83GlE{lu-+Ke(YV zW!+j9gC%b$jt%?ug!qPCR@H`kI1Sn}Btr`a6YU)B@IJ;t`y9uuE4S9RGdb6;m~FO? zTm93#+}fD=_%ZQpzHPQUvE>Y(P}^Hy$UZ~;o1es%6yMNZh~?2V#80$ebjn=2E4SE8 zJZ(j`%h0s*n!wVY%#**Vl)GR|p>&+W`s=&gf76qbx%fd##I4X8ciQb>Z(8;=NDOf! zxZ=F}LN>E0)A{P=DJ0Z+`e@^7;Lo%f5(_ttoGs-3GJ^$52tu%p3VnliDe7oQh{v9! zY8H1I+C6JO=x=B~KDz_n1Xk8if`d~%KH*|sme`nUFU&JF8rb0<;WzZ5?4EqdkEoXU zOh}`%9B21Y_%^Ki1=Y79O0zs8Jpb_LY^%1g#X9g;ggT2X>!6q{PNEy z@`&)pgM{MC5;__-*az^CY%|J^xCw2*S=}3I;T~V#Adl#lFc7_v6ONiZu=4|WNVYAr zso$t`VO8FNA+mnL{<|H6mAd4M1|P^H!aVr4Tdm)W&OaTlOYrEoD(`an`UV*yOz#;E z2rtC0ZGL@&JR;l+uO}R5DIdtg@#YIT4tqEVc$Susu){u(ha;s8gVdK0F|JQg>#r#T zYqF5FJ{~-k*V9lHJU8576TznU6B+4ov%01o*A31&dlJzc%fYto-y(x66|~kLeQ%rl z8B-%JtoaKI_Kja{D%w!rp^@&>J{JzBYkRe?-MxwqIghlze|;bi`_o108(r7_c3Fp8 zUuwVhKscp}u8AXn9vYZ~j{RLQSJ-{)wqrdo6T5v3)7Sc;?LxRc){!=Q)&|uxR0fLUv3U@)%(s_(^82o`eX&^6lwD4`eZ!sUa zH+ej#F&*2IYku<$?Mo2d>pW^XJy@N`h3Q+ z8A;2dq#?D#Eu-)ui4c_|t#xNRTG33C?l?m4uwF~0PTpv?i`H51l3C6TK^&Rtk_#qB zS&R|EubpU(u-rU@!lxBS8HsXe!s$=yb;s9fPW5B(m@lPcIu}3N&fA2#tyt=suQ#ob zQYlXi%YFMX#qB?Uv?JieK6gmjMPQ(B@ZeV%(8~ z1AO8=)hSjA>NZ4r@-B0_jvG>r=5<#ccxQs1b&KZJy^5E$*e0B$7O@B<9cTyOR5#N$ zzas^Wd`Y?TM{Ryjx^sFE68#lIqVCrR@^B2Li=KY>AGe1l)MIUs^W9o^PBjgfHiqWT zLr=?2_maL*f9g7JWJzsfK7r7+942*xN@4&f^@k|fpF9!Y(|KV#*0Vd=F-wHL)Q5#Y!^a&#TJ11J(LMPwx3sa^?l+|ACael|wLJ|~&ioCmldMJl4tUlg zT?zjy5k76`7$;l(=I)8ECK_5Eb(Wyyxc!dZ*61QCUL)F~_`+DEt*OB0H>E<|UEb+5a1 z>X$VrRlBh;=LFsQO3 z+pFv!D=~US0=A`D1N;*iR0+)-S*^dmLH?L<=HcrbnDpt;0zaAIOj%pW%7CBVXSj|K5aMmHvf<%Wam2750e?5;jYx zCv3>qH^>O#d1|+&65&9;zCngM&yBr)!E^I3PeOl%{L2RU9}fGoodx8ogn;lrM;=yH zw|iAUMEIW}gVQf2Gp##X>VINwy3H{CETnleuLj>CLtNF!{2~p^Mj}+ZPD`$e$mW{fDaTCuO7bxd0^gn zD8$mvbF&b)wwz~?`8Qwb8+)aOLd=A|EB2^8V5>AQ&hNxJLU@G05us8D(RxYHTXQPq z&Jf~3^D5T5aCW468ankV!h8%dZ%LLAltIkf33MG~zAWvM)>1qq+y?K`4#<}>gLyms zwieozzT0oVdiYYIrJc8bwSU`H9MsC^HkYGd1`TM*hAXHE7_*?C5H$Ai5 zo3rF`^HAt$hs&An5%~aw>~WcgvN4y>!k%fuY2NCPRoZ$EQsqF#jS)K$I-B2wA~+d*;FHsG?jJRf=Phm!AIJ{xD7C!9~?%p8$hPj{v6 z3kjdPifwUci6hOf2(-8}j!zNkfSYUNq&}QHU>#_ z&4nxl9a&IHPcQPBO6&zSlwN4wehTRhlf zC~IfI5g9QImOXN~3aPR34YhA?c7N*hi;Uk{*Ecnm%OS#BBnP5WF5CF%TT0_CH?O2c z*bz&Co%_z-Z(eFQ_^dU@oCn{sB{R9HZ3f!lV<8PblFnR3?EuigAwE}H+u&-Ak^fLB z+GtoJdRufC4i6wRS1S~n!!DIsXD^g-A+!rChRibttgBl_Y~!L*FB)j1E%D50wRD-z z@AK&7zBErOth(7D4A9HSIV)Jr9hv~|^FzlXHs_JiM?C?irgxZP0oZFf zWgk?F*#lE@hfdh8puKls?t@t#R&=yQIO$&?nXpAxX2I|T=EaFr2SBjC=N&G8bnm<; zQ@?2mkr!?KR4nH8L^>2#CqQH)n)t!knB_3nru4~j;6cTZP}gRCrzvn=+fBhiGTYs( z*ZB*PwWWN>ontXX-5h9-XmJd+ArlSM9M}QgS*r#SG&v||u2A{Hlj?1LY?XrU(x#6* zW^mnZNL)4x?~l_LAHvs7a1bd~;PlZ}*+&giJ~q>G$B%8fnZp2~8`l#F&JpHKJIk*M`how`~$4 zqDd$fi%BSvj!6jBXC|;q>e(8z@1~{QLrnJFG`E}3gCbqpV=q~x3pUI6WRClpBg%gj zn$A2a&GzWxK6=(LO}Ldn!c%t#;n9e(y3In>h?(s*$5AWH3eDS*Mhpmlb!^^|BMyOv zs;cdVc&=&>Yjco{r+!dtDvtDHI2aO#>@ggys!5Z&nNx$cqpq!4M!EJv0}CBdZcB>3 zp?PP7&IuzH$kv@4w91a7BfW)TMtgI%m`a|y+8W*?X56Y6#;`~y!EU#`XKo{kiCK^? zBj;_9+0xu28tFhZ?-=4^RFy9=8>BX`+*t}4UDoDvP8&-X;hfH6oX)GccimLdHbackO?HU@Yp$4@XbDA`MIezTL#es zv!kt24Vkx&aE(y@jJWo^RLs&kU^F}Fl7@;6Swr@Uq0$C35gv=@Vq$e(&JIDb5p_A- zYni3GX-DErtSx;@X2S2!M+2RU^{s7!%>c(5@fg@&_DItV6ynZRl&NB=cJRpt3Rut1 z^Jfr_i$Qsda1hnLMZRgZaEpU3O>Kn4sfs}yA`OwD`VT=5>inF}Kpn9Q1cNrU73#N# zK+-aExKBAPGl%<>^D;A5JL;O6baU+!E)7FqyFUbxgu0({=Z55WzloNV%py^82d3Yx zi&;V%M!M9MR5#?M_6c$4Zc?#{Cs|OjDN;{K#Vo9@SFtI!0!hUHNyStQDkjoUu}NO) zUeMp%m-^?oS8DMTl-j-Akw>?=YVnJ3x{Y^7{+lGT$Av=dlZ4>j-zW0Ng#9KSZqa`t zgM>XeB$IJ%`$UEaBRE845DM~%JRd*+s&O%WGFM&d(6<|JEz{A7H{KWHsuGCq-?h#R1A z86Q$DpVw4#%^%2*)J&>~m8f=Kby`z=!YA@GHTP8i4Qr;f|Ltr3OGQ@AAIRT`52@AN z!HN$tWX&NH23V>}g;iuE!b4aJ9{fglDanIh?HRo}g?SiYcPLYIh(UHtFQ`_3%qm_SP*>jYn=n#V}_lugC5wL+`Vs&npN{6CDBtk@oP#CyTAb}y!fuAroJ0UAp~bM!d+~LA(n?$K_1nvQ12&QJdMy~Nxg*_ zU|x+>YCT)(nnd|REYDL44Kb*8p&=P5T_N&$A*T65p&`cA(xPGz8bV|wG(<8*Xb9Vj z&=7ty5*ngH2n`WkL|zC%bOvRk&=3j-VII~o%@}4Rh#@w;^hgAP_`P2PBEH1wHWipk zuA9g}WQb&r$Pgg|ks*>6B10q_M26^_=aC^CWsD5rfMKwN=&n?KArTp(VhGLPIAiz* z#~DLBIL;Iq!kz)bai&rjXQE8CU|@*kgEnR)_+T;eN@W@d43Rhx7^12M0z*^?fgwT$ z0z=dq1cqcXk8ifH5CcPmAU1>YV*m%chJhg*dyE6I23iKV9w|98gxaLY5VED(i6AsX z#Sp(C%8U$A^#}w}6N!)w6ceiq4Y^BbtalI^!dYb`G(=Po8luJ^_Cg5aGsFW!L#Xcv z^x)))P!I_x0TBo?oq6gc)yz`VOk^N3q*}JkE;2;O^T-g13Xvfa48ksiAT&dwVq}O! zg&+^fYT>>O!pca5fkb2o#RbX|4r?be5EvpR5EzmX-#Cjcgl|aG85lw)7ze^3(;=mc z4AIzQWQau1`V-<8^HdBG93sug5DnRg1d*HpkPQ?Ps|*dfOK41g7#bo3fgmJ25*k7~ zfT1Bm20}yB8ia8Aqk&%Aq&2SJ-KaAPn^uxFgXf$I#IQ=LZgwszd71K|m9McbK&phC` zujgvaq+>LQkb!6r)s1Kn$#2mH`527`5fwy(02zt~QQe3J5#fPokQf~b1|cvI3xc*N z7DULI4u`sV|` zW}A~{9Ej?lK8D*s90+S?90O# zXnMgY5GoG|Q^8a@*+lyi5K$m1wWXtBr;Jby)sAouHjqIeVq)Vl7x-i;3WJm>Fb09# z#XP9+Gmkrg41{6iLj877K_FaT7zD!gML{4^%aPhy7lJ@C5;ub(Fa}~!s0sq1O1qdOVi zFV2PI$p|!p0mbIoj07jO=|WvFiE+aSV0Na_*e2P}9Jq3DHEvyH!jErVsnGVm@wCR~TjE>O2=$Ix!wdSt=rahTuf5yZ1Fk73C2a!b z#FnZD5{J_&wT0V8l(#p=c~p+$Yqd`Zn218+6Ljy=8SI$3jnChlQI>Ogem_EF7S1SW z%o2VjA#(_9FC;ebl)AO16MAc25_$UF1dalf zH;IZPRV*GK``AdgHWR@m)qwKc*CRodHduaZoCe)2s86KC5F44I4&RI9s&E9ZZ`Qam zIU)!@lum-cj$}v>bDNcN>u}hu)_o%L^J|Y97VhIq1BJ%=NQ?I~oJMR-AgO)!#PU|M zj@t{+2WOTxgYHKxI9$W+72C6$M|PY@(-!P=1RX0^L# zOGtfwqhEFJaR*95tZAY0Z_4v4cp_79l0YO*g@>BwXSqEUKiwS|GxjD*ozs|!_g4nn z;s>y4%mm%6fu9JDZHKCwB1~Gy9D!LwWahS~%hH?EF3*R<9IKXw!>rBW;#a@MYLB|= z5f^EMoN&3Y3FoEezjeSNFzLuG~--|4^36Q;|i_Xx62j_l$sEY?7B0~d}Fe6x($ zdSP8z_}_`Swk=PF%$;$3Avi+^GQDY}d7+7G3J6bWj5NFLLM9#@wINJIyV+C>jLxw& z6f!*z17wrS(fqDSrk04{09zg~cf?IMa&aNynac$`g3W#68dgW4Xmt?}nymYm1?WMTXz(SV< znE)h4RZ7A*=H(`@J-h`sG5?6qa6UAEgZpI2Ah9`*c0ezs<$ zG*CTABk+m*NX?WaQW^+h%^%3m*8I`WOfK>RKFfc=AY+*R+-JEi$L#@6L;43i4e1~7 z%t&NtP%&JnZ={zkU8pytf5CHI_WKK-2K6s^u1meW;Au$zf~O(37d#E>U+~Nb7(p>H zTgPAUloXMpLE^v_f5{B)8-2qp4R=D{6?@bku!SrQVjWo;gdj_UN-;}=1dY4*>I@%hW8q}-E(qKscf~S;0%fQIpp+Ob@u;8+bu(p-`ja&#DFGrUUWj94ZHLJU`Wu z)N34K3MucW;d_#nkJ~IQ1V`(e5)g*=>NV2XNFn3{rNkLoY+xM+e<|ac)(K33Rw$tPbNs7SHNF;DyLKa;j|#W$=81MZ=}~$4N0$Jp_9< zttxo#Ke>n0x2o^&++M3SU$5ljv_rfjYyi;PGbFqvyhCBTi5Fo4HPE8f5eQuKDFBZv zTnP@$!H<=+ObnA=o+#udsGh(iI^M4v=#*jHIw_TzVpNf=QCDk~VVgZ%H~i$$1H6M@ z$pP+})ObrDxCUj(v#Uyg0!8K36X|}-&lCOwd6~Fsh8;=nEP4cMKjNayA5fWFoD&*I zn=bLet}JdK$awdB2|zM7plmRZj_vp*PRLGT(Zx|?i4Encp!(H4=v2rdRd<|JL2&^H z`kB?_jOsJvAvYZ+Zx32a{5<#aj9gp zT^QGRH1=@?^YlBXKN50v7bvwhF`z0Z$%y$Y^E^-IqVkDry%H$*#ZA9Gylp;A*Dj9A2!&u++n6?uD%;A8@_Y($&{kgpLz6K`d=4 zftW~MbxrdlaxTfX)e1?{-UiQFZnOEa?Raz_z^xC!$p>k5e)DFWb#~aCFps zU^J$fxz->Jc2dMRiE<$EeZi0nO;XVQ^Zkut@#Z=F%&d9T>qKp%t`>gLiLZd>EHQ6z z+-SyrKGk~iXc7H2Y;)|hzI)M$JTHq6Y`>X~S28(0tIEeIy!HTlPsaZ5*&!G``YnUA z)d?m4j|GPnFY)}b&-pqAchPx+GU9no$4Gr1helC_To4B*2SIycR3%?K(Y_ZT>7{?o z#9dj{+Nn__x$VmVwt}rU1!_C1qKadF%rjxVVw?AOkHx=SWen=p_}ulWhRmG$v?Fh% z$VVw8K4{Y_0?BaL!rKTw*EIA2c#ll5BrKNuGG24$8-tKbSQP&N5@o`#21d{`1%M>e z*RV;iFmBaK?u`^aefvbs*6uEvn6)J#{JS#@Uq6wgCdo7~%+r{~AvavOt8_A=R#BD9KZni^|!nVNx+q2Cn(y z6Hl>N_Qw)OJyhmBBcgvnrneE@{k^QQTTV;%re|!eAmCK!bM2kVNGmKh*=-uDBmC0q zyck@h#M(&n%7MxOfs+SG|20Chwt6s;x0Zg`tZ)~ec#AXanIp12;GXsMfb~PK7p2;c z#mAUyR)bj)R=zPk94lEm_4;CgDoR^RQ0#uXu(A#6yMH!>+{R^-IGlcW$Ry#F*H!8h zx;H_oXRIShiL|KY^x+>`^&P;9v|ua7Psmq0aEoiwv^#gt(qPkVi6Aq`#B~Wa-{DDR zD&kz^+m@dU(2$X4MN4yWMqNQRmN&C+=4YP*QBecRr(@=yrHDY1zuwY$M7ylh`Dp@O z&zNqTvu@dJJ){jaR25edXQMe6utD*v6odxQzicU38FvZ`{fUDDg1x z&Q9iMY)7&PnK^hX9sGhEkR*FIv&$mM~!&3QuEojnkC)KBs zW295EPbRLi7vQc=q)};TD5~-orJf-q8O34UeH7cWscGiMK$7>!N-u!cAg(US=VVp= z%c8V)(?GFrKm&$w4MMj_o+sYG-P=R*D%YSA4nT2k0!EnU#IlXCFk4-tr$N-VoO#U- zm;n`}(L62F?j`Agu4u&w>FCdfyNq~^zSWR+B)=d?th6LEp@wgvVP=ycm%#^Mv#g-! zuEV%*&bnI4cnfYq?Mb!wL?johZLx&1-RDIrwQpT|vLK(&B6eB}_O}R@%=X?9$Psa~ zN(dx!d=t$y>pbv`8Op31Nx>d-1r?=1dUFJiRLCO~x5<{ovRogI&=z$w8wKvHQ<3du zjD%)ia0L?QM0|e-IdN_iJ9$j~RoYAVP)`S?ls`9%OTL)&@F(f^H0`v|*?6ZXSmemB z8}}4Fv%gA(!38;>#U})-whW?+Rm8ww%Su%;kG$syrzj`aYV#7*>AvxJ->08Qm-)^pQ6`fMmiQbR zD88fkV1(T1mEqsK$BdI&%ur6Tqd#|zkJBkTVs1}DU)V`2$ys5tJBn)1I?Eu=4i~MF z7Q!P%iYE!9=6{E5pM`%Mb}ku+PPK78JRw}i{N>z+)Wk@n!_79-GxkwK@cUX8Ken-W z%Z7#fUtNjYR6eNqP`+-Ozl$2oI5pg!nk|!_jr8dmx{Pb2YF0zONlWC#6DK!+NCuGK z?D%-ph@Xb}3*^uHd?}fYngoI*9!h4Dh2LMrJnb3|-D-l`?G++QM+Xtfo_;Uwe)51K zOuoidmQ~tYU9jNfCp~iqgbtMbFBdTL!rVBKG|i~4D3|5?I8#DNMz+~uxx1Sa$|VJW zYN5(JLboY`hL73L<-5lMWG9UwOquXDoyakFP)Hfn~|y9zhX->*4d-N@m=@685Y z@Yv5~K&lY@WlJu1L$PGU4~UPFLHKmf6(;ERQ(fMLqbE8oz5|1y=6Kj2KXhw$B|_?k z&Ar8Cimaumz=4LZn&jMgsa^k}9@wau_sWKYshU1~+z3f`3@6IS{*6;O^rW6&cn8?| ziFFyzCA99dqLD_*gu1Cl`?y*8B#GK`Da)p&>NL5z?{LL|;xTs! zWeCyVDoj2(q&q;_(ez}Hi3W~U+V&o}wv8m#(96`B{XWdhLB09Z{1K83t-H``e(WEE~yKu3k)B+vvvvW!fGuW zI8>p)faM1I*CU$xQq=F=0qzuDs9EaiftNQ-Snej7s;f{0pV8krXk&;3|S`bWrfzMTN#aSL*c|&w_>x6?tt2B)#q}VAJcuj zlu2-;CUc{BZO8O|NGt9mM~ZVe=ULnPI_K_&NDvf%T4rQ?tfCJkUoBxf*g-kS#zJD} z(2+Vy5YP}~iSKqXP za1<9PV)e6>NZBp=1~*N;=wxSp)LL^yuuXJbZ#rSuMmmXmAf>RF`yfRb8KIIlkRFh9 zmsg*?V}~^u?O!U_Q;n8k+~dM$5Rid;X_6}c!aMVd_C@VJF(dn9*dz%-jt?!;EccCD z!{1iaYR1WKblFwHD1OTJmC?+drQbFivb(*vqLz~H$E~? zeCeHyoJYZb&Aeed-+1?IPy~bE1cNMT2giidZ~xEr$qyhS27Iy0IY_#RVh$23PdW zT}T0{4#>LUsjFWG^4L8+Pu>Qd4N1&#qv@k0=s6bAQEM&V*)^P|kl3FRst53bnA&#W zmg_$jQehX$F$qQsr|y`Qo`FBSwSbPW&pdlCOltKXr@)r4^F#3-u8)S4vtQt+zoaIy zBWt0GGNqwzFBr@#+>R*7ES}p2V#=4ZBxv5 zw-I)j%l2ZC2A#LyA~$N$A$Z==Xl z9F2jlO^m9-2l*)n=O;|`n>|sgO--ul%&<|RHzdFu!|DpTFo9m%v|HR9-t>s9_G?O% z$Ys-+kp^EbZ-K3WaTitdz@IoU10j?KrK)c)c)FZJ8O#t!k)>bX66qjRz+HubvO5L_ z(het|u6LN-M`i5-HQ`lpGr*gPI3!@JtlD+a0Byas50hzwL6%b~Wg^zDMRvv!9u+gq ztyB4)Y4DyE5uRG_`ijG7Dez=uYYuEQtW5#8M%YsyF`^r| zcs49Nc?;mL1j%E>5RB;+793EbdYJ4~rqP*>TtH*cVI~AM={4Mewi?N91e*qLO z%8*_YYc2$+)r`j**J2C(YS!=r->K^t{nkxL55$)i6FC{7Y5c@W#hFWltSMaki8auc z$#!-_u}AZAMz~cj<$HP{z}09rUyt81jqW>kt9`k=IG zMqLr-Gl{>@6)p^GZ7LiS*kV^uI40oju-nKdcxEoo|5C2}Mr0zgtEbZ`B0n&m58y8!ZKj2&qukI+%k`BzlWNE$u*- zdNqnGqq<8^bTOJ_E=O5$3VZ8bi#OUFm93l1PsXPe^ld7d*TMmN;uExyVWsHtc58P^ zi-6VLi3_C1O~(YQth=*|9g7KuEZ!TR)xa6*Rb$sLF_3rF4k{}fhsNHo_RQB&k0C7P z8u5|c#Ig=I13@C@R`v2tRaRBejZf@*;fs3ym!aqN_ClJ8h0n;ghCZGU1UT=uRF+ z$E^^F+Ey(pjlhYGUXyd(jB^i53v1^|qqpcvvQV?@x@+Hg^9T^EQx6SM zjUg^4x2#U?o*m$we=&u_v1PN%ii0hr=JAg+pY*;RScC>FHazH3P1xxHL0B~PScup4 z7a_guXs!zOY2O+|r$DS+-sEzSH~y5ma^pn42+j1vtT+Lw0|-dbl!mTlm8&bm=>nzR zgfi9(2ZjVr4^Ms<&J92l|Pahza1(`fpPs&jSPc0IZk^DB&;cPQl2!Ti((ur z%|SBF@!?I1=(ck=GelPD6-IgIoZ7Ut^VyzcSMogHQOtA{F1x#=?@xoOd}{C8lXTZUw^w@} zg}xU{hgepcqbu>M8iSY$U-m^eqA=o9pVw8mAfKx_N?s4__5^Im^yWyd%XEKW9C^A5 z$4sIWp6Q-!mA~tgA!C^EZAjPAcLv5qck;Wa*X12g5kFA7d12?a{hYa9N1ea0rC1+z zI4@E=5hkGLCc+Qr!!o}za4jEfT!T|_G4dFu*NiLz8-snXz>H{2G@%mts=8s<(oJ?X z&SXd_vDhE(_uvzsKmdq@2Sbgl)f&2w;@qy$abx2$ey+-8&c4JSX>8K*wpv94dhUb1 zzS6R$+PH=I^7L2Sxp1j+QZ)2SErkMZu4=V|aW6<<*0#6!re&|64j2RcPFzpFa1_AK zt13&+t11m!k z9?iI2z7QH4lSb_yk%kimru|Hqp!p_4$j&SIP`{oC^Dw)f zZa@t%Zpcvj1ILlmF5aiNQ>Ej2A-zm;+R+n9!(o8#&FS?Nm7xUMvXp%LZm27QzWuFS1H0F@5cnr+@C!gNyRjq z1{U={0Iiqy<*}PaUK@6|Y#+qTPCLzftSmdX$*!{SA_dOU_Tb*B5_NP1~SUuzthQxVaq)dBMSs-VYk4-^YDkXXwhmf zD;GDUr{pgyW$Sc-Ku)SaATML5F5=MT{vz$~NI`wqgi3nV1RjJPye?{%Am~Bm*-eux?Y{I_BCivA`&?Xm6(y4NXIbw#A&uBSSkK z%}Ty6#Ak2W$v8SXUlMWA|UBKGjvToYhscYw8?yNH1~&sHNkEYeUydpa_^$o~ ze2y^S^ZzWi<)~4|KBxosSkHPA1J>3V-ut_zXr$H& z{)c1z-H0y9Y-Pxb^aQ}sPN$qYt~_1>4U(JJpcJ-EpmDyO`4M8#VV#8iU&Jbq3eICC2D}#}eaU zdnF@S)WS#*TJ%m_U%zk_z}BQH%MaDWxL{X{fNe&@E@GU8GK#`Q>kx(tOObK?YB^^= z={a;1daIk*V{GtnOo52fxf3m?1Xlt>ex#sA>e?wiExvok!_x{FflBX%svbJhUH4o% zHe?h|=62t6Ngx{%7r5;_u^WoO`=jz7#iMRc{npt(a_T5pCg@)$ns`mV`~cWKGGh{0 zlQl4;93e*({UOA@#Vqsy>B90_4PN@iga%8+=6K*|eJ!|A`iUC})v_@V{CRoJju0GU zA(;*~TNv5))U=XCi!_LAomPv(YWWq>BaPL9P;&()r_Xh1Wti;W1`ha++f|T;^5{6a zRA(@~JSm|it*lCwFi}BtBx<39h*q-noV~2piCCeIj8f=6wF?N%JLNc!3AAjm_%r`K zBbI~W(wHt=UcX}(#?*Gd4ojE@fCgR11mz4rYd6TcSENDTJb7~43bHD&rmfI5E3k%f zP5iIb0a#{WfVsjPvtm^$LZ6Ot{0+x9&E@Kc8yyMIg#x#dGPxNhXJZoDHYieA~2iE&V8M~Wj++G@{Sh^&`+^g zeQmrECJe~Ny_{oK5qKeJTqrI7{Ngcsh*|~v3$4Zi|&edA|M@vtKv0V8Rv-{MpcNm2zukF6ns@pyr@rVD+JM z_t_N7%wWkg^ZER&UUK!BG1e6^#p5LBPv9_f6Yk&uvn=(L$`GKYFXftKH@HY(*zIpk z9<^DGKX%x8#pOo0`6V0%hu!N?JP@(f^%0f5#RGIUvC>%eCmh81 zdkPP!1yImW_;%p#uDw4$TgEO|NQ)d2M~9 zF!cGwmzP_t&D&omNp19hbKOKHa^181Pu<~x1ty`o!?86il=vevww#;eeN~z7K+(C5 zs;#AXGyR7Z!b&yY8SAUKd(JkyvFj-}9oB+36<`T8h}76lj0#kbM6Ij8V!Nzd}#b*!TX=$xtf zF}7c>T{8;w-!c|)$+61M+(-B)-!*Xl07dfr{)KZZH+o&t9ki=t1bCJb9U1W5OQA3q zG56Z{mX!Df7>0e{uOosCe44^~Z^QZ5_q-)P)%e?>Jf;1>%*yc{01A)X63KB(>HC9y zU0;^3rQ5GMa7kP`+_`oe_|h*gjG)FU$;ScRk9$DmDP6)8vIh}E3uZG#(?&H-Lwp)*^wx0 z;LAEcjkvzQ5;ga25Hz0j`p6STmOL%AlkoMtXlHh!ph{A(CM}L{b6D@|;2Xd?Bfo@! zG?+ZzN49Tj$RqjKYfM_IhkVvMZ?pyByJnrY|CuM9k@q?Z2LC#JS-dA^V)D)8;*AK` zmWKv_e_eB7lmh%@)q5=6z3r~h3zGu3uZ4}+uW{{bQpm8rDI=$HGWHflOB%0oY+TW>dQcG1v6P_8kAP&(ORQaF)eY1Hcm@GGvEJL>&cWPId?|L!xv3t&x^!t17$laHuN zPvW8R_}<)am3b+f(utziqlS}PC$jO(2)0gUiOhMi>iK}JqV(Tfj|57mWNo?V&GgCb zA<{@JJ}srloK+pm*moo*(?>2TaC{d+zxUJe3eUUxm71Je6ysf5!PD~im|;44QDp&L z*iE>RIn0s$N+6Q_>v@Nb5TD^D%@Ilp^%F=4QAj47}-Ktkap zft+j1tm$MRBCyulI=wwK_yvp4_CWQ#%)aYzx>P~o9ePa$2rVOLulo}~-~|~A_+WzE z8GG!SLREPQ&gs+S8)z!ARth56|F9{PV89snHdRJh%?(V%;^Tyuh21dh=lE=*4*%MP z`Cqz713mjre_%M1E^C{S!ZQCL=NN*D9+L*hhdxX2A3SNJ6?hw;4rbaA_9>vq+f-si z2;kltfcMWm(qLuLv(k)-_6;y#J`z9qi^0pA0>>`A#JwZQ74#i}RZ_V_IVW*U);Vt6 z8!}a3Ss}0b6PcZ}#%jP?jZptnY|Pz`jBYul12|kV&M7IxHNJ=|!h2DHU-E{pJDz*M z-Es$JlLylbFXum8tx&v&L-t;kmGiN0FqIzVMZM@+Qvih-_7#prahNbO{&r4sz>5~s z4}EYe>P`$=CN%Yk*80$JQ6nL0wvOjMo{u~lnmE=ebb0z$SjDEU#c!&O4xvao9Z0v9 zd6LLD-$eT*ugQ8m*v9f@7G0a+G=ZYFov~{OU!32gFv0gad@f zTa{07y?i+sIwnVX0VRy7*&SJ3-Mxahb7Edz(ONyT`4O+r{j}Qp$YsZ?JDFoFIXv zdSpnwAVE{bE6`*d@11s}V50|q-u>X@vu%N7MU9gjM-WC*+l%KV*=~ZjHG6_5)(=Z} zH|^_yyD^$6)JcJK2vxN^p-|r?{p{=~O%Ap=A}hGtjUCMgPbu1Vj?f4Fj?v(<;E%}c zQhKKf96zkNnmRoXvpa^kM$SV|hqQ*=@vWFOCJ;6gx#x)>XDw6s=!?0};|lcT5I|C5 zB9?+}WfJf`{pn$WC3&Q7-XFK;!E$H**nNsM6)pE(j<{b{v)_ z4;N=Q+QsvA+)W07!3&TmB+t<1%p*keSY~W4gC0pz)fm#p)rNzSbC@38)acPnf$Bbv zm(=@^Q*~2YE-av@<%tEY(oaYKO3vs%WUi#<;7QYs5wpX*cljVkK$8pgYCzYuD;iAC z_sYJ_{B31#Gwu*rn60lUb>8tH-8AP3y=48v8oHitr2!2Y-#BbCAD#g+%%8`F8JG-u ze&!~!WGAnrVu3S2VfyyzL6xl72r2m7eD*5TLysGKL^r#cb>6pSoMV6wUh*8;HX8BQ zp#J`==2uplUzhGQk|5>P3leVYk2jD^rj#G#C5u6yhB0ts5{jku9h}h}jI=Bx^xiu0 ztGUiAOMa5on8R}av9K6sBf8#J3+-FCsdNWf0~B&9GKZaN1u zn+!MohYmt-Nd1+T7V2i7P$7u<95(PhXt33u#&$KJGCyFF(F!CwTlV2s!K-Z0e!yfG zT(C>OI@+++IDhc~PXTg9j-LLgqtIWNin&9n5jkqWYvkKy5%F(!FemO=vc3h~ODA9* zxr+c@bA0`V>!?}$0~gQac3Wf^+_*{gQsIh zjw1Ahp$cGa-J>;ylX2D(_Cyj0CW)NT>cPwyXO4+KilMyndL3%#mC-$@*ryp6Hncxn zbN-4c*!(@(e$Z%A_zr(Z$TKa(s#7W_Ci>*3nL_~yHdUjtj>>Q*no%#87f5GnhM|fF zR1OZP!3ahr!2d;4MfK&J3*^iB`7C>tGE#3d)w9a9Hj+hJune&Pi(S#3`ZPvhYlpm& zrP2>%2A1(E2c=P)nUz2E=c&l`u>H zTXG<#B^0#%i>cmrN@3{ACPC8+FaMSvcx|(bgp*$%34B8Q->4tY*Gwl>V=ulOSY7mx z5GQBJQLShx2h4PMvrz!Vf_lY15hihjpq)!^Z;ExSI~7ouFq}6}T{=%E_A#4NWbK$T zEt#XDI-N=HRO68HgoYFaM`%z86L1YD;S^_oyTI8^w*CyR7*}Iv;@6zqirlHI8fd?+ zt9py4e%iN--}J}5D+M7`8fcoDud6e>KTS_8fMut=AGQLv-Ts=JFfFe%D1R_rccRCt zP5K%OGi$E}sE!Lrz`WXh4Vhl!2)z->uNAWfWM9cN}=}|Px^H)+4WD7o}^CiFA ziiy^|@i1j^EZUSpMHL*$IyLMx05%%M<~b70s~&z7*mUP5W8a*_HT9CSuIYeV`xB+Vcav4{cw($rMcS(Y@es5(tP@%(j^ zvU-|j9tfVm&U~smrE83Ca!N43PtVLI6F$!0RUPS!lp)qbVFe*6Mz;B%zw9ES#;J9j zyT%;iA&|#CsEb*h!fY<+R$lhX9E@T*#V@1&*)u9kYP|?Hpl%vopog=1P1mv_@wRma zqu;LE9_P@Ca8Qlx_B|m%<~phy;$a= z-2EeLUWAIOJ~3=TBi`^nS%ceYGXJDSom7Yjr{Q)hNfJIar)pg}T7-lmYG?&Hlb55x zu3@I(KYesT%e0fSxN02rUQc7%UXGHcT>QhD zIudQW;Vkm@ev$GgCnWHxySftr+bEmmH&NeBl>Kzyk^7^1`sE@(Qc|T@TU$;V2_Ktw zZ9?u1)=+#DNlU7O*=N`HSi)Hptzi_)>N@2OcuwE~S)e(~Z^RMJUh86Wf!(wioTAM1 zHq%LU(hwt!!w(^Y?drc-2U3t;`nbG|;08Kt|#=#p9v3 z@(25ky7lbVlj`yB+tT%sqT*Qa7@%lv^>83I7J*~9{^rSgmGtN4R94+Odcn*Fkm2uY z%UwG|tOAmFFB~<^s1jFRK`EZ<+XNvm3s;7zifQwnZw}|I^D9Dy4l%9CJJ7SdSvAht z2!C><6la9Oig;Ou?sKNH;j}l}x6NBeD4`djT&knank2>cPgb?fk4)wi@Dmn#_aoIb z>xN(6NE#jecA!OoCm?_(FqQcUD2J6Tll4ne-K8wo^-HRM4j>>S*iip3W8uHGsO!Jc z&VFbw8ub}39*03;IRL+(OUPhc2)E(l!3< zfSQUQW+1(R=VTBX8ft51ud%j3*#>bS{!NDB_H6nNN{&9`@RulCt zGZAGe5pSEz6YqQs)iOa{t^cPv`yaW_>zIq}RQ94{R_Trc<<@&l3jlXup&xtldg82@c z6a8&&Lz-&a86d=xUz*(e1R2^{6+4gbhr!8MwOj^5A-x(o)Y$TuX_-XO1G||6m69T4 zVN#G0L_Hc9ic<}zzOsz&3vL0{tJL2GX6cz)J6|zW(Cv%^q8q-1{Qwy0qqgswQ>YPc ziY{soJqWpTJ(k^R%>N%Qw!>Tpb+{neykrzwDFv_mR5>fNaZxp7Wvb6nX0oDQK9Sp znBdbw;t+I7xWsIsYD6ltI!{XLCvcuK)FiFO)DM8jhX*jrA; zpva+jFE@RlxC0j!8ZEeWRmL`$*x?Q~8xdo#bS3l!=KcBTQ9XCM9lzPjJ6@}F9T)ET zyF{Q_LZ%tvgP#kHt&FKGM1mTK(rk-~Km}N41>SZOThe3-SxdDp3~Ne~U2YdJRm68+ ziP1UuwnUjzBn6VMFCf7g%AbXD-6jQ0gOPk_(E0OAdrLyyM7#o9@^?>I$s*LIYYU z;THU;*Gz8vs`pit`l1Xl){U7xFHBf6&@32Si{%Vr(z2N%oKLa6l_JA=@3d>16gHmF z)CN!(Oa6?!(A1WggvG}()vYA;p=4>e-&7)^ezo!O+mJD5^WQx5-UHWBU+g*D5l_jl z#;1@p3M&NtI}pVt(Ys`};+TAHXR1%aY3|lIgYXKr;UsZ$=L!O*e0<4)AEkC(GqOrY z6670Jo^OgVP^2JXpVG>3V$zL($&t0SLv)-Ug<=5g^pveK^}C09ST`F%;o=ikJ_Eq6 zG8_r!_sQT&K$fGgzX0W9+0l~BaZTHJ<*nR{OF>di`yyQd<6_pIvgrmL^?Z}ed&t`j zKf{I0>5tN(JcaQOV|k-frBklPn1@-eR>sx^KT40%i^4_?5Eb>ySz9x!30a!g&qEVk< zrQ%EIi98#bhpyA?*0_2KFk(V71x@@vzZNMlwn*??fixw7DHp1% zkPZ)$!YC^$ni#j~Qj^CqFDFm5ilLXYQrcy~MReEXLOe>cStV&GrBok`v+qhf@jOSNm+CnX`(l37xLQ?bJ$AFVs&yW&RH;O4M3qQ-Z1eq$E@Y zWQ!-ISI&~ge{>PSxHWexaA<&T1&D{AFt1f!V+tK=MBOQma+PYL@X(P^Mk@W%^#e_r z{2mKa>rz7X@{n7%8dR~7)N?{9h(&l`_?{pT^{5%pL!a58X_i<)7udJ2CSM3rsTww}}3ES3)-1I_G%uN!J|nYbH%ea{KU9A?iwH z$X)yh=up?pmIUeR(3Z4ek!@QTg;j}CaLg|S|w+9JTCo6OT1Jf z{bqXkoiG0$jFZwT20Lq{ezgH_i?DFDO=}&?drYB47!V*6LOmP6-6%K3UO}Ax zcV%(sq0A%s_UgPs)RA~%+65(nA$4pOZ2F1QhdO8!2wl*yT;@>`o6CkcD2#YYM-1N5 zizhQV8A{+tCXXyT_BIzM)2<{IH{v~U)j5Jq<2l*XVuoZVQEuPwuoBWic)$J)lgj;E zqF(TMR207@aw~Ih5$A%`QihY@n4{}s14y2A1apyf#qyIq)3iy5DjL0poMio~rt{L3 zQMUe!g@XatbIJ_od$Q;9F_Cw6<{||64d_SBJRP4dlUDYxvCxiz`x7k&H&7<3ie};3 z5SnA}M#;;*t)B^p3a}lT+rl&M6hC+UJIUW~$x0i{V;(uPTS_F+n5(QJVO%r!hYcS> z?%*c$`eg%soTS@It(o$tCf-=u&yNWC4G>fUT{a#SgFB0$Yo)1~qD*lyNkA5-VYMr= zmfa`^`~(phW?BcuDL$g=txe5ONSPH*9}_r zH-xjWz|eJ-c0HcS72GpGn06AV<4sIlCNnLMH9$xWFtZO@`K37vV~z$rLeBfSA3h7T zeueUaehaAi*Ry|aOx`CpDS89UjP195{j6C~Q&H7WEZBVS@@yOW1$OD~PnqnH3Y6PwYvZYUw7i2pBGCAex z_ajD+IF=#}S#}mEIjrvFqZ|t|d_(3jmw?e_`Lwt}8I7d^xr~p?lUpK=^~wTBCVIFH zltpOWvujkLJEKSO%MwG0`<+yfGmdhug_8`H&v;!s?-(1bJ2GPqv$HRd$5XWCkdYk3 zgzG0ls=cQ(Q5++{raIJe5%)~)i+(wNdxbIH;z=hA-1gOub{@2nY$x#eZn3c1lVj&g za`R}*RLZu~6T`Rj1v%I+VDaz*@g#Xnv>Cf;h|zi5>V}HlWYZ50rHNxsYB%@7R6AuF zJLMwz3Dgn0Nr-`C%X6g`4#&Q#{e`8edpm)}yqGJRmSHn|_y!k*=w)3RQ2~KtbcxaKZPr-!oDL|R47WwqAm@xd0DIMl4 z)pC>~iP&w*PF~cw`lQrA(C=D172 zb4+7RQaPyf8M+Y%pS{`0A524IRRwsy78G-VVq`h@qyhMod zU@tny;Mc+eK?cULO_WywaJvP`a_q*m65Ky6I8*VhWEobrKq7)EM(4+> zi+63J$4YRGkNdTi*Hj1Efa59i+pqhbqPaXsNj#Nsc$d#EfzY9L-1e-#h(W}URaUf2 zp4Oi=*q9_W3U1t5<>05}k=8O3@R%Cku$R}3M-OPpb{%h)hr)6H=>lBkYlo1{ImS8? zU~PwR$%?J{m0YHDB=y;Vlonj*pnMjL+@0whR4{?g6VEcQ@9w+Yy6efOB!@V_I~VYUg1 zVX?s&{N^GS)3Po!r*wk_L6~UrSG-M1{2;nuphoG1i`fRN#(`#OLm+nZ8ZM7E64tcK z(+3W|DduJSxb$7MKN%OJJ+I*JP`0gzaW{ITeIWL_h$}K*ri(>m$-Sa*2*QSizG<`? zP@p`OsHb1NO|#`Tn<@A+#WvI+npW;ZyXxp?L+Z|zYne5&fL*?4to)cWhVjqkD~Rmn z&L4vuw7BVOioCYaDGPkbmr7U9>1%!J>)qOdwXpuvjPpHZGQygeEOE9!9$~YpNj~u* zC^DK%z^UXwoQ?yqu)#@{?#=@MFKGPnKT6c6T&hzAJtB^KYZ=R6<7u?;&d525ltmL! zV!(G5ZqqFLj+p&*Zca0Zq-v6_(r2+u@PsFsT9jKuNo{DPF5bzRm*yTBwP7E9g|eeS~+5Sad^m%k>N~E!+MTn&^ZLkEDaCi zP&EWjIOMBeaZEi#;>o(3WCL!Gg3x-lJH|2665nRFU^z((3>OWZU3r1BqE2;ccTjmN z2S?R09I+)UOoKF{by^j=j$HBP_BUmw9SWQ|M6o^uCt^=buy}LnRFIS~xhM^}mda$Y z|A-}LE23pvp%r+Inzb>BExw~3U6oYHjKuht5~`Prg%^i` zA(t&~AEjeE(*nsn(o(98TxKViSx_65Q5(}v-USz|Kdp^CL$AimzPWHHOw!4BQu*ZT zg(48ni0u7Y<8k&wbt#t!g|U(Fg6kTDhGJ1ULXwf27GZti`J0x^H9M@j0I2+vs{H6% zhj2(GW$+g)38QFHNlKz|rl(Ni*?Dz;yyQ3c3zJ!H&sFQ4Kry$6g z+1})hFvrX(nhmF&Wgpg`;S}0bYD#*CFqe|Ly-^?iLTdpkc-MZhwvjuH-Yu3b zuXM!B>&{6mKKyq_3CDEAaincCosOWuY@7C2J8AH@=`8nI3%_dTMfCa6;hu@W8WBdp)I8!8QEuDh(x zd!$P>{+Jr;!R{*3nYKf!eUM*JrB!J#ShL3m+71`OR%ZRjsi?w6JWWn+BQLc z(Daj;rK+N-7$>Jj+V@a37oRSeH-NGWVzf-}7eXP9R9VrNg@yS=tK_Brh;vDq4Smb8 zGWBk}t|SUJf(PdjYI?(Z+4AhXegnj48YStzI4SQwsr+=!0kcza=Q zd>YmJ1K6-L0NFJUr4JMKvurTrf#i)Zwq}f2mcm-TfJ%38k)+s4u`Yf{+{-7mm)`QN zi(j_K%6;kUbUS`?L`KDLi@R;iOvWFY^lLQ=0)%K4g7_fz56NMG5uJr?sq^Gx3RXakFQ-f}b7&19dRD75|60%Bu zel6UO*x~SJ3YU|A`KiWzET!qv+U?qj7x%j{m8-C&BRp1u%fbQGP%wV^Fxc@jpP9De z2VXO6q}puy`U}~-?k0;52O=daNB$@qwOtGii$b=(swWlhYd+;dyy@h#=g*S$N0hx^ zgof7i=0aPrSgZ?Wll7ifbu@ zgy@+N@zI+6*=NJzwSG;s$}HZ(q~mldKecW0dSHCTf2UKIlWQV_;s8bX%kzs%!YMNb zh>OASF7m|MUt1$e(BFV93}_>AVq$TC%6#MYAXz{e|Lt99D$f*;=dD%CkfGN)GarH$ zD^Du%e;R31eaQ6Hax{)=`oRg?2UJ+IVdbqVT8 zYnM1p?#rB9=mR3%`(nyC?}z==lqVOOeb!-_uKiPNlKF(rSPR3VQNvP>6_YxmPAWQt z&@(%9WCoc2IAS?MS%%oMw(pS)f;;egSz{Lic@ic+7*2RZPP3e=J z9iwKLk8MDfBYxfrrs0+ae0v(?QC}SsMCOw12`f(90HAkGJqxh0n%^u1f|=a3{Fn%2 z#_P{$*U-CZ)rT#LJ!TdTD4O6U3NO$%b4%L?>tTY(_bfovHVV~6a6c(DD1MKanL9By zHc9D-w~g5dx&Gx2WZa36$~0gpbgbLu%2Kpd*rV0CY&We5_!XEKbrrQa+_nBBa(f6l z6bxqd+q^*2+iyx+9_f*_DSuo;l||CB-7j+5X@wZIPQxC8pDhd@h`XUP@9FPd%B76G zU%#Z)u@cH7q#8iiEu^}Ox0t=81wU)HW4l?0rMz+s^_z`q<1&os+=Y~k-)<*AHw&iL zRq$j-xPQO#$U8L^Nfr9md`v6tXifZQWES35&fvDCeVP;%B|6TcdjL^D;x<{k|mCN#-ZVwiu^~SsAP73BmqwX zKU;g6hO2U-nFR~G8ZkO7ZCMq*rGuQ7DQ}CujED~O z@`uMH#%@fCgbj)WsIjt)r9}b)@S=Z)3=Xw5nPEAnsiRM)x^IVZ!YTt45K& zVyH^BJ4BF5!@=JYk9Wk1X^@Afmb!wWB6Q$Q_T_=&CGnadNS}@1B;ocSfhp^45IBxw zw?i>X{1{pRYr&@>I{`=}99SdX0`9470Gl|%cQl8{krF4I5((s6BX5wvw4f`CGFoK& zSO7V?W9WU2n9^TEAcjbiEj}=iqgXL zP7hL86QIAig>hB$Hv)-N>g4Hzs1TapF;@S+c9h?S#rVP@iCp57R3$ zQ?vQQqp?%57XP@SW*>FXGStdsO<38EhHmQ`YeDZ7<0@EV^uG1xs-wIMC_>sadOy%n z!70vc^kj{zyKKG>iC=a!9DD6cw+SCL`7H8z?6bGGzOeju%zx|KtRI2xO7cLW)w*=H zO4haxGh2oQIbZe!zN404$AEZNlbMBXo1Dc4n9oTJi-oPUl{KGggP0#~gTNkXgD@y- zGeuob6I)A@D{U(=ZKGhbm<%$bgDGC=v6pSIjoJW9v#Vi9M_Z(9v^6nqL#rokw5`k# z{#81$&Yib=0r!Igu|^DKOlcgaCcNm&l2o*<5J6=Q`u zF0h=Yi|4`HUsryInB`=*3T&h`wtnEg(}g?*Qgxuj_N$sWmxP_+#0qOE3C6P2ORaX8 zK8=?Nb9Y|$R~UmIA|%EBy_IT;4IL#jDn0u?&RK$ZQuReozrrF_7t9y37|5tnt0KC> zgSx__Qn*5c+d4C)b^f1`9qpT+2HS?34k4#iRrBkwQSl&}l36V>m0764q9x{s_Jq}O z4Ic@YCDZbt(jGQ)gH0tC64Y3b{pcdTP!YfkfAEK-#$VWp!a6hpRb?EQWpFn&l+ecD zSQEWc77TV=Z~`a9U}l|D53wXwQn92I!=Knwx%&bfsy79a{Uc)>5=rD8oM_T$2U%wr z2ijHrB&#D!@XqpK$j)|SuEDahXOPU~=X}c>DJn&LYCF3)YLJwG^Rh|2&V?LqU zvRWLS{oo99GAV;%wG<#cKZ%D>iFnMyo{V>?ih7bN<$LJE6xQA!u`dMu3e3@gzmwV# zoU2JRiIY+2jL1VolXs8;djyjly@WEI?=l*-@h8ko1)CizNaMs3AtK8<$p(gDEjeP@ z3znN^?iUdu>p4xO4Cj*s=&&~`22%Bj&zL=1Yi6~JI6u)E)e}1LsG8G?q=F@7UmfD> zxCUw}!%+$oznXYN@opf>zUHbUfw|r-%r1Fd$V1FL=FZO6TWPanYzJhZbK(ZKH&K zo(&(V^}(-1coXYy^=W1Qna8bxH`0`0*n%lOH`?PvoWpj%A}wm>YAA zdaaC67|_2m_%Qj+zM}&tu(bA;WvV*9AYDmHblw+dY0V6np}HNHsjO?rrUG+`PkQ0s z`Z^3WLycTTHoEaIHz)&j9+W@X8;T6dH6^DO-EndUZ$%)!}1KL+Ej>J zjf@YHr>BFWaKL)dUuB_cT=JooaePIBTQq2W=pXRx7-&3MTY@YYA^MCx)c(;CmP_J&I@d|Pm$(G{H~KGu}xTMvBHlwk11<3lU?ezgV0w8m@(zj|mp ziKFflq}jGW;E~U_63+m{|vd|KugSJHc1uV$}jIUtuuwbB!HFNeE2)D z^7kM9-n)J8?LM0e#4R$k{aCXkb3N+B^v9a(j5N~6t0)yyw-m{r4!6+y9FuiD) z$@Mky0!I^UBkn}6+P%iYglWGK(FVWXfU7=VN_ea1G0t$cR{`n-d+*N?$i+YszJ2-S zuD`yMj~9R3B6J%qZ3)UP{5-Khl@kITI_`LP^df>*iDysR(|W( zLx5{6VcEOW@@NIPATJ2N&V{S=!hr3G!mSslK|*=Q9<>bk`PH zpM)k83RH_{ z-F3FJVJcCpR(Cs^qcE{%+{A7X4@GHpXn185Vw6OL$9`ryrn3b5CL)3c#em~XVgjk1 zp%8GakNQKrchVDuas5-aVF10rSJ~uKegS4j_@_upva5}=@gV70rlDkT*hnhqgct>+ zj05!@g5QN^g7?|rH6(wy`-1PLgH#_@Zxw8X&f?ZOo#lG>rp_n&amV=P6l}8xVibcT zg{C%w)jE13?S8dA$;!MPky-lf6s1+vPm9DI_PnnpIU{Qi<`5Yq>`3M7M*1SK@@itc z*0sH>Z+Ci%O5LC#0)_^l-}9hUYS&%@cQLo}Gn=<{9NEOYJG_ad#uXPHK|xKe96Kp8 zu`VxVXPOMe0G{A(dCN=ws>u4D{&Y6vH}eWr zi;Ht$e_H7^NnZ0c6vUmf93M3aXvUMku!49i@=dYeiz}C$l5;wl6P4AV)$DIc@vX6A zZ=yha^KEBA&rCxZ&ptl1Mlh=5=!z zud)Gv2;6y5;Ay`gcAk`Lr8t)8W-_Q%l})Igi|!6}qAE*JI|R}noM(&4yPi1GAF_ON z>ys!UD8y+~A3ktR$Tk_t@n}vs-j4#kqxh>_(~R|+yP#Q^5aziX*hG- z`zZZmMXFhR)Y-|<_ZFiCakP9Bh3osQ?R$yV0>r^A>)u!D;cgf2Fv=_ma^i^N)(c}g zgjY)QOga{~;!T9-IyyJ30=c%0J-!r_dH9PJaCzo>+u^s(e{|^3Nl@2i=J+B176f&! z#qv%qLqe(*i*P2$Yqb4~hZy8C+NMrDurz_uA6sR|C!C_EUOQ21LEUL05 zFoCi?ppPr7pWn5#W>F%XPCrkR-5O435Gbymy+;w8 z_-PaQw0R991q892;~DE((>xKOH=db4$L6U9_)JYznE-5I$W?5Fzg*+5)yst@tg*@s z>8Vbh4i9Q6Y7)^}*ymO0%9}pwxoxAa_7SsNqG!4DuXBX$r+3L#Cb`!SxvM3_38*ah z7~3u}Wb(N`!NMbl;DObgJpHVLtj#9qXP3-21^6sr24=NF)j7{aaO-C??*Qc7yS=_2N>e0Rzo=B2J)iqrLJZJ_IHr2TZxSGPkCM7Y>96oT*iEsG#3 zbj6yMd=9^cBa>)LkF=Fy3LNyFJ*IBYiO&s>CrRBeX$~#Q)1~ekN$_iG)LsrK zU}reqk<$ga=F}Q|?9wK9jl3rtpiG_+LyqDfN`LXX-=KSudER+(!$Ohv8zf){cRZ9E zl49IrdXc@v9E(xlL_h=x>%-;|$4ZjJ`ja|9;9IFcf+y}Wjp6r8(jo3IDloig9K@>e zp;7cJg&EW*B(hdG6P3O_!g;w_-pW?4fRLwOuKW;?$&VX~RX(b#HvV_l5OfLt(NMnc_isFak!a$0nJBHip=;UVf^K7h zJaDxunIEw=Vu#FnjWJAG^J$Ho!+DV6t-u7@?9KuBaC7bU^WDYnl%(M@SJy_2LKZAe z+6T|a&p9`FZZ`Jh!g1C;_C}!*b7G=jqJm$;>sv#tV)9?5c*Q1)f$`J!@G6lg{D^%m zz`co7%CJl9+0gvSM_0P->nl&5e@2g zUevm!cgY8@?aMf_>yw5yqc)Khs<1Z|sx-0CrL28DX6LSeqvCR`m@GwnpZOKn6F(XA z`@0*__yyPcmpxqq)POZ_#i?~Bf)}Om9(AOH$4FQOa=SnTtLB%>(30shDvZ$7Rb? z8;SDzv7h_!74th{L5FVQchqjMVy$D9vB;GVzwlf`rlxyxrh1Dw9Js=0V7f6ft_Ic; zg63AoG4%VOIlpYOBj2y`RB8vM0P^l3?D9f)`|wijWs7%u&xAetEYwXxn;>1IYJ^VX zOMy=5zDoxTF>Hqx)Leq(on)6@E0@ZIBsLy$awgMoNSCg4-^wzwF!~fFs+n$;CB7oN zZTXu4AtK%F|7D<;`%k>R<$2Kn|UI#iWn_E4;Q8phh1`dHvP zV!R`;v7tH0ljm=SL{XFeO9^QT2N(FlafYNKkA zKszh7asvV(j7?0XM9hl5he1<^Uh|^j#HqG1C0vw5Pr*{%i*{!B{jlZc5=C=;5 zu4)8hIku9kqd(zq(RPF_b`7JU8hMY9q@XMZB`niu0c4d?8e8CGWC&udL(PnR1yau6 zzTbKlLy}R*DtdxcFC&c4XL+VGA4L{$kV>Nl6OS8q*RGL#I97R%uCDHkoJr~OS+`HaxEGMYmbhcZ_`BO{1v&F_xW1nZ5K|bJH=={BYDeK#hSiXt ze40{BGrg54b5Lz5qiXL+N>9=zGSG_M1BK3BVXa;cG8U5V$i`Wv4;X>LVYJ*b{h6Kl z+7ZjNNre8>G?4-y)CKel4%=3sEL4o|k*bO?>LH{qJgl=({_8yHJ0STH|EDpykXT>- z6*rNkv2tRvl}%$w%CzPwhABT&dtnIh%r(~V{w2C9A58>M6 zgfIm@RQZ@BE9j}*HW5TCWBOlmwwWg>Wa}6{!f0C#rsD%?k=p^q7$nr9B}yz*_AI}G zSZr|DinF8@H|6h+f?`)B9ew!vf69W6Y!HO}AA8eu8b68S?Oe$uXyH%$Ss83=hUbS& z?w^c9K>DPXRxmw@gJcS*je(vCP0yO?==ouemCu^JdEDm_;irWPmqg(S`n1`_K zmU`Ty1F~h0_%Dt$3!h5kXQZ#}8(WjsG?Z8TmATar6IfV$t}(Z~vjm6T9u^ft%wsiitDM6VBBB4AHYVkt+MkOx`RZIK{8#Y?+~a$?tEIMt=}t`66A&cmL^U zw|2PZH?vhW4eCea*r8)V*TFFUKEF2qQKc+?5funP?V2QUu|U|^(kw9gBb$P{f}=bS zC74o6EBe_aYt65!YGIWOT02}+pBg?X&xj7R&51S?nqGe}6%s4Cf6Cye;n705Q+Pz> zDKnKch%lWOF%*_Ae#SCw*Q;kO`ra5w_*u7XRXxUs#)%xCDmmc(=(vbCWG`4YI#@fl z?IzKL3aJtT_^P($kZg8v0g#aWYP=C#UcAHOt;39sBv5CXNBpcsUoXc(!2DI>{iIqy z(SvEzII>Pu_9_!Ym`uM&h=N^hd*X7S;MXN8;2((B~ZzT zV#Fq&+)eOd{ZVXH72@%_BL35TsDWx;GG<`xlMH5%NTm`7dQ=AE(bLnx!1~0jHE%mv zxiv4ohl6}1`q0?0jr#5JVq|23-lcZA+S`?hRj`b#44;_A{~zTsFxWFoYtXiTNjDpemKH1fn- znVlEkxm-`NKeXTg+FvJ%(e99Lx zVdIdz^caK?OCon5zi&+MWu1x7J)4FStj+g?r=&64$RN!sNYEVvPfL_lU_J1 z`$#3ECs%5sr%c_tZJn>IF_@oQh$<6;D;b2$z}_mxc0`l1J(^IHKL^2VL6;@{16#i% zCUQ%2CAcHDjRw&+iyY(BDGPr-sbmEPB1{uJ-P|cRHKldQ=&YHT)wZO!J||)!Ls;U< z4R!|UW-{LW+>dEQQrRwKE@4zhv?^U;;5$$B2o?-Bbdf3{rH@agx&O)eT#=iu=AGh} zr*_)kz0161SKbf4?q9Z5ocD?~LY~WDs7b^EQ?bpZO%fK9kVEUp1f=gRF%pc z#K52CiPfFZCiOZjd%9Tw>Bv9*~T`^i&gru+Z7tit-;6=CwZ{ zD4qu!N)N=Rh|#*|hrhR7?HSseU6iRf{WOTojQW=~R8fxK;i4DfZk#fxd$i{6oc~2N z=h~tUC5(y-7j-CNU{HT8YdGR?*?P*b%FeHJ|d7%QWw! z2hHqZV`e~3qnS>^nUFuinLGt_Bn*cHt6N6%6_kfCeEC2{j3P^^w$~Cw(l3b1R7`Jq zAHriSUO>*!45%a8orv6fyWZ1ok)I&$q@U|MTP#sdkFa`qyI0i_?Xg1Tc7;nVfvjk* z&1q})Qe#(+4z6tdYo6yhWih0yt}G2B@It#8fTQ5}7BvJ5Eo$s9W&;~3jS%Vi`778< zEG)D93JP?g}g zkI>PamJNwapUpuW($s87EG{kCrkj1KsNl*`>;5y2*1@9FTnVgMW1T)&pPSaq_#CxO z!?}Lc94Rr8OjIi-AJ^Lbj#oi)8p)PP;h+K<43S4ifOYAJB7;RvN~EYiH6lEWT3bH+ zVtKF7h&8C%7QdVBmB7czI`g%Imx~;#L?ydj6IeytHi}9os(t$eB;fTn$s}e$d6_fI zB)-ab@?yIV=pxy&$V9m za#iomrze|sX?zTnKz#3UcM%E2k{;3`7fP+I>9bl;K8zX`R57%m4-hu3uE5!D>uz%1NF4p^FIqa?sd%+9tT4_A3Pd3Vkx*1aI z04pQJX+H@$@F=T;%RPE44nppE>!lzUF?EBC1JnL8>+-)b{;-NigMp+l8So?olV( zn^8$S09_IP{w%;`An;O=mQS;7Y2<)UL=CJRo|r-TH3~hiGgAs}y9kYpYM}CGeh)6a zmg*HWh_#^ypRtBWVB{ijygtzN+}CPg-?hw4KBCJd0w?^q=IzhO;VVA40AuH3(&1d1 z&p*=uMc`9WY=^n_&a)-`Su;+Mo==}M_&1POV#)tBMhFef&63s7WFDzBs?!+gi?r-K zv?1~upaW4=eE8GSsBS~;8!?@dSp-d4;m%$H!@?jZ>k9ksrWd^qk-j~HEy_E_5VQdK zy76AfSZ(rW1{-yUCIG2cYj{;eZ~~!QCPUW-(l6 zLNn8rDPM1Mx7v(YrQxS0;G2+dRSdfWoPGM~djdT4^q}mK@dlEF&O!p44*lDnP0 zw@bsy@w9xNorMq7Du?-ATE$z2o*Z#BOT!sHLQU91wgaAT{{K}asIbwEWUZN0(4~7s9ybQGQo#4&-ZoaBtmZom0;kqZH177ym|_p3JFc z1}GUl&1?^?6SmukVo?i8~H+m>DR2{WH84VD4? zTLxr=Mt61FakA$xh)S5Oy;3EuI4q_0;16cz`K;#BONCuW8|TT%#{R~6rTtTvVEg6% zwW6L3!r5H}QE3+M-S$NN=Z8-<`fl6kg*flVlOx^o%1hYRTwY@Rq}*h64I9YnPPwD@ zVvzfL{jnR@=J98zIjskuM^3a?BR<^v#K8^Am<2BGJf;dN{s&w*yjf>+R(%JK5?^+X zjGIk_ns`Z;31gtHPuTm^`C(n`b2+NY#;PX!T#CJ^PBSOd@JncLYdBzyC7SdFAJCDa zQ$wz-c7cq(_PkbS*w1xU^e11pI%P+%M&UaRal5aT$50fBm4_+QXpa>OtYTNl;+D^4 z96y>oDB^Ez9%1nLVAQ?s^$@%5=I?6X=*EmOhIlxa>_M&Z2wrIChV0AX-v!;!_w1&z zc_*XV2)9bqObvGj*&!^68`BzlMS2~ce{qj2zu%G5LHQ?Rt{AC6+ z%^)kyV4lGZ-Fo8)R^Bud+dx;hQz8&o3eY9B4FJDPPr_D+ms>n3_Ck+wgk z_s%DkIkaYB1Tpcp+2kwZ+GvQu4ONRf- zF>iOD)^W7PXwJ4~_TJn%jy35yd1(clDII>tM>?+X28IARN;>W8hX{s~KFQ5{+Hj0n z$nY1Kq&G?d0kJl1mrvX0ai2#^lbiUf=SD%W!dDC*-x%TQu|od#6**?2Ai@wjG|JEP zYxhz-9Je*4Tw44+eT3zM5p6)k^B6(6gBuDvte(>OO{claxTvPynYOA0Z47>w_cXdN zweH0N2838d3*6BWsb8czFh``V)}1`D^`fvHYdc(SO5OdtO~2T`+hpk;11 z&_T%5?7pTyYbzVTPUAp`^F41vl1mg0XcO4|m?O(UCbbyhq;<38H#@@Nz#@f2Z-*Tn z(n%nW&^A0b@HC#9h6nY#eZpz-ZX4c>(JsRplKA1xu#dH!8BTF9EKpVWS6lhtwV!uM zdfWd6@L$9V$ObrQmV00UV`4!4tD_!r6Ux86(f30zy2>s!;_9~34kPr%yLwRbr=XxV z7|msTmZGj_;_aoEdRQ&l{a-ZjyKfY99sIMN zNOibrZhi!iET%8?5fmHZyqzg-v+#!g-#N#@i1Rxn{3BjPi!?e7k>$iUOoo4EYd)Vm z68KERuEi6ZfbWIzmvIm5F#-u@z$Wc{iVmrVjCu2PuKL;zV)w zlrWfAsf5`HfhhX-q~7ay`Ulm9t4}DatWfKe$1Le+?7c$2zWX9*r7H)j$1~r+ zznr4c{WHABHe0;$B_ok4^0QlXu_~SOnM2Kz;kq!O(F#ESA-ipyaFAd!#!iJP_!dzb zXo%^GJSth+F9-w;P)wXWYSz~mW-8;>*HneI2Z@lh=p(O7+zcKi)C3d~}cRmoa zkXUka*6+9uiFH)EKaq7!|3t0Cwh_LMbQe9Xk5kozA&m5bj&Msg^g-qz{@=5K;KVgi zb_c_vEAmFbid{2ZhtGSW{v!FF#qec2bf!V)Z2LNB^AjxU-{<-0XhDad2wJ|T>#|=W z%;%Hbr_9)r!y^@{%1)IU>5Ptn`Y*=nBma4x}fpW@!+HqW33 zJB|-ev&nb5Os(4rs%{rI-ue*0(xnXNCQJp`Gn9k{Bl)=Hu)AXvPkA zn`{kY538rCk^eqqwRis&nwE@S$2YxP3#MH1Zcwo6FdDpk{>0o{_qFMoTEzu;vKpgP zTbs6p=)Vhd-0-$W3*2g_!+_epK33}7RR_)KL9u>fIN)FELm&2BGO4wa^J0bPj+TXv zXga`o1P8U#-XaE|l=YH(9+NK?s|exGg~TQlheJlacOx9yL4adk@xPN)K8qQCmvG5@ zP6iQgv=tg1IW+g1tU)_#Y5b!LydE!^P^ByufAyhjCVPI*tBsM$h-jqArmuLFN{p?s z18pP;tbe@X%Jezl;i787h=;?BREeDr(L2iCG%jKBEEptF3aS-GoiTt)j;bLhmpeU_0nqoO5p zyXVszRx8IG{kaxcI!DikBmdrOWF<0yLoraR*3p+!`oD8Ae)?$j+%dMiRfHX#^1Id9 z5b7EJo;En{fs2=*q_BT2IsNKs3Vxu|d^*qK)4qRS=H;XN-X!sW%RoD1JTjL>ozi<6an_N-0W6EBu(If3RX6sASLqO zCtc;=h!zCY+29B1UD>}q%_A*yBxuzTCwSE`X%g^=SQ%gcAQT2PLM!Bk1&_F_Wgt~C z(J*Hi`zjLRh6*41qKA!VF!4kPO3Et4R0Zs;gB0?kR!<}RrO8=8w{4e<%!y%oII_#< zQsh&vb!7M7jeM$FO6C8-uj4@fn5Mz6$?l=bZlL20K%)dRWzOy;{H6~71v!7SE&7JG zwVF}J_uY|n0fza#)Y7l%nV%@K&mj&>=*M>-x5HH$o4iyc< z!R@7`W!zvwn~hC7I@+@X!csk%!XP&*|GnewFVX+yfQ22EA>F)JX1tmHf1AbF?dePQ ziWcFQxmOm}FaUeN6A&q`FL;-joU9oo!7HnA$4gMQ*XWy=p((~+i6J>d)1~kKkSWOc z{jtg3zs9X;xM2R~1Rqq@K+>Vf&YGg0FK4zW);s?pG9W@eATQO?H}?O=N;<#L!3Mi` zE|Cz5D7(&0doH%s`#7>obX`T2NrwhII_KSmau zQj>0^bu>IyLihY2s{KbT;{akBejnkH6-7wIAr{eyBi22&jEMYxo?MH>@-Yp7f(gfo zhyXxjIz|Lxz5G)O&v?-b&tNipABi-mB{@K_=t}iMaB*g3o!WRCDtG%5EqiZ514`r% zV|houBbL)3ChgqOJn?atf9-gpyo{{Mwy5ZxTQ zGd$LlcF7wv6&#zID+@3JoG;7D!|z=+#7x;&m-=bFcbmfACR0N7O@ogMwh)^O!xy8y ze3uak{r8Ch|E}ETpi4^;MuS4n)#Fx9ZkC)dBQhL?PoIiKOy1OBxk}Yj6&~>cq@e`# zi%5rF^U-3XX)TdO(4WDQo-3vNc_5}}(&s?LO0Kjr?tiWIG23`eX8P!DxKHOOf6H*glwqLhrtr^Z{{f?+C);n&FdMnKbF^8x~MBZEh*`pbaAGkA&_@e#Wp^)u)R7lj+5yI00LXLnr zv6<)_I91&K&q&VESBAXl-xGL3y>6WQRW@LeKh98@=Z}`a4TKb;D#U3d`+8C>d><0n zt^LdK8>UtGIfHm!XPR-5Gf&xp&qQpM!2MJ9NslX22lv`R&BFs8_)~#Pess4cJgWK= z+jGa2KRo->3RvYsG$OtGj##KaN-$7~=v)dFWchC(A4(#$Q|za{rkQ(u6u0j+M{Td? ze|?JZglqnhdyg<pRrR4r3aRSzDOnO^z8vZ*+t^*g8@~bpAP#s*aEnL z)IEw)bW(g)Y$w=1N5``>6Voa-Ql;JZcEs))6wb1EMzq&qq$zxZxO3YNVwhi~=jo$51(Y0;-&-3qde$vr12P6Ve zT+?$GWSnPJce@-m#JYbr#c}Vbll$U)@Vgnr zFYzi3CiomsH}-Fc&!)bTMVb0i%?5Ak{dnddd!I4k{|q_}*$V+!Vfxb_Mn@Wv2enGOxL-Zp;OLB89voIo)&0ob%mauXP>DPS1Y5xZ3THhAEVMbABMfGy~ALs zDS>8DxXhlkNeNIg;6g^ii>%V7^kSP`9#DfPZdB`|u8j5*J1{|S>+cPBBUBUP#8A{> zN{1gbnbr5trnCEVrEVKENZb0L&SF8JaXbSER(cRK>D!xk-o4tR97rkDO<>=+7Jx-H zZW+Ng^1Hg1P!%e8gG&gqw>m8LGt?^SXaMnlMTEM2%Zg$#(3`>Nkl{i6HFHsH=Cu!M# zjGsfyG6PtTajtgk&3gQ4narjx+e^X3wU0bj8)|3&OXV*;WDLS2w*s4X(0+ZrF7z9(q|N;qtpQ*4P-Zlo>9v<;EfVo_0$W4c+B>3r`3+kSqv zhH-|-jP!W;bBva^+Nd2=&#C{ggu#^VU~VQvu%osw#!)5l@#v@tF)rqaWe z>rXsUts98m6Fx{|P=}wAoyosOhrw0HCk733R|;EN0GC@LyoG;-*HP+MAD~sBspT;! z2bifY-My-*N*96@59v<^Jgg2mh3hkS^U4<46%9zC-E@HsgRbBFJ1sp(hYa0eSU)|p z$2Qr~GTCyR!!Id%ME2geBD>+8wmCgcT{%^(B@3t{;$=>~Kl>&jqX(w^3xIjfe$cd% z*sL9P*7&L!8k0kjS@r$%r20+A2c_CnUJOcoKqfTR5t&r5y(quO33P<3v8y~^T_8x| zQ#!kykGt@uKlUYr7>?s>q76U&d-L%BQz_ZJMh%2v9Pyx}c&GYG?q2Y1y})3MPG(I1wDqK zBu6)^$EAf&xZA!~SV~YYCGgP*B_zy+ERq83id(S>%&jVwoUm}B z2z!zxt5KL%gzU}FXJuMabowFxpRkD?3#IaT|2|V}UG(zQXiZueGKm>T-Zjt;1HC8~ z)E%ehKo@f6phq5Ldk`0ldfo!MsMna`yN%=7#_$})p=@IgArvRSgVk*OS$8l>(UB2T wl11xWX6Ce`TO3=~<_AJ3(8BY->}=c>pl!%}O%; + + +SVG Picture created as 317Regler-BuildingBlock-ModF_RevC_19Apr2011.svg date 2013/04/21 23:12:12 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011-cache.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011-cache.bak new file mode 100644 index 00000000..e5149efa --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011-cache.bak @@ -0,0 +1,229 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:31:08 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 +# +DEF RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 300 30 H V C CNN +F1 "RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +X ~ 1 -300 0 150 R 25 25 0 0 P +X ~ 2 0 200 150 D 25 25 0 0 P +X ~ 3 300 0 150 L 25 25 0 0 P +S -125 50 125 -50 0 1 0 N +P 3 0 1 0 -150 0 -125 0 -125 0 N +P 3 0 1 0 150 0 125 0 125 0 N +P 5 0 1 0 0 50 10 90 -10 90 0 50 0 50 F +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011-cache.lib b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011-cache.lib new file mode 100644 index 00000000..3c7a99e7 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011-cache.lib @@ -0,0 +1,229 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:53:42 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 +# +DEF RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 300 30 H V C CNN +F1 "RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +X ~ 1 -300 0 150 R 25 25 0 0 P +X ~ 2 0 200 150 D 25 25 0 0 P +X ~ 3 300 0 150 L 25 25 0 0 P +S -125 50 125 -50 0 1 0 N +P 3 0 1 0 -150 0 -125 0 -125 0 N +P 3 0 1 0 150 0 125 0 125 0 N +P 5 0 1 0 0 50 10 90 -10 90 0 50 0 50 F +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.bak new file mode 100644 index 00000000..8e14fbcc --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.bak @@ -0,0 +1,260 @@ +EESchema Schematic File Version 2 date 19.04.2011 16:31:08 +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +LIBS:317Regler-BuildingBlock-ModG_RevC_19Apr2011-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD9C68 +P 4600 6800 +F 0 "Sym?" H 4626 6596 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4476 7034 60 0001 C CNN + 1 4600 6800 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_VARIABLE_POTENTIOMETER_REVE_DATE15JUN2010 R1 +U 1 1 4C30B08B +P 5400 3900 +F 0 "R1" H 5400 4200 30 0000 C CNN +F 1 "RESISTOR_VARIABLE_POTENTIOMETER_REVE_DATE15JUN2010" H 5400 3750 30 0000 C CNN + 1 5400 3900 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30B07A +P 3650 3000 +F 0 "C1" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C5 +U 1 1 4C30B06A +P 9050 3000 +F 0 "C5" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30B055 +P 4400 3000 +F 0 "C2" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN + 1 4400 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C4 +U 1 1 4C30B04A +P 8550 3050 +F 0 "C4" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN + 1 8550 3050 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30B027 +P 6300 3900 +F 0 "C3" H 6300 4050 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6300 3750 30 0000 C CNN + 1 6300 3900 + 0 1 1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D2 +U 1 1 4C30B00C +P 6300 2400 +F 0 "D2" H 6300 2550 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6300 2250 30 0000 C CNN + 1 6300 2400 + 0 -1 -1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4C30AFFE +P 5500 950 +F 0 "D1" H 5500 1100 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5500 800 30 0000 C CNN + 1 5500 950 + -1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R5 +U 1 1 4C30AFDE +P 7500 1900 +F 0 "R5" H 7500 2000 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7500 1800 30 0000 C CNN + 1 7500 1900 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R6 +U 1 1 4C30AFDD +P 7500 2200 +F 0 "R6" H 7500 2300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7500 2100 30 0000 C CNN + 1 7500 2200 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R3 +U 1 1 4C30AFD4 +P 6850 2200 +F 0 "R3" H 6850 2300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6850 2100 30 0000 C CNN + 1 6850 2200 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C30AFC7 +P 6850 1900 +F 0 "R2" H 6850 2000 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6850 1800 30 0000 C CNN + 1 6850 1900 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R4 +U 1 1 4C30AFBF +P 7250 2800 +F 0 "R4" H 7250 2900 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7250 2700 30 0000 C CNN + 1 7250 2800 + 1 0 0 -1 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C30AF68 +P 5500 1500 +F 0 "HS1" H 5500 1700 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1350 30 0000 C CNN + 1 5500 1500 + -1 0 0 -1 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC1 +U 1 1 4C30AF4B +P 5550 2050 +F 0 "IC1" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5400 2700 5400 3600 +Connection ~ 5400 4450 +Wire Wire Line + 5400 4200 5400 4450 +Connection ~ 6300 2700 +Wire Wire Line + 5550 2700 5550 2500 +Connection ~ 8000 2050 +Wire Wire Line + 8000 2050 8000 2800 +Wire Wire Line + 8000 2800 7500 2800 +Wire Wire Line + 6300 3700 6300 2600 +Wire Wire Line + 6400 2050 6400 950 +Wire Wire Line + 6400 950 5700 950 +Connection ~ 6400 2050 +Wire Wire Line + 6600 1900 6600 2200 +Wire Wire Line + 7750 1900 7750 2200 +Wire Wire Line + 7100 1900 7250 1900 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 8550 2050 8550 2850 +Wire Wire Line + 9050 3200 9050 4450 +Connection ~ 4700 2050 +Connection ~ 3650 4450 +Connection ~ 4400 2050 +Connection ~ 8550 4450 +Connection ~ 8550 2050 +Wire Wire Line + 4950 2050 1800 2050 +Wire Wire Line + 1750 4450 9750 4450 +Connection ~ 9050 2050 +Connection ~ 9050 4450 +Connection ~ 3650 2050 +Connection ~ 4400 4450 +Wire Wire Line + 9050 2050 9050 2800 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 7100 2200 7250 2200 +Connection ~ 7750 2050 +Wire Wire Line + 7750 2050 9700 2050 +Wire Wire Line + 6150 2050 6600 2050 +Connection ~ 6600 2050 +Wire Wire Line + 4700 2050 4700 950 +Wire Wire Line + 4700 950 5300 950 +Wire Wire Line + 6300 2200 6300 2050 +Connection ~ 6300 2050 +Wire Wire Line + 6300 4100 6300 4450 +Connection ~ 6300 4450 +Wire Wire Line + 6050 1550 6400 1550 +Connection ~ 6400 1550 +Wire Wire Line + 7000 2800 6300 2800 +Connection ~ 6300 2800 +Wire Wire Line + 5400 2700 6300 2700 +Connection ~ 5550 2700 +Wire Wire Line + 5200 3900 5200 3600 +Wire Wire Line + 5200 3600 5400 3600 +Text Notes 7350 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.G Rev.B 19Apr2011 +Text Notes 750 7450 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 19th. April 2011 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.pdf b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.pdf new file mode 100644 index 0000000000000000000000000000000000000000..cd2049e2907752fc7b3fdb270cda0b37a79604cd GIT binary patch literal 43132 zcmV)wK$O2FP((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58LbDeub`>dOVLLzgYHFAm)DN3UFQ2giD^xl@W zF6Y;D{m=jUKYslW|L64eAO7D9-j`{bwy*#1HGln2*Z=;f*Z=;1d`<7^>;Hb0umAb$ zKYz`w#eZ-A)N}j#zka`#<9$r?SNyXd<-K12)^&ex=lS(t{-3Y^?dyNZgukP)uJ5CK z`TyPb@?Mtpt1cIXWqwFuKI(g$cMRkA7XT;v>k*CVcrWw$wJz^{Ta3oEz2}p_Ln8Zo znf6S?f#rP9+eO2O9_6sVx2*(Fj^k^++8jSr}t8x_8a`xZF|?mf8FMH+2%0` z)UCeDl0^VyNCue9So)f9&1g+rE9hQj79F&N(RB-}ODM)&4$?H36J* zw0K>}UYzREIHESP&47AB7*G@aJ)kBb9-Ef=kbLa#Y0U!SbVEV}UXW34kV;*c&XL;E>o71R94Lfl(?Mdd#O^R_x2dUq-M9DVTDncP*p2EjC*V1AP#^v|pgv&6=5#8Vy`jAU{8`_&iHSkejka{b zAb|&D_qD$5OMIwjFIielzi z>?r&jI|^E0<&z}#h8KH<&Tw2AXhTOEGB>cF#6Y+gfGGC@5Y?jAPq6SQGi?tTenx7n zSTtkbpiH@OzYu`^f{n1GMHkEdrOu&{8em483p5j_1G@!G_si;=W)qE60re{b*QFj1~<0pJIZh#vDbduGLvy~F-%TgDhX zrt`fYXX5af=eGKXSTr(;1EX?D?#N6U-D9d_T*e$;dv&wiF^Bm3nfQGZUQW{RrEb8w ztuFti9u2rQHV4+zfpcv~Dv{$7&YasE?>%TZ(c^s<8`;(EUTwR(PKmRTurp^Bz`tud zQh$AMpb0q6+5kI-eHh+LZD(~F#wF%??t!}Jaa}i(>f->_{W@Su?iWJk$OfbgebiJz zABQH(^yb*-G+U-O>t54z8PW_*OqT(6+f4H%2ouIuF=3*M88c*RHz7=!srA-Q`alF= zjx=B)v)`vUYw8Nq#=&6P640hiO*n6)i+Q`tDi3dDeYk3u>(oEX?CZl+s9b0KTsNt5 zX~x9tzTCa&;o1J-Gm#=fA zmg+`E-B-6DGGIffWo!SBYrORu#8e%s)y?nXcajS^n}uV@Y7Uul$@G}eb8VhBVn1VT$n7HIJTy8TSC38Z-E1dG;%H8YYrN;VwSM+? zd>Z1DNi+RIjJMWx*y)4}nS*g}HaHr$EevUnPw-@PmvhZPZ5x#&^D=0kYbUC} zy5?M3Yt!J0Y)GAshSavtweeJQ!4Rr#)t#EXM_gSCWSuFTi>qU97O=$igv@bqTnkjv zWOJH5p1C~V=hi97)y8(1q$C$|EXSCV9IM~ZH7yrnHnV2oSlm63fnH%>22FL&1HO=D z&&qZI&9;}aUC7yqstw21Qc|{~T*@|g&8~G1Lsg#%B|dA+7<>53Oz5-MP<0YqLm_O=dNV>*1kgjWD~u zK^fuZQb{tubYWX=NV9D?3onD3|AuN}$ay49(ofE?Rt>ot`Mxva~s+Rb_y06X0lBV&~)d7Z22Nqc&jPN*@1(`0mI_;cvJsNf`RtM&kx2 z6t)c~4B*gLiAI{9BY_+BZv=q0aho-k?bJvJ$zWz16l}hfmgdr9@Pqu`0?Z@|kEwQb$ zTTtrz+Sb-F3-2QLn0Sq*KSAFcCouG+6~B@ zrr|{Z)2lYM-4Zk1+Hz5RINo=X4n`>HfQyVcr;(NAzFy%#rYmBW%CTqRM z6-X}$&Zm3|Y`Q2)dmZ7vYvlG&a72a)-Rmu(2ht<2E;j zG>baRt0(kbvnM$kwe$Y}{@Uy8o?c#uA5ocNsz_xD$5j}Y){o!K6R@56Hq^#q%Mtef zURO8Vc|~Zy$d^x1p$F0+ze&P{V=OhDAWJs9b>2pq+`7w3m7-dpO;>JoE}tweXbKt3GyO3L8Q}V*kOBDQEmH`6&1^+4H%ZgY&Fx#{Y;=&(KUt1$BC={FEX z3@5GT8=>!-JsQt>HD9;^nVCIDY5=yRhh*BGz@@rQw;kZRr6B71SXe6@dt5I-hNu(< z;Wpm^R&(}txdazom@Wfn^Q9bXzM=>jxOkcQ)w}J4{2>{?Y#UNLL)C1yecNt`hgWFv z8Z^&)vUrMBkAdqpZ8VzuhIk0?p!uiYfceNW z$;|hJzH2r#9%mwt2lC0kafg7$lVsQv`mWg{18a3dS2{*pZiKBZ(mfIxAW&69JPd&Q zwk%8Bw_obLhMn=K_ahuSrRkORUgB6gBQ^60nSF6PJTY-b&|yRt`|Q~$B143-*eAC> zC5sDb_p>Yu^gbX9nq}*589Be3h1FaS4m>0hWjkdy58>}-w^^F$7!ic&NyH-g?yi|1 z#~i{Y6FVNFzy2h?E{!VsX z47Rzj_x^9U4l$5s)p@LoL$Ok^riN!r3Uj2mPFYC)mke6Yh>vE;if5khW^<%wYt&_n zvZZ?L4T%IW%XRzy~KVMR3}E+Vsqk8?qt4c^k^&*y-N(mR&*h@W%|z3 zx?Wq>m4G3^SptIH`-ALnvVyL)tt7xV9uB324VzV%1_K28!B%rPmq~X{mqYJ*MsN(H zW1E&#v1GCdadYpL`!?_X4w=SNcptWe>#Ml61AGJ_s z>C6HkLq@`rgc)HDsB9U&h;W&)5tkwfQ?a-Gw#r}jn8)0k z$v|`zVay3RLZmvJ*c%Tgj);d75ghJnB}0p}Ii)w5r7;eff_r#{xhWGEu?hAmwhK}L zz~yPqx4pB>%t1Guo!~DB2VV$&UwAm883s6F(4ml2OO42Yx}%LustfV$g7-?&<&yP_m{F1-kO37$8>!&2 zxCKWIBw1?Ug|s|^q=7d&-!*$QoZ^8+E_?zb+ee~uxQFy8o@Aj}IjZL@Xc8)~(5Oh= z+?|*jZC((fO_IpS_|D0bXvif2TCNw;YLaES9;XK}ljV9rEx(S2>T!f8C;`nWJ!HA2 z(O^-bZ!Vz=nU4-Q+~uSt#lE?uF2q*?mlP2$DYnv*0%=V{bk3>6@BkzMKVMMi8ahI& z;VfR{pwV5(9(F`n4QGkFw9E!VWRuM(3XSV1M6?@-&~9KW*$t#kyWu9aG{zyj!D?yyE5o_9wU8yWd2XDJ9w0+!#u;zUrLN9c zZ0hO=jnagf5*}aK$wWx%aZnK<9+0N;vU}_^+cbG`J`e+1`92#N(rW2Qc$?<-!iGA3 z3BnN73~Y!rSXJ*y?|KP6F1y`3&qOQnoidqL;X55S1X>^ahLzR2MrqKIaF!SY0`Ap{ z`-W&sj4L%dBwe`06WBWk#>28&-?N}mL`D>o)w#U=Sb6Mx9HSF)Q02;+2NMCa$EB{& zuNcf6H8&$yv2Cumbxnk#VPPxBXQiXI74au8AVY#Mhv$^trB*=MdshtUohyd)&Xt!S zY8#tzJ(4t)joovhqZE_chCsVS@z87%4-m~CT4}c+ZOsMx=X6y%L<899 z4}HE{pky@8XD=dc6QL!soVWOi)r>Z0yH0h3aL033zaj88O%Cjgx_$0;X_yt0|44t60hA!$S)1n6ObZ;7jd83iWS5(l&+56K)}z%K<~ zw>U)y{Pf#eBhV-^foM)Gx23b@4DpDiW_dl@3j;LWG(ebcLZFvuBkd+(7-j5Si-JQQ z;j_$PlyM=q;;K#y%5=LV83IoO7P8e+ZF|-^A{;ZDagCBRH(7QMVQ_?MG>2&^!a|^f zsrSb&*v-ne&V2S-WMk@Cul^9uz%6|%=Q~+MM)qtuC23t*(4spb4RKpt3)C`<=g#`O z5LX>az3i?#+ziTgkD?3Wwt`mJcu1Ff6Z@8GV}#OwaFGyjie?nXfL~#&{)AxK8|oTy z+>yP(>IHj?!eRo)=o#8FK|7-ei1@DczPZ=Jz1-ut>UH0p~vq*tMA$`gZy-)qHJ)$LQ#Zpt70q6UL)k5T+T51PtrKu_0}O%zfoGM=9EbrQoG&( zP;J>p))feo3G_|7SMte-^Pn|CPPxBQ8tDGXblI08P8sjleA!FO$EZ2K^=^10v$_*c zBHf}oZb+B6E!(t47eM%+28nn=%eL)(Jjno`DFTPT=_2ohK|WKQ-wh60O@bV~VI6LA z^isD$TMGc)Ymw|Uv4LzfvAxw3)>~vFJHmww91v`Spq(MGRYMjR(z5z>4(@Xf))?a! zFv$_kM}04`FHP|wl+B*U?4lTk)ZX^7C`OmdcM+IG=b(9RCB*M6FE!&@ z17)0%?3}>eCHhE$d;5A(mf+^KI!RtNGB+}^_CZ#ITA`z6^o*AxlLUc?bF|WJmbAq= z`X{FtA^~tQ#QMSf&sax5M)swBn!G@ZWQ1Tk4e8Ti4RIGNkLUBG!5>VWUXGOnfe{ZF znJf&qPnWc}1z8fmE(m}`ntum0k9#!AMe+723;#{55c~c>-8y7$H@#oV>bGyPoRKIG z(sR^ku=lAIhWt`hx_#qiJ_Bhf;`TcHS1yUS2jve>D-S;HlVtItmJolpUxf%~JYlYy z_{Co|OugG1atoy4M~@Kf4rnz}&mLkPf7ODI#zZq3ej)=!-rQrr$vdFH%B1gwIC?gPA zBGAt?n)$iQ68k}x#2O`SWSnJALLx^$$pX3kk_8bB8qLHQNJ|>%p3*4y3BQnLNF>5Q zBn|dHB#ru3-|;Hhv}iDoXl!93`)V;Nfh5svo^C_=8FKDf|6b~R8AuB=FL}`Myc4T> zIF7C>{RGo_c%n?6&W_G^t%gS9bC-wXi#7iObBV!V6TK1nuF<3Ff!*VGM{|C9g-PxUsM7Z`aIg52)>kj--~BMkdeK<_g2c6B*>B5bOE#(6-}Zc<0r7pL>1Zcg zkC>Smjegm8XzcqJ4RA}!0JBodS;JB>WI2uS7WRHdVl}JT-u6lVQKP&^x|cfle5gFY z1LdHTw zfJW98kUgCBhSX#07~R@O!X%7~(B7(}@_3H6+w@4Odnd!A`Eo`2Wsns#}5wA$nHXUC~O&66b$q}R;!E%g8LAHMG2MmG!V zA8pF(vN!tHkA*($^I*G{$>blQ!*8fO7vlRae)B=-*Vn)OcRw;d%X~el$~L~Uee%wb zwS8zX|7P)TkJ;61Inr-F2>sOfEXiT9MdKghu}>JCVC zy28EFoF+Cpd*6V-uLfW*jRmcrV86YQ`LWR*{-rh-j1BIHMd&Bcudn|$()zqIlCgnGh1xKp-y+{5LNfHdob3@n1?pIyC?{=pHKIQq8@1BNZI&lvCr(gsXh;PnaJ zDF5Kjzil)nZEwXMUgE`^4w-?#KzF3k@oP{1QKI}~ud?xcV4^zxaU+urKOms^Y;wD-fx{(pw7F=8Q>!WhSwpJ-FFF8xQD^bekB7G-Fk zCv9(^8Pd!Sefl*aesi#Qg_c>s>jSyd{i)%W)vtob++O?t{Uu~_mq7#O8(`l9wl;Ho zmpMU3=GWJq@DGl+t*2v&7b`R3t|LQit#^Q}CM&8dJH{KxcFysWO!vpMVUG{zp0IDs z{4&!ukY6&$c6`*Eww58VZ}xUXq~Gj*tRy;pUq2@CxaV5E+`fg0*6z6%;tn!HN;}fd zxR-n)jZnK=9Vc&F-yylPALAkJ;fH_j^>@36o8!X1tG&j(ynW|41I_tz0UmsNeL^-| zd!MbH_Iu}Wh@O7X4z=%#+iJ4JB)3D`RqH~`MP+B)hMizVz4nD;yit<}r|tUZ6g!=}VfNbDmnFRAvM<%l?Mu3I{EO6KBIg19*h#ju z?aR&=f4{yVyO3XUjB67uo>{G}t`Tb2<71=O`})y-+>qu_?g+I{-Cu|&pnQzz2N$TT z7cGBNuO`tc79SUlW(L}2S#!11H(C@@(<#hXL+ohKlv^;}f8od7%aCnLhyl=nxKP|i z{DT(?^T3#A%NAq0P#gy??L_vtw9B;G{le?!*PamBTH%;zKD5If6LD>}mtXe;_`T$; zeWSJHp4XNnavNM?;0JF4?b3XBb%oyq+Rgfy?qL%TuGyu-VvCJ9qJA|B#Y>{CrldR*Ow!FMt5Ln3bv@;`kaD4oRm2(WL|vZgdJHU zZ}k&-INR_d*ujxzo0iun@`&&nq5avv)MS7?D`+fCe!=}f9@6!&i8pe>-qYgWZu$To zl5MAKH*?UuFeN{bA;Rup_p9i+`ErUZgAe540(1ZM)=JgBTc>}#a@|JV{PFv{Y<_)% z4AG6aSvUwUb@k`yKY&L>d(kDb46EINhs(lGa{76=2nNLXPX$U_D3RiJr_R_d^ForEzpQ46Cp4Y9Lf@g0iPOcFL8duE zt?8+KK{6jh6a5?5TPOHHj-7dA9Wt`Y6A#!dsFkZfHI|h*{On|_BLq%)8iXwRuD(OG z(7PY?$RoD)$N{8X8vABa_u%1N;#*WgU|PS_Y>gUb#y3+l|8`4S60laZ?LdTS8@wZr z7LI)rPR}}>l&Ec{CTmdfy4CLiFBDlu+GmpUO5ib9%ty~NKo#ybrw;cED zNB#vO>wjO?tj$&|8lG4r7SY9nWb8ZgXp+xxKUn^E1c2RbKwnMqnl3q))+2lvbl#-H zQ8^>7aX2m+GZGo-MLOyB$T7_cAjoKh&e8UjHX(y~+Ix+Y8Llh9)&nwjWI6h6lLv3L zmmp^xCD=dV5DPa3DMJZPe`}$Oi{uRz5d_~Wvx30`ZV5Q<)SF_LvkIeHx-(Mh81GHTiP*H0qf6i!d(-lQFZu3d}R&feEC zb>Z0SH-4+I@U}~53XOU(imM>w>~5+QeqZKr+^wwu>{re(m4nsuEiixl38%(N=Gz+O z7Q)!NCd*u`$J~At&4Kw6a6!+jJLgfYVb3`<$G@~A4uVb|FZr)RPveF%*0*P2^W=-o!RM$(b5 zo)#Eu(b4py!Z--x$)5{B^MjPEH21N)Q2IO=sd~~*U(RVEgfuj(a*fMp=%I%NTej6v zMu?vKI*u#q3yzs@Hao5;W~O7$d?`n0jW-X3Ksl8y#nhdMqEUu2wIt|ud-u_$lyY&< zHf%`^j7hz6;#lYuDRU-?n(S~PJIvr$)M)sQ~8AJZ- zlSrP-^cx*%H4b##33%-BYN%rmhwKIUx5Bwnb)u#y7!s*JEv=bF3fKXsP$1qmuqV|h z54OrUKTy~A!u`)r1FfCe&9QXw#X@0p@?&pl>$K%HblXj{FEq(kwq5%zDGZgQKlZdk zS-U+++2DVLJiM)XZh`OgzqOO30|G`bXW72GS+}GuFK)J4aS_j#oFNUJsE|flxzvQ^ z&Fq48-nuh2B&Mx|7Jt&HgZXqGQ=>Jb(;5ltDM<)OvdBC(^Gytrobs-$$e@(IRyye1 zv#Pxfh)lb1tjx@pUL(&0%jrc&RoZK@k!sDk$Fuv1XWFKmb(mvigz01n3G)@Oz zts}&NJ48FyZf0USL1Vk+Da+ri{{B$jx1pZtM?x?l9qBR+ke~@e?E`wUCm(K#E_Mg? zyMG|-w6w$QA(`4P;)~6Nhf4O+ngbad&F)WrYcxwepy-&{BMal_kTzJp2WoJ2lA}ud346D(s3ybnS4PKnQ>pgwaPLk2%F+HcDsK1#`IV3zMKPxv{;(R2 zh10X<;HmN-nc0Z}I%4VA89Cd3snyFM`%lr%e4&3J7~_-KBH;~*Mnq~ChJPrr8i_DM zHYhtK_KDOmeI9monHTM@&>rtqPbF+MA!{oIW|I{bpCNXFBE!(7XLh_H(*_Bf!?8!q z0#&OfKXV(1wx+>5mpof)WuD&B4D6s{?%c+d7lfXklLPU!@<6lZjXHOv{EqgY2Xnh~GTnU7d-a)PwPtlotz^~f_yRv&Ez zK_+Uc(u~?eK<6>281}}WKYo(P?B(fc#74|9Oh|Yu$R>-!&!b)84Z&p!`|AZo0M7D4 zubC8LV>TzEnnA@#Iq`+=GvbaJw%TKd6XBh9IeSO3jYgOeX9LZ|>P|c0CZWOES=%X{ z4#>H5PrW`QQ`=QH&rZeVmq_8(2qsG0vvoq+c+M@aiWp&*kC0ezY^8&Yv@>J3t(jwd z$g15ituOPd_!i{|UP~F$XXIu`hEt0NXNgVu0l0s9fVW+3I`PU85hUo3Oz3ppSM7vQ zqkhw;J3S=4wS2FnaMK&c!;YeGIseiFrkI|ELsx5$)S#@MkF-qvIkTp^)hDPH)&^#JAA@!TJ8S!J5=fo-u zN{c=noW|4KQbE$*J)tz>#gm>8tpPT}#!Si@GF?i7=!qhyp9o#MR56u^QpLmvR7`w? zHPCU^EC#lEN$Xg^aT8ibFvfvNT~0*T#r)JHo>>JlFsaLV#EUKj_U1Ys9Nr#RNFfN? z$LPKR_Ab5r(cW&2%!1b5zMQrB1qa7ln=}4*8jT})d?g!u)7cQa3@6Q5EQx!{r=cOT z_H1*?h^vWOrFj5tEUw%?5R7r)7+cI=w)LzoD1stmb6c3QXPUoj@60lTA_N*sTL`Qt zHH&pD%1QR!l1^-Ab)jeaCZR#GPIxy2h^0mi z9^ytNl4cU!>;!(ch%L!zUh*nFj_zZ3&qiZuKh2*cd#MxMq ziw>+J7vm<6S#p1TeCI?b|_Tw}AKNJ02Xr`=rzQ4Z3-> zpUC#y$W)`+&a^D5(jw=BBd*O6lF8I?jSPuzZKq5Y-`b_oJ{--`bS}$pO$Vco?-N<# z<)@*prgrm?Ep0)bl4{o;l9}7u%<`LKwPqy3I#@6E$+We*WVP{}uqK-w6C+GZF(F~c zuvMChDXkbVhW>?|BMyva=Us&5MsA3G^KKnoBNlL(wk-H<@4i6tkj!y&rUFTVzX&f| zc7GX?y@e)t8_$vD9s^8rPe{lejU;wb&TK8))*;7pcdrQ7W>cl5W#3aA^gbqo6Pd%uFeHjO9C1SM6`?%7J2HUpJU(bQ#3lYKOEJme|_pPAG6K9T-oVb8iM?PZH~!n&_^w z7SZ>5Uur~#mfC{nnMr1e6|3LOX_5GE=I3S~%`AI3>O@!Vd@5dZnhDzq&A@ufO&6`! z6G^V1yq;VlVZm92zz(D>Vj}butC={R!nr|&%|;Yu^=A*0P}e9I`Z?ZoBd6uW$^;&MG2t9&3EM2H^_f&!mdjHLc;a7%cD5` z6B#7z@r_KzV;7&u2;q5Z_uxc0kYC>*LzA~hF4`UGH=(~m{$-2&510MfiqUdcLO}SR zBM-aU%DpQfBK*&g!R;5Dna&*@^*?bo-FBFM7t+3&PlNA}A+EM~Z%rDQjYO!nUvTq+ zi0kwxG`O(5?ChH(?Y1R(mu3{;d+X ze=u6hFrIv`xFZi=tbTS(2G!rqhu<ptt{MbpgRZEbjl9 zkqd1}59Y8l#H*+cX?}emmIYz2Ugt(jOTo#67x#y-@0UT%RmBlz1YDq*I9=SGHE-QP z|4&8e!YHlW`*#vgeVVY7iFj3p##^H1L5$b-_(v8_ZAW8YGI?fk}$ zYc4mNn+!5(3urB=`#T)@L8UQ`aH%gae2@!i>pTVpMF#Gi+0b}S@CyO(mJzeDN0XwrS1#y zw05mDi>b{^UTGKN2_9T&7qZ5Q6)UY2RJ3Vs0CAyJbv$JrwLRZVkl0~CA0_sAh$d4Zy%@m(s&SW0v6tmHK#xwTDY-JU1$iptJS1%uh)iy*Q^lD&A?V&l=~+1_l+o2QR2$tL~XmM2m=FC6S@YnKhN?pE|(T$s!?4hq>C0kl+_xAYmy8iX+oB$uaT^l$Ye>f@)sMkuom8T zLRuMcaiLL?7f4Gw>K@4YytYnUOp&jgkuvpsOzP()>(xlrL75C0CUbg2iTBwnK@IGx-7c#v1?A?yFA6`v|up{;? ze4aad({$Oo#n&F=oAcs}@kuE=hNXSx zCM(;*m&`4Y2nbT?MGL0|GO72UG zO~R_19l`>&7Xdf0nkO^?KIe-z0%!&(OU&$Cz-`YXqmO0+Oik}F#R9PJ5Im})KpDYJ@E{24<#yc=netY6!9^D(RzHerI zAbD=qNYmEjte&Z-J#b#r8fr@>2Bcr=EnsaYQw+-dQzwmzqtiBp9w+%DI$Hs$}>S+jY@wSDBi>IeIonV z@zs`xvU!Nq_!7X-h|B%v8OW~=>F?m}<%Vt(npRqgu?bCUq@+@>4Vigv+a^Rrn^0;N zn@}Phn-IFsOyHK(vo&VlO-p;xJlS{C{QSis^}V$BHnT_h!_h6r!*x`L2Btj$3( z{*Z}Av&HkySPq7S*FBblbv0>IH*;#Rcl1@7Wt4lbG_Wuc^>(D_H#F~zkY|x+q;#Hx z*4c4%q)QlPv^QsaHG*DOJHva#j9WFs8W!m!*mApj<}sp~m<8!N;%|e@mKG<{N(Z8S z#}Hqm>U@daAdPwD%~Htdu{PWH>3Fep5zfgU<9yD^XXC?R!Mi#~QG7=HwJ|lDXu^Rs zUdVi@|LJ4NP2)Gx*`BxeGzE|eB#rRcxmNI-eZ=x}Q)@o9_L|Udo>C2&OGkK%C#o~z z+VfH~EBM4}cG5L16>HL!Tg*i07SGMZ>b#sCf@UM?a(L7=OSR}m;!LdVy8xL9 zREWRC#roDY!FGUSjS2|dFMFhE1{(3^D#}!`)I0d(00pdP7x^;??qX0bQH-VDOB7>i zgay@DVx!Ra1y0or;t*+w4BdYSdQj)*90S^tFiRDB{33EM}gd%{3OxJMo;*K*X3un-WwBZFEi!oAl1u^#8Vco)Sr z|7neXB7<6?%_D2}uWyh)Cfw)te31RRn|+PNCo;qpDk`Lb*-nINdsRVR5K&R_2@NhR4{UsQr1e|!cgV-S z{oRiqjmPRHYN}BnGEz|?GAG#zdC{=sNj#qf#zM5aB3%ZiGvnD=taJ%1oS(le=| zx?r<>)oD!`{h!Fs^xRYZckG$c{`c?sFBMrme;|LOqGH=T9IT28L)KhoV1RuNPo)SM ziGm8YLQO*>ywp_pux-oxoKtCp0hU9ldJ03#OHX9m=J#HYu8}2|tQ}qd++?b!Fvz}> zs{v*NOrV(3KgHlxc(}`#7c$11t`aTG@L@Rvs$K7h(^9reY3* zwyu~XBeiQpK3D&`*ibQtakZ@eXqu;&5g94wkW5j`!SSM)gFhK5=1?OPbBHc#C4``! zg0fLD2Ze*e44ar{zOr`15Q{YRNR)2G>agdownVq7K)kvZeG?g|<&ex#%OPZ-mP67) zEr(=-S`Pi@c`XN58EZMXU|8NDx+_&*NYrwu8Hy>m&RB54b;hC$t~1qgaAtsTov9Vp znJ7~&Sjr*!V2l|FKG=-BQke!yIV290a;U3;QVumjDTk1OQVxvq< z;sRv}hrJUSDCH0nDCNkAUv5$sha%k`dp6m!rHU@?b~fnpAg2E`m24T?GR zn}K2uH9|25)pDSiLsU@Aq2bkZ3~#xZgL9{14z51dWpMSec0-Drk*M5=Vh*f6QqD}( zy#oenIm9MvImFaJEeBTvYB@xANz3EtYB|)1wH!3-Se4OiuVYOG4brxZOiM21pnXQt zlFpn?&nD3*rl8DO$kC8F7iF}Wno`|{CUen(_W5QZhqMBeC1doRfZL8YQpiD;qK*T9 zkCbt6o><1AnTx6ojrIK}wejReJ_G7fH`thJ!!&3X*XEo(NYAgbb^ zm7iM0j-Duo%CdWLfhvwWUt=}{t2l%VRB@`kV12VDh@6N z7G!X}uxNvuUx!4=2G7yZ;~<=wioS^q6mgI&YB&fClyFE^QNkf$Nw&&Xzek>zaHtI< z9q381N&IG{gaceF00v;7fJ0+J0f!KVmpHmlWT1e9D?|B1Lr z1svRdSY^TOhm{!6XjW@*`%(P{x1ZE1wx2{fwjcDKd4RjG=W5KPWBrDZf%*;gjrtAA zZ_x$$7_HwB71VD48LHn<->BaZ;eq;%SRE?gATUt9fw8E1L&%w&Ltyfb3{-E3Fse6% z4Af6#GG4u*(V%(*YeCf;SPQ9o12)uH@9YcJ8$wXfzQJ%%+ww+Q&!EedQ;_wgj#Ml%{)Rh6QdwKP`M#_p>hLjT$LNxaa6gX&RMyk z5u$PYfToHQ#p)c^Fc+y*K)*gGpX zNQRXg`VHzd^qYao4VVDMKsvuys@%Zwq{OhgR^mp{ui;xgF-O)prtLFFM~DwsMa zn;2gLqHaU2N^%W5WfWJacNAc7fGpb(6NPRr@X1yb1}RfuEZew?c~IeJx;udk6i(zu z{dG`f8$4fFw!!m7WgAk1%C$~H0*xx5erX-QjBZNJFAV1sl z$2>E&?%)2U3^E?@Z-1Pgv@XZ(!B<222VV{8AAHRSzS+fQND^wKmy!~R4C!BdU6=j# z;;SLui?8dl-Cle(q<`_%klTx|2K6t#W&~_(w-;Xx8Gi9qjVvqYcOr|t9d(V=9wA8o zd8rwaghUu#BtzP_TA6EP@|wErqa;E#!+h1qfE7}nyVcieix(^X(`dHJw|IJT4mQ?l zj~5W<_@JPWC76Gy+2X;1M!6+9Ecm|Dh4_P&_*9!A{$S-2pHy0>8t+vGVt_wasYW%P zs}$kV2FAW$hTy@-m>nWw01?DJyXGP{6SzoffD^#SMu zUu;#v1mZHg5KrdeGP{sH2*onH5dYMo))^r#wA3sXS|Sa#K=)c{9HbEPfmUJ_%Cx?p zMvWwmg*z2GT1J*D8qMwgn#oMz79ASR?BU2v_wlE0(PlZ@L7S*th$jG1c-QWZlB=!fPi0bikjO%t+G{wxTYPvbaj!3yJR>vf0@@xta7CpW%M7e_nVmZdMzcpkk`N>mh>7jJ^GNfD_cmBN*g0c>l1>Ns zqkm|mofDMBl{y!nk29u>&-OOeMaD~yg_P$>HWJr@@1=4y>+^`2$gJ^9zGS?H<0>Ol z*aVRJ5?-k!_Qi8?CG{ozRFrxK@YLeI_d*BZ%+(|-CXmOTH4&bhmGJv3Baf5jB(5pq zDnjNkKP0YCWQvtGv|CO1Of0&ZPkhT2znT53p<|-BO#S#UX+qq0IwFd=9^~=u_`WH} z_le+AF|uT4KWfvGnVsC=h)WA9CS4CCk8h1=8+F}c)dzLm-C6KQznPyWrHKrJ<3y%2 z!U;p;n<8m06P}(cd*!2u+m@HiMSn<-@g|~KcxlNv-K2k?z#dlNP)D^Ta^{Y<@HY;T z*&^{yquF@Ti?v|Nvut@Wn09klucKX~Baf%&t_wZ~&N(Re1BJhF%X@aHV89Nn5zW~cQ7S%{AJdm{EOiQdy zbsiD^G~$IusgUslZJMGKUlN=m-9>y(H2E-`DUP@_o+*L`8KL>@FT0(|R`ZwL&bN$a zf7tEpml}bAC1L@5ENM_Vc#Xqvb}kY8W*@Qm+`_V0yw^YBXllrObc8p0tAW(eoR^wa zo+%(*wMg0%P8R@gh^=A$%`0tc12(toezeO~6J0k19gl_k1Hq)QxJOK+- zi~g!qM&RcOX&q;~Stp4aGM}A>F%N)Kb0HqYO0 zZgz72#EX`m7b_h@5`=V&xf9YcK+-WagN})`q=u-*P1ry4%7J;|Cy%*A!XOdWpno7g zQvJRx_quz_eN3+p{Q3s@(ViotJoT&`^AF@ldWIyefS?6Mg|O!jLUDPeSRNBox@~tkQ6|P@M6#XW|ZLU{p6*(bBc&W*Qv00>V z_7Vfnbh9AYzIT-rR(f-E6&XwQ7YtIf>Y>O)x%yKC?EPg>dqjXk%m~;}Gq76Y1%or( zpih!HmfD<_=I3Z<4#nfZVnnZb$1qV-$3r{D+wVgT4{e-TaK&Q&6ZDH0ZL9Q(W z_*X+v5$#zm89Aa}fz7rkx@e?ZP;ZOl%@D6#-l76zvki8y4e=DemqGPRhyh-?tX6AO zE{pOSCCKRgWe_U6eT*3aA800C*Qi_`DJ!@29ADtGggzJI5})#W{?rAfT9CTL5w8(> z(Qnp)oLe?pP`pXP3ktZ1%qX9vaAp>7QaUq=H?PU@R{~~~YEC6y3CKvB(V4}Yb`#tZ z<)#PiQ2_N0WEm*lq}Zo7m3fKJ(mj7{fa023Jx3CkWfiSko`(y0UJ!-Fw(clq!m3fd zF$wR~e<4VEWi!@(W3jRJ8*6Pn!t&=@OV!{pGb%jCT1&0gz7(Bm!M?TBIKqs83p5j_ zp-dr-vAKy5Yo42JWW}OUjj)f95;p75XiB(|GnvP(H8ooC@&S zb|z%$V^bL_T_&0NebYFUO%s^#&H-7NSWHTFpJ1q9?~L(f;bf$qsS)>1QqD}FcOcK> z%bU3f7Z0(T7r@vAay<7nQ&^WVVyRe3=9Z~6y2`B@+iPPW;y{wnTb5LoIRm4TIZMGQ z^B7-}d51*o6h)mOb5a&l>|9(vuiXLJinqZ4l3T)A|?Y% zM%BUqMyiE57E}upU~O<>@s12s3xlrSUS>~I@lP-t;3f5rn z=xaw+29X0L`7sLybcYFl;YRoDzlrjHB0Gu|&}t83lyrAiQ(*a;BX!5EeBI9*(k>iq z#??#8NvP@_h(?HJVicso>5v2~Ucti(4j zOP67Z@RArs(KUxt_#yy1FL+;s2#c)Q3=vmRUS%Yr`}MxshzzZh<=t;FtbFD#X08(a zWF9g1!oafFC02x2q(?9lWLq$Enr{f+6OC2|;Y@MD4dEhbH9qG-Ur344T_Cv7=2qZy zLe*9vYBiuJ7W2&VRMoIpKxwg9*dmMkB_3Fj9814dE$`5iX}JMwEAK@kIub-0+LgNp zpBkc&`jy-W21I2S3Gb-vV( z7Cdu~xyyjh?vi~=t^z?>TB6P&t~I%zfZ#z6drtE%=R1A)A4Z}Za7a(z} zR*;4$Lt-mK5H~^pNHDL|)nhID9yXy6#7sYtp9n9NE#8<3s#nkEAh!xT_56YSXwSzI z*=_9SfMR_jKhiTKd6Wnv3SrM5$dC5?InUdc%kchX44z%g@Q;FdW%CyruHzuAa6>FC zci>3_1I&0n=5S*qz!Mu@Y=bn0Ms{(DyKA>>{#=A1ZeAPGVtH08?F9q|wOAgZviX9} z&3yT0(w@np?q3EiH4QNVU;>TA=jxHXV}AZL5$R6xG!Xz>UV8~P+oR&U7aR9Tl);ek z-3*{Leq_d7Lz8{OQ!C#8~<1|WoO$(;lbq@qX9GZwZ{D?xP=g&k*U~^PkNIXa-*Kelh8DLmy%VlnRv>9v05MQw% zY)GiTfV250Uutzm@Ea@5kW$yQ(hTvCIhNYe@=TAs)&ya(h>-hNY~tzBX=8Td1XMzclyFB5(o?^2`kzVU=?ZHaG9E7T`u z4UhN>tIr^;zV+R-AOo%`XeDg|<;0e{2NJ#%wJLF~66Ny7I*-orgq+4F1WZID@d>(j z=?qTH+}7uB?kLN-JTC_knT0zF27|10A#;>Jiw8VT_mZy9Fpy&J#0ax=GUDqKt+02B zwD?l@;`FgYx!9KjEDUlYO`eG5f6Kz;t+q6AeV-$lq4riTRe ziNGT=vPB(!LC9Sp*IU0?BP(S@5bnoFf>@q=Gc^|Ebqj%iM5Fse=I4d9S{DA!W?Crw z`Mg$&*-!#DT*z{JQbFkCAG<<5f5s14Lf57*ey? z(G%&|_1VApz!*PR8?xQ3cYj?{$oBlJI_i6mcgQ8gEge+;oBBMLL}V)N_eA1lwxQvb zc&8&%c^oju64}>w|JIt>mk~wASo{&Rv}S^C*1%6>c^)no;VJSZgv?R-K1612ce*US zIo6!TNJ?ffwt91o00%)lv9bzN@EYhsYu0C@6{u80*d?L)u_-!1K}bI5xtlD zQX?|5M$AoCI*T=sJivu(1ix8EY`w6q?6ZC+_S$wl88Uaq@rA&%4P<)LNb^P$&lC`z z(i&-Y+l5SY9JM7(M7P=042;fkH57toBdSu5c=RG8H{ohS3*hFpw5~hNs&V$c1Kp_$J%FR6Ll}VpR-~V-(uWKIR8E$RuX@tb6 zT1grwtwl&IUh}vMdQ9VMje27t`|}iUHQMf1y+G38av>hS;^A^3QJ_N29P1r@mB^dS z2%J0+Sa}n0nHCS(Ck0z0drNb(wo6KyzYO>kqft_^pe$8k-w;W zX~rs$UkO>`MPNfLa$ra)oh#I!b*f1-4e^K-wg^KexDaZ4?Kgl|EP~v|jipR?Z5~;5 zE+#O*556AnMy*zNcXQx(VswczTnS^2{L+lXJH2X_4FV@UM;S7fsUa{C7OyZS@{+{V z42&(eaFd!niVQ{w)T+KcI$~c1-h}*02p-0;@Zmh2Ig<<#MN2MO5EE^IN_=F)fFrWT z!mEmSB9Rtiw4g|8RhlWB3D;%{t8+2I2T1OG4GIGv-Vp0ce2?G}88mVyWhOH-^b843 z*uWvcN%3lb*Pwk?<3uR6B`0)M|@Buy1UP0h_* zV41WFRo!PMBCYnu*W-Y`mFBjLvpoX(4s6d8&eb!$uteZ7rbs`4Z6LV2`3o5><*em( z3Ry=M7R2eF%*f-VQ!^n*yg;jk234ea=#7guo9En+INR)QTJ{^_W1h9LpckNxw29n5 zre?wQm->YqxP~l_Xw_`<`lZiL@+9*3ie*FG{+N92F%H^;ygnvM2&1W$zb$Ys!PHlB3& z4UnO(Y7`HOOpP0v5PY+OI&hRXPEg+`Exa*7+uA= zOBB6}34T=L&exz`tnm1P<9&ifuY&eTYU6A5RolqTi~1~??HBc4qq zSAqQMkZ}5f&kOOlOsU%z>X~y^ogw?)akYmlhN?|Os;yR`*@#;D} zeQ0l1FjFGuy~dImlIV)CHzsB;k%c-$rbbzzrlE3;11afX^oc1sBXaipq^x4sz%?GL zlLltQ=W5lt94biCnJ?vfI)WjN7+%-4%PSu<^4xb!fz45WFJyu1iURvY=8+Rtjc_!g ztLbLbZRd#b7<^xiaB~E5ydS6jU@V*achl&CZa=&`a*Q2}wm!BU@fQHwKt>ElS8sPa zAip|f>aQWTVQe|~*O1y@L(IzDyPegLSVOS~5z!u$n#CrRNXI6`?lT+H?HWQpM$Xq3 zT$%IvdI{lqIvV?4-&<+$p7j+)(!AmpHCFyTOYV(5UbIy?=;$Q0Euq ztPxosXV)Ymx2s_wI%4bvx)rnDju?wA9dw|%Vh(hTjwYmrCL|JjD61)I=qXNo3oq%{ z)#1|X7amd4+-1b)7p;n3W_-RffOZwnR0)hw)mUTj zIGF%^bi={li9GE1CZsY?e=ZY_{+Kh>zZ0xpPp|)~_MyfmnD~2p`*(#-e|1NH`{xU< zzvI?qh&{GZagG?!{@hx$x&66+)jhli`a3=W(|-P{IG9N9z0)X3Z7rhkv7Lq%n`IB>B|7SDeTCeO28T4kU^UhPxpiBiP!Vd zv0a>wJhT&;;x~34ilDY_GKnC7ec=0v+uqX2qKy&R+buSUZEsnu6q^CoA*FT^@wkP# zADzGW8!0VpB9R19h~&k7Apwp|fPXnXiP1ltPO7hlEH!r=07n*0G~+=uDMcrdhf+Mu zQJrSO|CS8Trr{m1`!Cq7hqyGd-OG}ti@U$qE?pD=T|^t{Vo;Ufqhkp%hL&_OGxLo9 zy?sL98i;>6X!q}3``-^Kc<4|f43eDv_?r?$gOO?4SFAgCFRpvR5_+7`vF;T*cKEla z_6ohkQ2i?&9bg|?Oxk`Sq5ts-)!l>aHgo=!2LY>pzDiAetS^$q{Ig@Ef3~3=2PeY* zwu>WG$hq+a5g(`ld*N_Rf5(@t(Be|v_|_Y!a)&^-nyl@%serq^GrazZ;H{!e8`bV9 z5n~E)G}l7XvH*sNob9?2Gh=4R)cmBJs)t0{+SN$>12+=U;R!iI>+tY8M^ObJstXRM z&`kg%5;(llHFXn!y79-qI-tyXzdO7dRr}phpoy{H9j$;>+a3SLZig1!?Ld>eUG&P$ z4#U!B*R%4F{fgxb1=w1p){p`mHE$A5WGX}PtqC--d7)G8ZWz`OZ)ZztOS}a@R_sG5W$A%- zB@%BJV!mtXu9f(nbJE=lu$!fnR{*pZZK%DVO6^6*R9_4&sc*;5LyLWG=IFJ*%>F%K z%l^6e2;d=+(w=0K9M95RnM0Fd74tP~D*(yfzuVpcaguN{3hh(Z6AX=-CLqKZEu?Co z3ROe5R5c82h&%uC4y~fN=8RZHr$0xV1Qay6eFKnyw((=}^haKFww_0Cd|9&LybKaO z{Yn$;7khQEj&NV5DW6sDt>|t^1TZc(85pRwv3LsCLus$5oI~rOOl!Wa7?HKVMi|ge zjSN^-uvEENLbC60zONWyUPSII2COyhD+!2wg>A5}kSg{Sc8q<6L*u@3W9Oj=YKzkF zSSDPvboX0*NkSg@TLOy)Bg35Me5Y}LU2--&CbDc>CK3RLW*?HRS>b2*#8Tm3?06sn z#6GB7&otZfg4+iAU2r0Jk`;cSLcWVexHO_ZVQyb!=>E1xrL+>!>w&jgX8+_AmnH$+ z@z?~nKoaFe5#4ffF)jfM?uoIO!5fG^l30cL28jiLrE5UxHjxX6#m%7>_!=;@l25OP zBk@pO8p(!h5QMhD zh^P#ja7`>8s7~xflM$6z)_nUg_WV85#7${F=QQ@>w;uW^3<+Nu2Ji3FYC!{FMi`nv z170Lc)kDWrBMeOpulX+3i1=r)x;BeD+(6a8XC>8JR=6#~^+QCXk2c~jsA~G6Uzr=d zU>{D#*5VaXY5N%4Hs+?VHr*wRfM(PmKG(sp`0KdoU_i$M2gBldKS`7JX8NUmj}`2rUp{NSv({fWH&^_4OaWA^zuK%WEQ<=V`VtAelzj)$+Z!uWNdr z7pGdIYiI7MG{CfSYphujOznZPw!b6(X~<=%G^8bsa%{^H**6*SR3A3NhZ|oce8%@F z)HOKL=tg+7D%leZ*o0awP-f8pE38$|{E0?)L9MdZpqAunbXys1hqOn!*^GUIavRO= zTzd330bb;SHtQ6b(FR_8P(b zn2euDJsC&vu{Gr8zlYWNY;VitSqCyQqnFv;Hb~9rh1e+4 z{4Jhl-Xmr0Ce*$RmZ$UjyY1ha&VozeednOk#R)xv&X)e5xZ%0Ozd8~ zqr;IS)WQHF`Jfe794K>5?%I@N*=|gA9pLj|60%pRU50Kfz=5yC)-_&1k+{~Wxz5H$ zV|LL|Z)6;yjMur{ta}728&Y3wmpii5IYLUE2&K+ekUA+t>g=3S=KzQJTx9z+lVn}F zLLQqW>)IJ0zrRG53zmq4wcd=BM_rX}Z?dl1%YV72%2RoO?iR;1<*>caV^9qC4r(U_ z5Y^bWyETADLxc6I;Ivfi%iXn(E)_4U&I1O*;aR5lw%!o?b=L6zzq0X%{>`MG`^iSBUZvri#6WPRPXEadd^y>cUqa&`ROkl`67#}t`OXhEB-!3w2fr%>~;XO{n3Qi1Jaa{$Bs4I7+gl`k!?e< z2-1&4x3pBZBs(I!oLmUjWb8Cn$``AjW1jD3=!NuG??>ZVdAG!PR$jCr!j)DZWVpt$ zmd0aWg6hW;<(?hV-^LAb1CB*v2HkGsL`D``t@kxegi31Fy+=3iM25QZZv?=svaa?p z^LR&rgEw`4(DZWTWl(>dHRRm0#b&Rjmd;Klf+hS?GprlSK`R}jXf&cM+tl9tOv+-_ zVVc%{LfR!=ji4AWk)~#{d#V_RNZ!eYPHB4< z_$;?(y_cwZ>TA}^Gd$^ZBP0Yn7+Q(bAj}zM-!fuuf_^wVYv=v?-9&ht!;`$+?|4Ks z$@Wp&?xK1jUbm*Bg}sj)EnDe4N!EJ_15>?UhGp0ZP_fct%bkkV7G2JQuMrB7iU|o7 zL!+c(AT1R`_tKR@8yG59Iu}_GTJ~G3xhtzR1l6#iV%rMKKQv4Lct9KR3A)m-0=u3H zR=#!E{aG}uqSTGiusTpM#}JjsV6z+|!_nZm0};ks0z|e2P8hTz@&Un*cSeYlQK=4Tj?NQtQ3SUP37-JQH$`+cBd)s9rcTI20$nq|_S`dB z(s)OhL^vrJ_?_-*WQi9u@Wcocd3rI%boIV$T_lZ62$>@gtyXisU$!%vZz-^UzPG@T zSBEq{^a2AyXC#f=TIxN3DN?i8B!n#SBp%T96tQv*FC)%^rZ0)d=PyaeJ0A(bZCiX8 znISlPap-Xn6!EN%j3XspMP?MNLmKCLB8b5>J`to57DRg!6473^stl--c16^c{ev-$ zafsCjjs@WqhGSPFakwNg5Z>a`$wDMCv?|ZPsLEi}MYug^$}n8&DdJ!q(hPrZl%sGL z5|LwsqPB|Qazgx#Q?GmBOuk+?MPKt|>iK`G8D0&$u_#KStIta(a3rltu+JW3OqkeJYD zqv-@y&L%tNY$6t>*+jf7%_gF1noTmv*-S{xCR=GXNt?6D{yBGu#dXMro#*jvA{G~9 zQY>z4HY4&Tr64jyCgMzKG!Y9+Y(~H=38!*TiT7<7dD9L{1n)Pf8J?sQY3UcbmkMHt z8Ns&aXB|RnB&K%wih-P3zly$t^Bs3O@OS2P^xS@jsVXck~p3%eXL@=yFn!6=4 zvEQE2(+IUJgg`!Ml~x>RYgz8vnq%o=j6m>!{XwM7;^C^t5|mB(!8K7w*Xx)U+DG`s+Yh*Vncv0fr7 zH?&?5U`t~GT`m|R5*}I&4LHJbsjHnf@xUBJ5UwNRh{m_me8ghdX=27Bx$3<{>{?Tf z;bo*A$yOAb+du*_0&$Wb5#Co2n$vcJ&|Dhve78ywnH$n2#NpC#fJlBTtw0DcB6Ddt z5tvKE!DGr9>F(!@%aO3+a;f)nTYDpMIV}+))KWy@(g3&Hoogg67Zz5E%jv!XS99w8 zpv~oo;&NRx*FhR@2&l<;OGvz=t7cj?5t&P@qS=VDAYdeALD)--Av7i~5I#%ST?E<0 z2I6p9`w8)DyIMgv6q=K2vVSNUhe+PZhK_1P$8xLQafA?CQp^a$CBH&~u!EtQI1S32 zQ}!)W<|gQ|$eeT@v9Ym9Uha23BAVog%;|JNWG5SE4&Soc)0@~s1J>`Rr$)&;c$}*n1u+G-uGh zuPKhD5h6C15ihSqBSm*e8qpp0PPR1WAx760JyvwCoR;(1vsK)G%kYySHU0fEpq8eieF<3jK;fSnF|eyC=D%O#Zi22&c} z5Sxd8J(V}c>s)Kk{R~|htVyA_S zb3^>nj-$p9yKCsE$w++akF9w6h%_!+>Yfv`%SwyM+x_F2d46|)&@X+%WtSxxpHfIj zs1954m=|q+#ATOl8Irdz#&!`%F*^`ADf<%fHBLK`p*dZ{sm(d{&$H$kY)|#|K-*f= zl*;75losEj)07Iql%iFdQjpe^M(>)@wf90A;Ge)r6S{`;UK6^~<&Oy!f(c#e^5=xE z{Jah(G`iR9mD^QLoDR)r?RGeuiH%#+zbqtkGO(xdnJNM6kEZ)Ai~nG7pJzfnrtSiC3Dq7l+eeQLd+BF8x+y+yK{+GrTVqD-(;t}$8&AIbX@Fc32?_C_ zkro>%b49XuEn19lgi(7UHPSBJrC*N47vF$KzZ|8D>l>9d?odO6S#N0vLL_5<=ep-cl1xWbBsf*R$4$|ms}SPtefX{$+2~2h>@wC$N5)6 zB(d#gsyymy?=~mv>Uei?^U@wM9rWp!;;4)*$CuI0jj3>tqhP$gC8^fCU49Yv8^F-`HlDJf{`O4hXb82m z$42{E2QozFpzV%Db20^DVAY(R58s(`!dx{(BtNto@l{T97;JPhP7}@I=f28mrVkBd zW;(yZTWC+3X5+#DSeS5CvhxvF<+RexAFj%2x#w(6OB^eVgz-0ugiQ-??BUd#)_1-A z?S?5m`s`eRFnh{$EolvBBpixtMyDf5Gn5mZ)0fHNWdxBE_hpjJkx1B7yR9w}3uV}FfJl5B4MB)+i$hMs*%+$BaQwSAJxp60X><(=yo(IzF$%*1i9|ucF1S!}`K?>4VqVviC-vVIo<6vY%L5h?V&sJ8M-V>hoZc{O2 zDrtnT41on#$--bap? zTROMrz#nJdJCe|)WQRUs3?)+*I3%wGcg)T`f#&wq zqm2w{@zq9-j;}UmB-{jS#fK3^8*dz{dvK)@4)GZ=qZpxI5}PGPHrkcU4n1+&Vo~^0 zaj?`RB3g;@xHl!nh2VqDYWxIk2JN z+wllnX;&qJ#|_yEPuPfXQZDo^4cxnDBvZVJ%@~u?+lmZarS5@fh&$iDi>wZk)|qmX z&{!x-vx899(2;?#P@3fP_R=GRNgdMM6N!n4O6gqW0J$iLP&{aa#YVbVj_g_s7Q-9i z5^*d(7l%03$ZK(o2_=z79BXVa>+K<1Arf%JkvfDAwnF4Z54MKPF+#~4h-6;+2V_N= zLPzHmItMt!XO+>j=NQ(AHG*JN5?1ZrGD{(>B&_Xbpp1mDv{6L}EA@2nNFJaYfhEcz zB3L70KqxAS;p`s4P5~^5fvrY{ivX6^3IbR|iv?jGjhKkWhfKbVG+$rRazvT5g(4Eg zSAQ6;3Z2e>$J2^xgr^n%|JuGK-Hue}vcE^+5%>$@!J}I{2LW|tR)f0 zH#5lpRLkD$1VREKga8qQIa{!HntgJ}j5<%j*7mQMT9Nx#E2$OZpkp-Z^>oFtf3?P+ z?_Y)PWB+PRcYL=h@dspyjBhH%h#5?$`+mrbDK%W$y^0}2Bw7!pR&ror_bQTCVFPI? z2Nw3K5}CrJN}h$vcdsG^7Iv>v3M^K>k^&1mL6HIryE>`zos)^BSL7#1uZX>&$P{I} zN7HXCj=A5|r|gQ@rHb^5M3|w9rI^KvbR#*SSIzWTWG*8}#pmg) zO0S5ms#Je_484`jt29FTW4vOoDls_bW!B2(RU}u$=2fJBLnJn;#^zN@u87U6sTfkG z;)M?1bBbhYL?XE&i}|?0)>X*KLMy6*{ghA@>deoK>~nI( zgbXA-vOB9TY*eKeHv)keC>5fD za`fr(B@gnhcbXG}uL ze0wP?Sv)0zmX8-w&^wPeQ=(8(vd$#2@fr)#SVCJG{a`3ro1$cS>uM@?%=s0u4V9Fv zVF55mrcC)2S?0e#z7lmO6?;Cu@-McoWCV&YxNk*|=>FD8NQMBAcUxEcQ1h6`oZG)3 zCS7t2_i`rdBe*K85E^KB|1|VMuX@bQs#Q*))6_YQCtH0YTZJXKt+iNf7?MOv> zsELdrw=PsM3Am-{stoh)Pn+hNf&A2kJhgsWv29F%T(id~R!BlhAY+ufzAv0>kFFUyUUK)@ zE7?;Y4;|tP+1(q8+I75SB-96`pkkk(4MmLl(a^%9%&s$HvbL2aRM7}y^m`x+eJaa0 zF-Fm+SkUDjG}mQD&SUOU@v?J=9%?I7hXRNWwIb1-PBeNG^`bYi{EXfdM1r%aH&vvu zr``-9(VKywH=`7LGiW!i_)vfJ-Ju)NGg4uB-uLD?S-DscFZQM_hqF=uSt4Ug-ONqX zj9ppGriuS@<22fo>dABEzuT28Y({XUywQN|$2pNs>V^741;JxRVE1rPxhlyZt)j^p zrCfg?;1R_jNRV!^K%d*_Wy22L<;?xlHLKv#)FFk$k)6pH68$sWIA%nW#Y{pB4Sa>t z2Zu2*fJFPCR2p-j9RqWOarVIr9#=%YSM73~Nknb-Zc!;d1`SzSwWk)7m+kv9H@iA> zGZ{nJ%*7tho)HXgG<-R9n=>^$Ib_2VVZ*ajG(2gu;aNW$o;)szdeRj#_e55*7$~pZ z6ReSQi-9l)E|F-l>*27xT={1kWl5U*WHdbI?;_pq6Lz#jmjKyfLkvkFlG_1fgS-Cp z3{Yr^-Jz#C(uncAw`(J2FPeKVF-(N)-D?N=-;BsgKdZWDwN2L47a@YkUmWQ*DRxH8 z8~8*qc^ug*!))g>2dn24Ko-%;UnG0IaFVQoa9@6FrdbK~hC}m`Oq)i7=c~<4c=;+| ziM`9GRqFm;HLKv7?%ajSWF-MC5ovVYeZ0s6^=)yy$jQlTGPR`30YfAj52aQZJ~sNQ zsA|wFUg(3N0q2>FA;I6E1Bt;f=w#Yp_(bh+S6>OS|4f7PwQhE0uBTVXCJPp9h8-yHhJ>^upmGYE*VHQ+&)&#E+=~#xq9{%L(cI&nSo@W zJ(9m|IcX1<(pM~!x7LTI8=T{CBanDLo{p*K$X(N>s3`lH#p&ASzcW8 z`M73bgq4;1iyDFOEs?pHX(8RW8<9PisT7&rPtOOlkOR&?dub=x87io~<` zX_q<+{ZHqFmcm?XmB*mep8q(lTNCRan-`2j;M1=yy*LP8(|3Wc0bH#!tmhbQxB=9hhQO#!jr+JJp5ck%gL@)3zHK6MWy zO)j#V?i^!sVeKoE%UP$;k|hc@FEeVdn$#~VSk)ciNx_<)1 zV4xgofZ9V-D*a$6Se-&OU+02tuE_RIyNWmu3S41lMN5X#FDG_!g5q=_X2yb=^DiH<} zgufs!2p_|*S&=XilKG0fA>92Kbr1#;gufuK2$x6a5lMuf$Q#1#d~_a>Ap8Y+L%6o7 zWA4t|&xhb&kvCfLD3l1h48L9>D>6jmJf!;d3VCy_@T*kE^@r~KCo+dWwo^9j`N&N< z+3a@YC7I1dAQ>QXjL8x&-h}m}odH!ATq0u!!PtEz;ZpHj2yu|N+SA^Eo@C_!Duy~ySfKo-MtXm?fIO>NCns)N?q{l<8Ve|1C9s*fMYGY@3~FEb!|BS@1HW5MnNe+{BY}#APAq5`}^r zAWPircIGj0W9KXuxv`TMnHvy>2NwwVi&9G*%ed>xpN01hxqV(Mk=JB4IsTD2J~hK` z=I=85Sr*)XDWu0M3movHH9NexCZ=DKP5c2_B4egs$k?Xw(Yl@ROC8hI)148`s$UqK z5J->j7I@pYuGs;V;@)-u=!Yl-2RtYv*c7#6%R>;oP(Te-p4Inb@Jj^K2WJXg`4AP$ zr9j}^M=7pzf;Qays6Q0JpDRcRWL?-gmO|1nxle(t^l9IIj%!j~BIK{{dg?B^6u?qN zhaDwM6#-cyV;55=D*6@%yK{vKhZT8&D!rtlPEx^^%hBu4i@#qIF`dg2nHq!=zarCI z^D-IeBKpzqPbQ>4G*9`#JY-rO98&X%V2d(J#h@Z>jy%@S(McYcL>>0bSxhrGqW8U* zrkUZ_KvRo>a40$uwirvTDDAN8o1t!_*gG&$)7X6`TB2*0GovBonBZ8Q{fA%9jD`T+ z%-xub7|SfNE2FOG@gnQ+b}o(>@ps$IFOcA`sb0=(ME}mOARkuUQ?%N4qaWnP) z+<`H@&WP_&vXpO723_nIMCBuSk;Wi{Qct;FJFj{?Jvv?#da( z&uj9VIIp?c!QF7vg!HyQ0DT#jrJ{E3Q3|9NI5>m@arZMy!9xwobYoe2^f?&Jh)ID` zA?d;{Zf2uArSN85aOn$;1+EJ0$qKUPwLLY3az0iGXSwKx`3|K(^PDD#X&}$P>;0wVyb<93WEA3{DRwS3 zi|M77w4~Y9=%gif#4}4}$3U|Peor!%Rk3psLinx782Jfl_@r)WN%;xNp^~UQbPF7Y zsn~AE zOH<45_U2IPorE-eVuh$;q)a_v?bH==NEM?Lp?0H-?y8!B}-D*rS>M)qObzM;%xgfEz}6p=54Ux` zsT*`2h}i4RHs{bn@EWd~5S^M>>Vr6a&=KQ0mmc;%3_w-o2Ws>gx_E;c8 zbMF{pg$Ay7Y6yQ<#4hNP;|Z~pxhO@^N%<2{RF7iHVW!;u$v0ium5k^D(Xw%)`d5un znaW?bWK22v)~9dM49}2#>8$lD6gVCv5NLj(Dv*k8CzPscgBN=%7)0k>+;{>~xu1nn z^`3e!beBe1SUWad1Zmfq^=U$oh1p7dqIW<$8nLGRG&F1492-Y!)_-B#WN~K?I9RXS zaRx=&Hr)V!NoIJCSm~NkFCxEmVKT6j0r#WQ*LDqH>WrP^VA&xU`zz z1*AU$=`KyGAIR=4`EoDauSo@7w@-PY}xm`8Y5i0)#bYga9F=luqX=Zq2 z^~j;3Ck3tq$nWmCm{4fepe$A{PtxaysmPV6QSS0{Cm5r)bW1^^auK z!H%ItgmN|x5is01L?|aJpPP8i6tcU!XO+Gc!V<1@yJHUxX?`nTw8|3R0a4Ynd_F9* zd&-~gIg$^*(|!YXEkBTa3AQ+YSW z!&C->p(w~~Dvx?TO{GAysXXN2lX5(e*;EQrU02*x3N)L_Ko(4;9G`+2^f)t-1$~b~ z(LRm27|Ws4I=?|iM?sL$(Z2aY=ugF7@iS;UZ5Ri#V5~A3G0H{;xl`RA7l(B}QhUiE z5X%-s`!CQnk2nFVq?s&k`G=z0j{c zjZz_GIS1_)>aQSuZ5j@o5qlK*!(vx)XNjaQTXd)TIoyRudv6)YM<5L`reY>5DgRH- zyV;4Mc!8P-A7*~Fm3?(o9Lx4@f&>p99EQQ&-QC??27=q*?(Xgof)j#E@Zb^%?(VJ! z*Ec!m-ut^x{&}@#bx(a&TfV)kXH8YlR9kFA?%I3jH2K|gvf{w|2xmU=UKZ8eudkv2 zq8_TID7vG`_^u`a3#?m(bp z(F&fIgg=U4S0t?q(#_ZpQir%P;_c)VMg5&g0E|33p6InjygWHm}v*)59hoE&IDBiW^a;&L2Ctf#Rxp4D}mxf`v zXIQ;oTL;+a0{IjmEi|=X*>PtyL%R)o0qAQ6nb15JL7pkRXl(B`p`pW5>sKAbX9FTe zioFt|M5eZVAHh8SMVC1u4v^a7lJspx`&07AFS;YQ>qA=;h4 zuDp{uR-skM%{hV-{iG7bD?Jj5IanBK$Z6A9=qoCftc^I8i;Q2pD3dxf@rij{vx*Bz zRiWS5)lPP+woDHh6_;{{{tKwsvW8sc&QoD5N>#4#>ytQo($cHb=f!b;3K@Y^pR^ez zeTDw(1!HMmPCa0F5kg=QHFna`o&-ips3r_i|J*E#>dxjD!AMs!uOMU6L)4xO+wX2xy^FWLP>3wb z^y01~lf{Pa$UmgSx&)g`j^$dkec9KiY7TdL3Zl$wcJvQK$GHWVT?mhrD8kgSD(L!mF?2=gD{OKo-ADK&^dC%` zF{dh;pA@Wrf}TgZnGHOuV~hkG(*}tGH*UQ~TZ0Z3GwE-4F`XL5%vq9V{L;rqCkFy+ z$du{5kctYWRQ#`bJ>)A`5N_*ODqodiCYW zY9p`D2Kkk{0C&|V@i16HU$o(orYsZ71V_6IYmIIbNUG{RAKY`A^zg~K8{D-Fq%MPf z@h5j%!@^G^DszhD5Mf~EM8-oOYTWcRXc^#%;pjeXkNfJb3eqDil}670jvEu)FK_9k z;y9?^t}y$2t~nkW>j@vX&mR4kc~NEf1T$FWN!!Ti_|;w4=XtJPc~SaVb_i%9kl*W? z4g}$!J)IS%P>M`trP9PvPH>l~!a-9DlDo;lWP~Y*+Ad-ja}#Y*>tSFOYhru)f0|pQml2tj`y41hTIerSRx%4^K7-@fb7oHSehS0%7ZGiM__J6Pm;GWIqii` z(eBg{=SZoKed8v6zU)8|amFc22bz-7NJG90J=QqIfA(AC8mlrTKdm~Jv!N++x`Qm7 zO%Bffo(+?0dU`@eXNp-`A4IxAle+rkcN`hZLtJWwP@+MLiEV~LAQ)4)8UD~P$@MWL z2n8S7dcimaHwrQ<)#07TnP8DlbQvB0Cg1-%{Pwmqb@_?x>H>j!=fEWmV7)K;o;U0$ zIc`kh#dYv_>?7$_frskDP-dOcc9(6@%YE0|{@}dzlEJUB1^+G#YLg<+x;6?Lf;I9B z1CRP{a|m|#2fw#nE)tv9zAGA7gy$yNS48ou>eVQ8a+ljk*^_;vZrIl|c`wiBS8?pO zhhW>cV~yaBqja%PV70C9X9+v%hs;58IoxBP&+NnQu$TbKjNv*{C8HRUoo^+i< z8VyJ^B9z?PD#bAFeZ2}{!P;Fg2$8L&vLBDrH+tSaQhw+6^Zy$4_AJtLet<*R7jt>F zD}Kdw{fj~e=30g!#}J{&MozyDZpXq+T=v0k-{9nKl}Ri4n-zmE_>oaidZSt6N=vk2 zfEY8ff?!Z3`sJ1GGLY#fmCWc@>3JXQbAnb%k7&+s@$R~vwOL)>ANL32DOMAf(nm+X>C(nsl94T%QusUkvcBY66U73QTwFcLgD6AxGtkQp zY*b%|1(4?0KW}k0@Sthk15C((*4-Hhf)}v&PjPWp^DfB;uWoS*pZS(piTOlp|c~_45Xip|I~Tq>#Em6*M<0C27~hM~s@y z=q-|%^D?MUfQ+9w#u(%$cT{=-)pfpN!KXJK9@T*vdJJ9l79Y)(@>+y33Zs;w@=TF2 z?opaE;rX4Dn8&kIf3i{G+&{5+?%fM`?iraseMF%fbL$i9jrid=R6I+zmw?+;V1hsM zD_?(_9kZ((B<>Rjk5MIB87eFJZ}u8>Ok2KU*%~e6-ZwMoff->#4m>%iC_yN)vYNlj zZ9?w*RIlL>p2I$%1tuki(4f;nPDszInb%kM0yK+Si=DOIbt)fB@u4&eL`(+C%@Q~T zd0q_U6Mj0l_yej)MS9e!tpk%_N$#P1lKSXn?du(G%=7l2{NJeCn8rGtJL$a@d{;T0 znLAxE+6Eu$?;<_sSG!8r?poOIItib~I+*q~oX`K_^3I2EjU#V&&_VLf_t}VknS4T3 zX}If(xQn$*lSf8xM*U593ilGv%);pCQ#VE#)@5ZHFwqwfl8vS=iV4klap%j)^6JgW zVr2F-5GmwEiZ+cV-!k##0$gsE(YTG7Jr@k)SNH_&go1!kBC3LvLVy1gXOKT$Kw{YW zt(g{&au92Pe2Yao-x;s)T8Af`#dj-Csfs6NcpVu8WrB-I&MzM+i#q^=bMKwqoAK)X z5#*N$ng6`n4*L*YR%PriWS2O7Q0>|Ra`B*!Hd@0Os&WYPSGZ{{7xo56NBnC1 zYd z6Qv;gSKdb&(r>=T20>Xh<4pTnfYU=%TB zGSvEHH`xC*%vM07iqnvBR%k@*pwyQq-!6HX`$SQW4|r-f0y@;~y2{S zzT(qkdsY;q6kL31X@k_ucB(^c>p3t15E$~oRI4yYpO_&rTu9mXG=PcuKn<;kp0jQ_ z=6EesLAo_av_tyfVhW5yzUT{C$sMAi^k7<+>2n~ofbUb1hd~fPM^D}8gK4S3#59{y zlD|KH=! zFU2eLKc7Ow)EQvK*GE!toSkF=#AjG$ckWoZ*Rl6VPU0g62B?<<6le1} z6JXJKFn{hVtI4HP~1d5*j!_NJbxGGoZfGnE=CJnW{>WUy$* z+Hw3pOxtvkUJe?~t=({1ADQ{@v&;)#ue@Yw?-wgcTJ$I=A$-`>GNZqguqFc7n6+9L zc36ATW)`H|%!Rbt!n_gTiI9^-@mqM@6_J7Eb0g zD@F{*saBgc*FYggo+wa^hJ5>2hsV~IL6-cnZ*;d$MN#qT?o@>dUy#I5!%&!84W|?6T%#s3kXQq}nPKO{Yq{pI65-D+ z76!9Pe))9`OSl$+dm2;IuN)P1PkL&}Iz!SbQw3Rg%W5RGQf?$TmN6n9RI0|D;${#D zu8=58{(z2I z0lWjE1z7;1j^Lw0xNcL?uesl6=oXiY7~CS~e7(4Eghq*CE=;FhP7Q^JIFY%MN zDR^|_1UZ~%*)dwQneW%IZQKjx8P)5f7c$Qr*Xg<4%!U&W+^85eBtpc#o!cKSbaMf} z9nH^L`F`cOt{pYwUE8Nftd(^&APzD5ajIU zWX$lTo=9k^%|7&QQx4gFDCh#Y z67{FOjUs7-&*(q$de7IaHErwKLPDsMd1{k52nD_l9O|L~B{u+c5UdyJIax`)e?|R; zZu!mgP@l^iI$KQWT}A@6I9y5*&y=>nO%GmUU&%?e?EO;^i{Y3;YpO2Ll6?~6TX9MO?5To|ctgqU)`~=`R)DHG0VmM2c zHs9c+!7SlAx;RF(JQ-4>vsvmUGzM9;a11?GR3hxuBxac)FB-ifJpSX$!jb~0*SD-K zGzc>sEWrP?3RR|-+a3;+`GvZ#1Yn>$-ysAvR*IS#VooaFb!>G=wdT`m=B1{(b%AZhXk-m==ey)>esq|X&TxpF?F^+K9%)k7!KrtD6IZ=J zbxlu(Ur~H{3jKCF%1{d^(9H^g3YFm2yP5|ctUJjjwx=_27SURHyb4eqD{V^{YIg#2 zCU2lDM>2!3g&^UXr)5SUK{lV-Xr=Wh8U>8T=kkOe8Y-FZSL~uBf7ajZmU+1<$mDdh zhVF&Cc1%jGw11t+t&>P+-gzBq9CnIbKh~!v>cI(LlOXmB!yV3G8~PqGS~!W;?BDx+ z(enLGK)C)@i;p6Wmrl@W__!ooqHc#RQwYne|5rcW>eYuiuh#zUcd70oaUju;@dqI` z%BWsgO-P2vug*IPj_;2$O7cVQ1>s~_s$_atObTyj^naVEo_T?xgfR`3w8{-p9T0_0 z_jgh*c=>fH^n7#YZol(?m8u?EIXm=}HzSP9vrI~{;71#F&VAYobAfDWLBNgN6$|f5 zP&@^Af9g1`>>tAb?jX0m;R)CizS5TWCe>)-7-;aB@BvHk~@ zKB{?h$Cqn2rrHCE;Mu92uD!OaIK4G^#yaarS^EoG1rpkubkF;rQkqK7XEaE#4++#Y z+LsG+NkU%LPq2k%LHpfG#W*m2_HWMeC;DEcr15UUw`&$Oiutr<@JXI;-1Sy1iJT5I z7DIMeQK3Z8hs>^Jg0Vczc+k_k(!#~w`+*qjgQ|U?hDtmz-uAN&PT{SqesVB{dQ~_M zELVj7nofrZzyMxp4`#S)TK{=(cx! z)lpgQi`Nq5v5Ns*)n@j*>G<>N(k`s=RwCBJEH%Q$FhcZcX6vQ*-n30cXGz%2p_!jV z_#my7?~&k0Kg0rT^V*37hna1G@&;_&9Ic_Xtoqc+^s}Eh!l!~-$X)jhqsI3X3|)n& z4AQ9|*#R)MmjNtl__0O#Zh#cC3ssrll6-!NXzk|B+vAdc^K4Iv=^*z(X-ChS6wze| zJ|0Rw1oB7s^_FZ?%zE`%%F^B5C9ThUObN0%noi=>^Yts24&CDsm5Hb2W%j#lb)dW?PNeET3K(yRs?c5q*IJ4eoe0hyqf&* zyo(wpLzVBh*SUGQ4(ax3)>E?-Kya^CEJ}p5yl8N5>G_<#8;)3+Y=+3~?hUJ`I+=JF zEq6jT<|>^CI@nFo`CvVoDxAgo(|8>=w1?Ergci-@L|rO4yCA7Ad3k?6)l=Ylc7)u} zwc4-TnVrbx+N0xIB=O*qi@l-~lo?@3TP2qlqak#rDIw5HDyHivN4W#}uPB(%9|MY0 zdFLu4#URaZa4us;KF8PHZXsm#=KU(ezP2md=FAJC!R9>`T$+B`8aH>#djrbAX%?$C zUXDlO&j2pwTY;#dM1|ZD;W}*JFI2QI7nsbH@pyRxwQHOTmLxr^0SZV3Bp}}v8IL03 za`fc_l>my1wz$NP_XlHP0MCGg4_T$Z_$FIocQ>r6bx_+D(qOK=NxjXor+p8x13VhP zwn%_5B2kxz=a?#Z;rd^Ib)7aLz{!gbSd!t3gf?3(o+%7S_)HCsvwa(Aul8HsP|Z0&}8k|267x zo}lsYm>km>vk=FF)Gl!$9-rk0$ehm>OSH8v_c!fHG@#zybGrQTL$|6Lj_1_T=$ZQB zV;1i^Eb&P%)V0pT8&zipd8Usp$v4DEeGpzGG>DS4y_+vq8SpEo>7O~YzBkj@{l(y8-q*{ z>BoE)P4*%}!G@JwYVd?(icHC0n_-_K$8v^?U*W+D!E$JN)uzw=T)1C@PNu0fMcsmN zd?{wft4c0b_R&-Ddu2N?e0N^4CI>6Isw3DH{L{YVvQM)GqVI2GX~ucg{oPR zW_>9mO@D9nB-Nb|XsHVtlUK2nd`L}CsCpH)wi3EZNK8*?vKk`q<5MSAzG7^W4g}1x zxjYs5jXg+EbMvw*gCg!xWV~-#8DLUJb&~IZ0pFL(OH+Vk16M(?>0B|lzSiB&U}Zm= zAPTip;oqiqylam>y9?J0k7l;#Z#rT%u?~InsUj|eW8%dkItkeaBmSZ^OH`Bu=V&Qn(Il2_{ahmp z+Aaq7WezP+7Re}z5hD4X4{wv`n`SGqyrMX%DUIt9<<<_wOf(}i35#hZAAZ-=z)Wp-*HL5{M)_udyqDr8A4Q(J zzU2_DqE9mumltcm=W`<+Hy&<9cY1}SD)V87-L(7{5toa8+n&TL-5DjYD>cGSzBcQT z*)9mO>nw*ook#g+CzPu>{)Zk!=Z+t+#;8Lt4{0dv`n6qGfP?JpG~+4<%)8!~7FZkr z%T{lzL+6KWfITS68`o5Z#8jJ02?o2j6~#XG$7=E@l9pj7yeTpK94#<;S)I{{e2s7E zYs=AKktc9L1k^I25-ufOwv9277n7VbxJ+3safLbB+hAn>S^MBh0@(KCL(gjHq(#E_ z#~_^iW%D%Y>;FnioYYtp!@I7>Erev}&Fn3=*vYIwJ>0=J>-XloCv zH5XLA?QcWb?W}=0(D7MDMZ92&KS7ZzXnn#0H&sQcOM@!)uKn%uD_90MvmuP>i0hbtEKAIaexBa@=M~D^>6D ztkP{3wVJ82=I*;Qaxn6iv;8Z?8#mNj6cGV@mB14>`Y#({#kB4PYj-Ito@BW#0<{y{F>+-QQ$Z20!2m#UVlcE%cY_GFp@D$^uB6IShJAWAt zxX6mbqvq@2#B?P^dR~_Raf(Vk)e{6CL$LBW(dlqtoKTxlxJ-|wwQ zL$-DTiuET>Tqn+7J&vWNzpoDI+Z4Jz4-8O^;NZ_9X5HX7<|f3rT#-u?O=!1`QQ~e( zW{rs z*bC}jTb0I#y}z(LbYFGOJ4&N;P5(vbJIU2q`a??COvnj7sbkb(g8(TgnEH%B?sOHW z<2-k}o^2>($w}5pCgP~dIKk@dE)?yaGNeo&pc)aal0e_b(@HJ-yf5+9u4P&EhDeU@ z^V70@af)@|V(%H2hJq_I*=<-(>QsH4MmLHGCYRDlr5)-q6 z-X4vyjq0v4RYoBi{8N<~pCqY7x9;{JCwh(wjXf;Yi=x$_U3jOTIWNiCu*!bbT_-k#kG_Si(lPpm3v ziv+37h;7S13evGZi!-)=NijN2u9tw?g!i6je+;_kPTcjJp7*1NhZ9b6ZD9~4)zLjo z_b&{2(fF#~M#xBGv8Q~7w)s1oVgxbbt7NU}vx$z}NM<5~o)lTb_YA4O0#w?T3r|O4 z4~}wpTte2{D`bD$D{|l^&>nexbCVSBa(-JZlU~)Lx6?Z6BuIn?mcIq;>|L2o1}gGA zqBfSsIev70Q!wqo*B=iLI#sO}$m%>E;a-Um0)U0iRyNfa#0~>GJ4K$q+Kgz<1jcld z_EaU*mtnvSM|5yv`jSO-ibr*#3yb1Ua)Eg@=ryiU5)TMIfz|_9pFX74+YA}GjHy+o z+fBL>Mb(xJ8geLrtK}&&AGzMo)PrJAYLsI$fdgD6`GMJRllTNzXP8?u! zqT$**>(#KguY39G7;WCWp4I%IaSh~hE+tNiFn@ykOfQ*zJNZ7Acu8?I(rz{?{5$i{+O^3gVwMdsN`*Oaw%Ymiml*K+nU{O@lVc2W)@G zV7z$qN_!oyuE;<{vQ=$JJ=fY)hH@ws8V(#|@Efg|qbbkT!IyUS8cn)#7Fx&D@xYUW z9y~@ZbHNUIg6hyc!~m?gzMYYdlz(nK-M2a}?-leR zW#qY%i01ZY&Mshc6T3g}989ed*#XReKW6{{z|P9b!SZjM^u=|L6fQse3w_1DKReEX@DbscZr^ zw|9YvF#}Zp1{BQAtV~25JOFwSh#A1m&dSKn%*@Qn4&dP71Q`6?N6f+A1tR4PVEd1l z6xhMl@xT1~$IRd89}!4lQiB)=o7g)${xJ#i{5LEH!NtwptU%_fQlfvre+V$CnmaqV zfLtOvESoJ^Ny8dDKUkW(?OMw_95iSm3 z05!VC<}% z>=4?23c&t97#k;q?Ei*ADlGqxe(aDa|8M=+S=rgR|1XRS5}g0DA2%C>(Z6L~z$R9< z=HS1Rqh{r04srQU@>LxiTmXNF|1-*D>_0mI{-ojWygIv>fL;D($j-{m&4WlmA)zFR G_`d*4o0z)* literal 0 HcmV?d00001 diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.pro b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.pro new file mode 100644 index 00000000..bb7750e9 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.pro @@ -0,0 +1,35 @@ +update=19.04.2011 16:31:16 +last_client=eeschema +[eeschema] +version=1 +LibDir=../317Regulator-BuildingBlock-ModG_RevC +NetFmt=1 +HPGLSpd=20 +HPGLDm=15 +HPGLNum=1 +offX_A4=0 +offY_A4=0 +offX_A3=0 +offY_A3=0 +offX_A2=0 +offY_A2=0 +offX_A1=0 +offY_A1=0 +offX_A0=0 +offY_A0=0 +offX_A=0 +offY_A=0 +offX_B=0 +offY_B=0 +offX_C=0 +offY_C=0 +offX_D=0 +offY_D=0 +offX_E=0 +offY_E=0 +RptD_X=0 +RptD_Y=100 +RptLab=1 +LabSize=60 +[eeschema/libraries] +LibName1=317Regler-BuildingBlock-ModG_RevC_19Apr2011-cache diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.sch b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.sch new file mode 100644 index 00000000..6de2cb41 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.sch @@ -0,0 +1,260 @@ +EESchema Schematic File Version 2 date 19.04.2011 16:53:42 +LIBS:317Regler-BuildingBlock-ModG_RevC_19Apr2011-cache +LIBS:317Regler-BuildingBlock-ModG_RevC_19Apr2011-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD9C68 +P 4600 6800 +F 0 "Sym?" H 4626 6596 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4476 7034 60 0001 C CNN + 1 4600 6800 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_VARIABLE_POTENTIOMETER_REVE_DATE15JUN2010 R1 +U 1 1 4C30B08B +P 5400 3900 +F 0 "R1" H 5400 4200 30 0000 C CNN +F 1 "RESISTOR_VARIABLE_POTENTIOMETER_REVE_DATE15JUN2010" H 5400 3750 30 0000 C CNN + 1 5400 3900 + 0 -1 -1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30B07A +P 3650 3000 +F 0 "C1" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C5 +U 1 1 4C30B06A +P 9050 3000 +F 0 "C5" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30B055 +P 4400 3000 +F 0 "C2" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN + 1 4400 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C4 +U 1 1 4C30B04A +P 8550 3050 +F 0 "C4" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN + 1 8550 3050 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30B027 +P 6300 3900 +F 0 "C3" H 6300 4050 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6300 3750 30 0000 C CNN + 1 6300 3900 + 0 1 1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D2 +U 1 1 4C30B00C +P 6300 2400 +F 0 "D2" H 6300 2550 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6300 2250 30 0000 C CNN + 1 6300 2400 + 0 -1 -1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4C30AFFE +P 5500 950 +F 0 "D1" H 5500 1100 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5500 800 30 0000 C CNN + 1 5500 950 + -1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R5 +U 1 1 4C30AFDE +P 7500 1900 +F 0 "R5" H 7500 2000 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7500 1800 30 0000 C CNN + 1 7500 1900 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R6 +U 1 1 4C30AFDD +P 7500 2200 +F 0 "R6" H 7500 2300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7500 2100 30 0000 C CNN + 1 7500 2200 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R3 +U 1 1 4C30AFD4 +P 6850 2200 +F 0 "R3" H 6850 2300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6850 2100 30 0000 C CNN + 1 6850 2200 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C30AFC7 +P 6850 1900 +F 0 "R2" H 6850 2000 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6850 1800 30 0000 C CNN + 1 6850 1900 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R4 +U 1 1 4C30AFBF +P 7250 2800 +F 0 "R4" H 7250 2900 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7250 2700 30 0000 C CNN + 1 7250 2800 + 1 0 0 -1 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C30AF68 +P 5500 1500 +F 0 "HS1" H 5500 1700 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1350 30 0000 C CNN + 1 5500 1500 + -1 0 0 -1 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC1 +U 1 1 4C30AF4B +P 5550 2050 +F 0 "IC1" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5400 2700 5400 3600 +Connection ~ 5400 4450 +Wire Wire Line + 5400 4200 5400 4450 +Connection ~ 6300 2700 +Wire Wire Line + 5550 2700 5550 2500 +Connection ~ 8000 2050 +Wire Wire Line + 8000 2050 8000 2800 +Wire Wire Line + 8000 2800 7500 2800 +Wire Wire Line + 6300 3700 6300 2600 +Wire Wire Line + 6400 2050 6400 950 +Wire Wire Line + 6400 950 5700 950 +Connection ~ 6400 2050 +Wire Wire Line + 6600 1900 6600 2200 +Wire Wire Line + 7750 1900 7750 2200 +Wire Wire Line + 7100 1900 7250 1900 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 8550 2050 8550 2850 +Wire Wire Line + 9050 3200 9050 4450 +Connection ~ 4700 2050 +Connection ~ 3650 4450 +Connection ~ 4400 2050 +Connection ~ 8550 4450 +Connection ~ 8550 2050 +Wire Wire Line + 4950 2050 1800 2050 +Wire Wire Line + 1750 4450 9750 4450 +Connection ~ 9050 2050 +Connection ~ 9050 4450 +Connection ~ 3650 2050 +Connection ~ 4400 4450 +Wire Wire Line + 9050 2050 9050 2800 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 7100 2200 7250 2200 +Connection ~ 7750 2050 +Wire Wire Line + 7750 2050 9700 2050 +Wire Wire Line + 6150 2050 6600 2050 +Connection ~ 6600 2050 +Wire Wire Line + 4700 2050 4700 950 +Wire Wire Line + 4700 950 5300 950 +Wire Wire Line + 6300 2200 6300 2050 +Connection ~ 6300 2050 +Wire Wire Line + 6300 4100 6300 4450 +Connection ~ 6300 4450 +Wire Wire Line + 6050 1550 6400 1550 +Connection ~ 6400 1550 +Wire Wire Line + 7000 2800 6300 2800 +Connection ~ 6300 2800 +Wire Wire Line + 5400 2700 6300 2700 +Connection ~ 5550 2700 +Wire Wire Line + 5200 3900 5200 3600 +Wire Wire Line + 5200 3600 5400 3600 +Text Notes 7350 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.G Rev.C 19Apr2011 +Text Notes 750 7450 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 19th. April 2011 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.svg b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.svg new file mode 100644 index 00000000..b916c945 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModG_RevC/317Regler-BuildingBlock-ModG_RevC_19Apr2011.svg @@ -0,0 +1,10618 @@ + + + +SVG Picture created as 317Regler-BuildingBlock-ModG_RevC_19Apr2011.svg date 2013/04/21 23:12:41 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011-cache.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011-cache.bak new file mode 100644 index 00000000..d2da3067 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011-cache.bak @@ -0,0 +1,213 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:36:40 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011-cache.lib b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011-cache.lib new file mode 100644 index 00000000..280f61b2 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011-cache.lib @@ -0,0 +1,213 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:53:09 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM317-TO220_RevC_Date04Jul2010 +# +DEF LM317-TO220_RevC_Date04Jul2010 IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "LM317-TO220_RevC_Date04Jul2010" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 P +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.bak b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.bak new file mode 100644 index 00000000..dae81e37 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.bak @@ -0,0 +1,256 @@ +EESchema Schematic File Version 2 date 19.04.2011 16:36:40 +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +LIBS:317Regler-BuildingBlock-ModH_RevC_19Apr2011-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD9DEF +P 4750 6850 +F 0 "Sym?" H 4776 6646 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4626 7084 60 0001 C CNN + 1 4750 6850 + 1 0 0 -1 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30B5A2 +P 6300 3900 +F 0 "C3" H 6300 4050 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6300 3750 30 0000 C CNN + 1 6300 3900 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30B4D6 +P 3650 3000 +F 0 "C1" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30B4CC +P 4400 3000 +F 0 "C2" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN + 1 4400 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C5 +U 1 1 4C30B491 +P 9050 3000 +F 0 "C5" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C4 +U 1 1 4C30B472 +P 8550 3050 +F 0 "C4" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN + 1 8550 3050 + 0 1 1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D2 +U 1 1 4C30B44C +P 6300 2400 +F 0 "D2" H 6300 2550 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6300 2250 30 0000 C CNN + 1 6300 2400 + 0 -1 -1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4C30B43B +P 5500 950 +F 0 "D1" H 5500 1100 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5500 800 30 0000 C CNN + 1 5500 950 + -1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R4 +U 1 1 4C30B40D +P 7250 2800 +F 0 "R4" H 7250 2900 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7250 2700 30 0000 C CNN + 1 7250 2800 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R1 +U 1 1 4C30B40C +P 5400 3850 +F 0 "R1" H 5400 3950 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 5400 3750 30 0000 C CNN + 1 5400 3850 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R3 +U 1 1 4C30B403 +P 6850 2200 +F 0 "R3" H 6850 2300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6850 2100 30 0000 C CNN + 1 6850 2200 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R6 +U 1 1 4C30B402 +P 7500 2200 +F 0 "R6" H 7500 2300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7500 2100 30 0000 C CNN + 1 7500 2200 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R5 +U 1 1 4C30B3FB +P 7500 1900 +F 0 "R5" H 7500 2000 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7500 1800 30 0000 C CNN + 1 7500 1900 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C30B3ED +P 6850 1900 +F 0 "R2" H 6850 2000 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6850 1800 30 0000 C CNN + 1 6850 1900 + 1 0 0 -1 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C30B394 +P 5500 1500 +F 0 "HS1" H 5500 1700 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1350 30 0000 C CNN + 1 5500 1500 + -1 0 0 -1 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC1 +U 1 1 4C30B376 +P 5550 2050 +F 0 "IC1" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5400 4100 5400 4450 +Connection ~ 5550 2700 +Wire Wire Line + 6300 2700 5400 2700 +Connection ~ 6300 2800 +Wire Wire Line + 7000 2800 6300 2800 +Connection ~ 6400 1550 +Wire Wire Line + 6050 1550 6400 1550 +Connection ~ 6300 4450 +Wire Wire Line + 6300 4100 6300 4450 +Connection ~ 6300 2050 +Wire Wire Line + 6300 2200 6300 2050 +Wire Wire Line + 5300 950 4700 950 +Wire Wire Line + 4700 950 4700 2050 +Connection ~ 6600 2050 +Wire Wire Line + 6150 2050 6600 2050 +Wire Wire Line + 7750 2050 9700 2050 +Connection ~ 7750 2050 +Wire Wire Line + 7100 2200 7250 2200 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 9050 2050 9050 2800 +Connection ~ 4400 4450 +Connection ~ 3650 2050 +Connection ~ 9050 4450 +Connection ~ 9050 2050 +Wire Wire Line + 1750 4450 9750 4450 +Wire Wire Line + 4950 2050 1800 2050 +Connection ~ 8550 2050 +Connection ~ 8550 4450 +Connection ~ 4400 2050 +Connection ~ 3650 4450 +Connection ~ 4700 2050 +Wire Wire Line + 9050 3200 9050 4450 +Wire Wire Line + 8550 2050 8550 2850 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 7100 1900 7250 1900 +Wire Wire Line + 7750 1900 7750 2200 +Wire Wire Line + 6600 1900 6600 2200 +Connection ~ 6400 2050 +Wire Wire Line + 5700 950 6400 950 +Wire Wire Line + 6400 950 6400 2050 +Wire Wire Line + 6300 3700 6300 2600 +Wire Wire Line + 7500 2800 8000 2800 +Wire Wire Line + 8000 2800 8000 2050 +Connection ~ 8000 2050 +Wire Wire Line + 5550 2700 5550 2500 +Connection ~ 6300 2700 +Connection ~ 5400 4450 +Wire Wire Line + 5400 2700 5400 3600 +Text Notes 7350 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.H Rev.B 19Apr2011 +Text Notes 750 7450 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 19th. April 2011 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.pdf b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.pdf new file mode 100644 index 0000000000000000000000000000000000000000..f4d61addf718c0c33adfe924b4abe85567bd6850 GIT binary patch literal 42363 zcmV*5Ky<$)P((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58-$xX&tq9X{Ga`(pWF3s+xE|Xo*)0^|M~deKK_?X_$M0M_IcC~ z`@j2MKTFv@TDd5c^&y4zXrF!EF^umI07mrd5sl^eEbIBPmCwBwp|SMO^(63+$o^TD zJrgmYoS$pIXb91x9`bkZ)q#2(AKNA8i|?EHY*U#G5ISI7h zK5NM$05TLP697zTLh0Kz7cxan zMlXL}b9eo@?dL^7{%+S)VMXRJkHfA%HQpC*_!-)99sf7+N+i|!DqUnr7{Y%NK%l$T@c zsf{y7s?S9V-Q%AVs)ue}kwU1{EJ#%pVm*$;ULcffXbq$!NNZWLf}A5zKlgo*t!UQL zvv36|`#y9J?Sps&p?p&_athK9#M4{BMdU)BUpgmQ@63`vm@eW>A=7t?NZ= zSBpYU?@Hh)k+mOJ8#9N#MfbLH{oOyyA)?&O0>BR*5k1yx_QZ-Kdx!j2FLMkY%lWw< zXX5Z!S6%&6EDD*#fl#?5cVs4o?yO8~iTruhm86UJ6PVL=x&Cdi^UAxxR5b=Q;LkpM79G2lQ} zyH9b}qAN@r2ZL!#fKFR9;k=P9=It)4JiM9pVX9rPQ~#{1tq)P5dY$oW>!He3jfvHO z?K%Vk5am8DgDSPLol~gp=N?KWK-D?&%V5213)z8;2#|8L+@^hAc2TC<)aX>e`mNWg zbhvuk*s8i{(&!0*KeV9)CQcV9ScuK1Fhl@-3NguyU4~s2oIq>M(#Dn?qQkU?>;!2` z&BDu&NiUi~d_fxWi|#4F7$gK1jATT4MXM0Ugbdq$QMqo%tz8qpshxRUm#=fA)n-OU z+c&cyG9W`}wd?=m8gIJ>u{48PGxNLJo#aAJwQvO4#355JnI0>Ca~T({9-n&qehF#$ zb*rbk=yGSLn!i4tYx9&5+ZiiE){BhspmYYV9-G{|*iIpdL!A)Uc+azIKf4~Eg4krz zOurD}O}lnGosb}_Gj7c$M`N~yAnN!8PeQkxTLzkLR3Vv{K>OMT@io=IEaK~$b7`$i zgDJ8gZ8;Qay3gr&nz&#HHC=UQ%-$oet_8BK6wbxfv8n|e;(9{nxHxVVDk-u##U9UG zo}XtQl;mn7J4{lN3pvU$rzFQ_H}sg63lW<+X5lF29>~C`urGm@w&np}NZGTpU4Yv5 zp==j&4x-ZG$XZIucGOGR)}h(8?phm2SKXe{x|1Q6mQ>)8EG~8HyD}kXpvdCVq@xc~ z_uqstnk|nkjFHX{tsaf0>*fu{Z8Y1y<_#zP2BK(sbi{Ao2>sOT$#~997fNg==W264 z!_--9&kQ2P^pBe{ei1wG)z49D+Ie3B)zx%q-5&RS9a;HcxXhs1@^&M3(VC~SiCt9k zOuhu_OUv8Mg^2M>?Q56yw=Q;g*ezCmqO12pwhA(POGl0Xo9;&xL^qFc29~o9$CMa< zd!h>-Q_qbXy6X&nB7uvbvJ4Dd8}XL6%Me6H#EF#FoJeNxiE^-w&Y$?KmdhaMF-`Kf zZOc$rLG&o0LJNYG;X6rRHoYtcB*?N)9$RTNwmk|^lF|s(G6KcUqu3Ax>NOu)`lB^# zks#-m4=tfwhgs0k*lYq4#5Cafq1Bh&oclbqxGMiXj4-YMAgxR$XN(ienT*{< zkgC?KZ$N!L`~-L4C5YJSxzVXRGIt~a%qGT`q=;J5*t`>+;JiynE9OZ&N3~8JHoX*` zQ(9F5*fs%lK^23?A zl&!V2fJ!wxdTM%GHg|+o5Vo`Z;6?1 z(_9oAj`f|SgAs;wz(q!k(~vu)F(JWgb8drH0O+czUkd24a3N(eq}VNrit`dkPgz1u zBH3cYvDw^cx@O7np#T_R zMvRLYmDt=?aD}{B->y4G?MX!+k@2*im>4MDs;?7l9cK~sByAU(lxC0X5CdpP@ zj-<>5%kD#D7-DSNH_o1kqgvD~&CZ3WZz1|hzwzm*MMJ20dTxY%YW8S6)q?StG{gq| zR#Rp1eMXSSWN=*hEMG>@?ZmhUYbw#)_zub)@;cqd4tX87xe-Jy>MXC3&`-^t3<;Obr^W5?{Zfvj-2nKv-9ICc-BID6(I1^<81c1D ztl4iNU6pl+mFReuQ5u)z`aU%4bWDNth3E|mt~BXvYh})Ty~_c2*5uX^WUtBnvG>J2 zlM}9jUDCytxVT<)C5fx#iz|N1a~-67qw_Gkd)APh7&%(Y_Bl zd86}FvxgU{eczG>$_;3YpR7f%Z29kdRBPQd{+=QR7oxE+Ug0w$(Je!)RYbKwo332% zJbbdaphd`FUFnZO$N<-`2pND+-ZBHBubHjrLnI9TG8cY97?(6TkA4O=)e-esy&Ie?~2h|7t zy>1T4D`8g&jlc!4SPE}a;0v<-lJP$x)H5^-r2^#?07UP>B}SN&)2!j#qekK4| zs=hu*0YLFfo!Q&Q--I-(IsdXWMmj&Vni>rQfEY~#bJA}h3Lj2d%{M|nHG4Fk^J-qW z0g0KtdTIc+q=#g5PvBDBmfH?+-BJ*BeJrdEjy6218YBw!QZoV&N59yaKK3o-AH9?EHpcx9vc1 z+m2SeZ3k^!oTGnSu49ZDF+L`)+qBVW?h9feyo2Un{07W>mPuxQB=l3Wsqq*Sc|4F$ z{*5^VVmwKPBcY#~Ju$ zhfYWpt)02oq^37edK8`uKO&07}i2nM!9%hoo zFZN~21{i;HC-YOQhgO=Tmx6R-MJV zI23s*rfPU9k@^H?U~5K%}1s?(RE;bo-^uAykDcC zSv{9_7vHa(>cS|SZ%+Kloy-r79*xDLcZnh2iY`R9Oxt<1>rJz+0+h{bMKY=7VrM! zolq}L7YkyDe6x8HIe$Y@lwxOglEsbCPtB&rv%)>k@vQz%Bz_apzN!4V%ShLqX{2W%!BQk!oO=KJiIU`=^>%$N=;WSssq=U}a z=Z<^1M5~pQCfP$>sdI}dPBDcjRxnjp-&~7F-X4)z%r;469EsswUCHS-0Gdyn_UH?e ze9E+ubAStC&qS~pu~957lBVK%@8l|mJjJIvB-5sBKA5D%7od0bgjB6Il6Rt7E$=gS zWg;wB7)XEhQmKJh7KyI6$blY)1KkGM588r~EL!Xw&VrM4}rA7DVcNVnH01FOW@Q`scLL5-pGJFx?GGikyMG~fB_x-lYUsB9t9nEAQaTH<92{~M(aX7Iz9!?w) z4<{lx+@n<(TBOY>y~!+%amp0j-7CyZnZS%qurIz{kO}}UPjSBOgJmWTy5$@Me?d6- zT=0A0;fQ7!;EX|shorI8h)k$E+Js4UA+}xcUP-!~@(XExYlL3h2>sOT;e${0s3fjB zuO|>!_tP?F!jY+c`&i8H68&AInV(X&c%{w z$Rz<u&B^Cm(Yc*#{eAWa*8Fz zzPY3>#8v{A6cH{dwu&VM(lHI*Ij0W81CRv#d_kR?>jVte>;}@N z-Efmy8sn7RAa7~ME5q4!Eo2FG%Z-bp2guZ!aeB?U)Ya*WExI~fqhi8D36GELWFi#m zaZnK<9+0N;vU}_^eOc^rJ`e%)`92#7qHpO)xG$?#*oe+w0bz*I3~U5xuo}H5y&EO; z_}T3~cqa6T?~=*r3*Y6qA<%l?H{@BZX;ci_6V4K2K)`*p;=U2ILyQ|W+9h4N#S_>E z2gbu^wYFzLqlnBXCST|B_9M?@*W;L-h=VFuw>%gRm_2^#3jOlItp4U^<|@|Lc3am( zC>lO&<@n_3sBA_2$qUGoAjILhWOu1Npd7s`f{e};K}P4wDL!tJ;yJ&AX6`9iYM8RZqa4UWrP5^FpVs&8+ws|kq3pLq?h%oO5G1G=5F3uv zZQu3*IrJHSm`sA`JARr>7#s{H{0&`TgHS0@#eA^iP(%!NhQYcHmeIwbqz{yApZB`( zG!6u(G1krn*?k`dRUjNPn{io?H1{=j4_R=8(Wrc`dl?7^;$F|(`(v+XuU;mU$DM>N z2J5BQMtkaU#SKXWUgBU7x~IOhj4ibuw-}xvqkVJH*)5eNHQPViAoJZ7XY*=sf-L(e z8Ao_5u#pG#KowEH=r>dvqYJeM2MKW|(JJIHagFb7vDBB?4%!AE{PW#F9=Vobqipr& z+kZr+Sq4%f<4CaG8hvUmKS}Cy$Gt^Oqw|TVX>X@ho`}x7zw!#L>C5d@6U3aGIMiOf z!@Ef>>8oW+Q%wTl9>rFey_e9oQ;k!M{*yRP^J@)=@e%4IF8`7@NXeC4hAol^^%jl7 znjvMff!=9ohJ-R=T*Mk7uiRWI4|M-z#=5 zJJjEMj}_v>3!pQ|Vr`zVEg^$rxDODSI2hOl@i9TpB?nWwgdzsJP!Q7x9-8|)#10>I zy)imqpBxbcZhl|P`*usp=zFzrcDhYT;m@)S&6>OQxte#ck{7F)2sXf1Gz-?tkjIys z&FJ~=jzRjR1<^e*F?Mou0~aSZKx}tX?y+tI8>hQ%VscJ8ayoxwt>O|$+PrA6e=07< zn3z9q!H0{`?u#Ot?YBiC$QXPO1ly#)W(X)4*_qD*X7^h!ehw+=lBUkeFwwOX=3RAI?`#`#v1b=zHdDK6d6!qHiy~H^&0pl0oL~mh2iz zI0qJ_4&XVk&~Aa-N1dO{u5>e1oZ;D_7C)g<9w!ecTm^1)eron)IOlrH8SQ5=%axs< zg$6MYe?FRqbHY(aD>)&)BG`;>Ba)^sULdoR0m%@N6zYqegXX!F5WB6sq8S$(N#l@Y z_XIY2%b&Xkq-b{={HUir8chQ0_7E*0jRrSG*tBYPn$Zi`VKyFwxV<1cKQVL?29Xe1eocNB^YrJ!c#XFl150fG5mL zzQLBSglHK*zCPayVhx^AKLG07_D1>rxqLOke&fm}rT$wVkX0i)Uy(pBpFKG}Cmp0$ ze-6Rk7uDvkcmOV+Q&~?(G#gtAv47;(=Tf`cz#~2 zcwp*X%C!|p!<_$tV42!awv9L=7cepWWmn%7ifJTNjOc=T2=T&GJG*)>??=-37s`$3in z^fQfS^}FBGr`gLnNMiHXV`Q8!iURR;_#_LY(T6OE#GuhE7z62$2D+y->V3j5MEz=s zFp!W2d!Ld<`;5N50N7GuFpp?-H;8Su2o*dLF7=^4%CqU8+)KeYFo5 zow^HTL=u%&w)&C}wpikiKqg2*hGdCjdhOGJ$sBa#N>bY>K@c@#Vp(~&Ghsp)b9 z_I(uUt_P$*ML*KGNu|ZbgeqRNjGTI(G&7UE>&V{K}Kf4$s2J(bWsdRB> zF8gBX5+QU)?pm2XJN{q(c_*h%|jej&zLda`Pzj-6{TjOhs)<>>qQJt54$I9pnBBt)wNB)gXce6@W z@sl??zqTwakFv_=d^TIQftbhWXG99X34+hxy+L=^`E4-!GQ@fyN&=0{{(nj)54|9Xe+qg45~;1Z-oxh$*q9=+JN%5g`-%7xI5)v z+qA@QYc`Et4uPmWV0O=shzvLkg4a8AC;f|uKy5pXNjn5&KNnFa4SGPS#aPX?C4iVi z_1wIYVj$Ja-n`;it6r$}PWcy4HTg1fJ*>R4ooZ@)94iglK;$-MgE>n{$m<=tlm4|4 zSG@{WeN&}NE+H0VRz$Au1EODbJu1IqoFM;<@s0E^j#!t|(LH4&kmjKqLDc;)AiA24 z4qt1AEPiq){cCD$zCqb7-PRFXOg1q`zQQ__uRk78Q8l~-abxEqWX44NXt&cP5gI%D zHL2r{ylH6d`y=kro5y)A8L;YiBfj-AF0UZu zxW+Oo`fceEF(=HTc_qrj9g-36=Vs@=-_OU+e$g~;R+d0@-~C7GQRa{0H?S}7-T&H5 z$rlrw?)diZLXa*Oprd(y;@XLO+mM^_uBPuucWB<`rfmRY2Pc#HfFTi8t5EF0n0eK z59SxoutRUVi$0XFGfYCyK)#Y=ocm|nG#brqPJP9CN4~Z~_`El*Nj@TaZwWFIMYXO( zh<+62@%*B~ie4qlf7>ZfTXS41F)glFf_Odq44JAyLj~D=sdlY!6cfI6k6u+j=w1TZ zZ48hg&;h?x+y?!NmkM)m$s$Zw3dw7;MVAn}vWHEz`gPX~uRTLyX2CU~-n63`vcl!2 zY_D;*K2_XftF^8}_lr)_IK*J$7q0?~Z?a3r$ub+!PnOnlh$-K?XKaaVLTd@7xt|$y|sT z+G#aYFVV~!dv)HZ%Qu1%JQy*JAe!>G>D*x)8Jg&j?r-fmC!a&D%bJ85QFGNVA zx*iEpI>KK5kw-S9`-1NE{t9zE0rY&>X9zPFt1WTbF2a}2%nzKl&wO?H$04@5=;tYt zd-R4pi`1n?tG*SuBM-^g^fmcBxLuTP$YU}V(JgR~+>nQ4Hdk89OAs<6@{mk7OZ1Y& zu3sd%BM-@}9%+fuc&YD*NxmTurG+55eY#Z;xxk3D+;#MZOiTj;#Xn8MA5Kj1J;*Bm z7-1mpA-@3+=Nn!GIX&`xy9M?JJR)1oAZz~R3;`tNTafNjxFZkgnt9l~JVfg0w5$Ie zct}+&z*#n5 zKOj?t8AXGS@Z2@I^y>%Y5#dpMBVqTZyde*l27G7hePy_%MFg(1cjTd#=zEAXnUR~EfV_v?iCP2>%kn(_7XM8L^zFAOL8Xyhihx1WH?BMU0K zeR^h>$LD=2^=5xy<}A5si`(=anwYwD%k_wp+^tTAoZgZ3;MM+h`}^TMnk?;tYa2_| zgd|*fL+g3}fp5yDM0>s=&-We~tt1MVw#p*{y{NhgxVeE0+gA=Jy@K#ooX*OwrhS}@ zfjk_JU6U=ND{om5*>oHEw6}}NBIfZE(e8p9*&TRjvb25NH0;W;s1kfZJ5%5trY}vS z2#B96U5|^ddub*$tA9c!);}_7(g7NdCyY3fZGd1}(!th03pNdl6w?9xhCCS`k~-|A zu;p5)vnS?~KDk)Tb}Nxht)Fo#amf7x^sjVJuy+?>a;6M@=M)nlQ#Pwj-FMRJScgF9 z33FpJJFJ)u77N-QrQ`!>p^F1s>IyfADbDK+nOWk&L+le!$X&b4dhBj?ausxf&o~1h zi=nF@fV9H%Z${)1Q-@t=lU0g6GgKWsbbsBM5nr5a)zzc;6~WEa>ag6Yv>afk#D+r= z#s+vt9<7^JC9n(*RjmG*r&3;)8O12>j^jWT(csyzxSZx2-bi7rfwyI$cGe{*^kRtij!M zIEFs$E_S$hcF*dgDRF4Mj(i#&R?{QxGt^72KdJq?oO#k2N1z9LMR@P__oLN#TI5#0 zcK#h~GfPb*BkwX&%+MoG@*6m>H+|deWWLmizj%BS)6(pn!LfPLse3z_cN059_;e*s zyIN0T|9?dk_Nac1*tXT>vY~PZVz()vsR*T4a=V4<)~%d!M@a4QJ*0 zi7w8xeMC$h^CZ62!=}!kM#yX9ea}}hhy!;EwE4-^izN-ebBepKy10|wm3Z*l8hM1C z69<9qNKTax0cqHkijALiW-zA|T!?w2$1^e;m?BXSpFg)FrBUc~Exl*e@a=z41a*8< z^uuY;h>YghLiq75S&kV!fWylsuxf4u-E1r zxy4~>Y~)BXpr$Y_>wSCULPAzLx5*dNfiAv0{R{xqlBrRVrh}jvMHseKi1`|#Wf4&2 zm|_vX>7K$+!f;2P7}bfSxq*_il{rj)#;3$YJi|&6uE@3XFa#0KDj#p*L`r7@Y)_=S zah^HmzdnA#b(ww?=a~2q(k&p)I_59)tn+hK3YPoH&~8IcVK2{}{>iv1{z8FU-|B`5 zFBfTVmeM`8iP;(2QRyTOg1mSv{1XQrmI?!sZySp)R83|`dyU%^G0jr!vW@$Z+a^ha zE=bdA(}n~g{x$N@&eo&?-{rsK#u#UVTe>mHQfaeoNn2jA(PGUZiXVceFyb(UFkxxG@g)Ok*KV; zh;w!+5)CL)Nl#b@p9PwQ-6L5IejDT#8$WV$NpcU?l22DjQ$5P?8zgdLjIaD7mvY+T z(LXxtNJM1*H(I^&kIV#!9|eM=l8cMKX-vn#T{Dy{<)0%-eZ3nXQn$2iA33F(KY~^M zLkb5bEmAljj}qB6%gr`SXD8mNoV)-O9C`W#JZ;xdP5#9Hj@LPn@EsO`rD4)IyCcsY zvi`$ey2=TDt%D+E>h!f^Ag3ovz9SD)GyZYUQXDiT{})VIF;qls&J7rhQj z-9azv7jkV=0uA_s1)rN5Q4j?-gTIlV(_J)+;hP=F z^4hDjDO;8xmSmn0&t50v7i0&xAYwQvqMkC!Yao3d35e-Tt!!!%VVga6&gooqr_R&4 z_(2z1Vr)JWO||5~ZRr~Nl09M5=@7*Gu6D`_;=&=qqcEE7?vqy1*E9-Z75xMei&Kzp zfaUrMU>6QSB#1;r0I~W~8~Z+RCcEmmqU)DHa-PNj0|_S3EEu%}NC@Mx1VuNuMNB^z zpaE4~q>rhXM4N|8W57*M;w<&*p5!UQn-{_g!kc?o11WlO%d+7+hY$|lImpzAGAiPy zhrK@<5t~o6(kbpekyb5O+R!}^3~_2AR!;*9WEnm%_E2D}ZfaRztDBtY>K}ktB#Tz3-`}v(1hG2;R+=E~tZAtU;^6|!O+Z*|xtXoDOxjc< zy60+(L1tthTLr78PY}n{kynKg?>U2XDArgoj)K7y&z1{h^}M{`Va2x)L)TXrNWOPs zgjqTnvGs{o*gJ!C@D<$$r#YeTZ#cE;dqtj!9%?27xvthFdE=i6OuRW+>et=7tP}gV z5joVwouuwalDarS+L@&;H~6&;QkT&TLf7FXk*B{^;AlX3lW1@h9S4tlO8@v99-VGi z6Tu{55_xn+H;?We!Tn=qp-4&h<;)A^vek2j^0N_3mHv8U9d{&+PwMv9mUVnT)M@HE zt~=;{_<_SS{JQa)kCkdINTGeTw}iZCF{Ea`qbJh7>$Csh17mz+ZOV3&-j|kbx3YKj ze@=>ZSI=`6YflH2{iY30{b%|wpOkRd36PX7Nes$*va9CJba%&735&>YXl2Z%wy6TMnzATCp6~xEvO}GEesIeaQ!5 z)?x1YsTp`*oQDe-juZaCOzzbn%XbOn@Qm$fcDN}@y4JPR(FNQORdl!b_w$D6eS^)o zen~lmnz{!PLqxM+6r|73*%PF9b^WFl?nv5kF2t5*biMm7kVd?REec@%K1PUr@q3kMZzmR+d#aobTnFcrhxF0)`;3|eW5GFmoSmI&8B8xboHxY zAZRwDD(%pCNs{S>)B|iO7g7_HZYt%X9mpdh8v>PK@oV8wT-eI^x2gr3gd2f`sbHyd z=Q9m35U;?Qo1N>R@a^#6QS^U$RtHCT;sZUw1fXCvT7@)DT8ogF_5LR4IgM|YOOXzj zONi_G*dRxve!uEDk`9+Li4v!|>kwZr^57l=*O zJMvHmWOedobVg+$AsmcoJ8@4 zOzH9ISp#?E>j&iDo3N?UzmRad?eeFa0`JHqVe^}3GJ<^lfXonH7fql@gdO?%0hyY- z-JLOaWZZ=Q3i+2U@;_Ymr`{fOSAl@=-y;vZ>h<0gkRbeb$mI5m%`DEHIO>1nY`X0* z<1Q5YW_#&``^6hzgFbv`3as6v9 zarrOFHk5zew(?)gzcvXkrFpaj2_ny>a$53tX*)-ISYXgzyDb!QqvMRAz zT+O|y@tgL!Obk!gS+xhtX`M;!r8?5xsQZCKw@F zuiv7XeKj&uK9TJl-JhOu$q{D2m_Vyw6qMiOGdDL<76QA0ZYomj`Dv<1P}#YuU@$v; z5k$Jib21OzH=fu$wMs?aJZ*eQHtBC&o@fzUC&^}>&^3^KaE$ydmlFIg@;LYC$|Vqa zm^>@1O>-r(tTxY{2&8yUUdXB-!y{x>Jf9#xRgifY`D&G`gD+H9bs#51yUuph4Acoh{A#6ysBwx;5sYuh};*-%FYhHY%CNo*pHUql& z*eDhsNoTIScK{gR6rUTdZE&^u%73U7x*AIPbZLQZ;qm~o^0Y!D6y*xD?tc zSQbR6TSnyK60Ke|&`3MPv!>J1Wa{PGL+%ARGPv#tgasAF;Iki~rIeebb1=kgf_YSOhLO<2fp@(qNKSwfQf5}I| ztb4Y;bt4tGMZxT<^?e~8B**lwdouM^ONhK^>!)VEuP4&3xa>dJ!1Kd9V{?|n+EwY3 zboi5Wl$v zH=hY20#Zcw=TA~%G&|2uAdL5LeDBD_^E)n&$mSu^oXet<6a?lI@?Tp?m&6mV+Sfb@$~UT`jSxi#avf zJNl|>8Rgy^4J=GVyB#U<8=7}Q$R4O>qz;|~?d&)P($x(!+MBDqbfwo-&hQZt<2IUM z4F~BY*m}Er<}spKFbmRc#@_~+S+R{+=|E!NS@jL*I&_ZbZ)waMZa02L#P#)a9_KYnEz_8;LQoX%W%P#HKm^K0xDQ`;2RX>;T8+uWWF?91+zF zG~&%QC{x8!@8FXI3}8El$e%!P7lU&3=S0yv-ulG|E1nMo8@3zJ`u;vT<_>>QR(L17o^!jU{derXj!O& ztZmS--Ey~*lI`bb%M+OX)+uI-&@j@auB5&puNYq-7TirLw$PIW61l<@g9PCJ$|8za`w-3-Xjqf|e@;B#AJZ9ZY8OkKYif z&%Nzdd2G{oyL?LXTkDKj7Q>3Kb$PuqLA*dNk;0EfuvxJff>PGphk_ELUeinvFOa)* z&wVHsPI+0Wu@;B`miZ8knrA))VXNHBzF&eM_rPa|NHBp`!D#cuht8zi7sw!$AB02TJkpx($5f<^6h0H>`0Q0e%)csveB<)U}7xvyW``3OY_k0 z?pvc*n;QWO{i2c5vCywmRvqxTI64P%Jg+|yQtw`FC6M;{d^tc`wnJrUA+#UAX?_Eo zS!dg31^KvMI0~(<j*NJA~qeXKMNG7$2CR>3NiY5RN{M+#{a?o{Zg%q&+lTJ;i}$>0-QQ=?feN}1_C z_0_RW;WuIvVtGLn-nF}9dHA%H16~s>JUs!R1D36j5!Dm6qArngaFx0b#J|nSW~V^j zBh6yC9o7zZP9I=MX8w~&( zDGQr_@C}DKgWyzcK?=>Ry(Z*E(;+qUy*()V_Rrpf3ykoMu{jHlvGYKlN3^5sg6}_4 zf-4U!{APWgtQKStDkrj>9#fba-{Q%1negN4f?OesEVR62F8Wh?jPSaDlbp!mcXcxP z2JFvE3kKQdwIu`T?r@wU(>)uG^R*z#Q+i$uqTSrp+ich9$U^J6>#84l`bu+9 z?*|HhW0v=7P{n`^KxNx#g_wO%W?-Mo_kt?z0nKk45WRJ<8J90fC!t3FKsZFS3RXe6 zJ}-+D5?j425?8n*X~S7i6E`~dV1F8Mp-~#h{DHQhzHCTv@#L4_bD_zH;Vk^bC3{~y zY>*L}-xef1ldWb!!t*nXW{VM?<5D9qu|y=2k0lK%2d{DX&CVr)-|RCMpIKNEl(%V}yZtSTC9( zi6BTLi6Hu~_}VRph^&HFumLDojb@NXkcP~d`fwNZq{d4cPdZvO_29XKCa8?JJs@$k z2x1k!aI{EQ3#4j#In{S%4BzTKWdwd6mzI>iKF%!B17GY24P!C@r{=&%dpLgsVgWj| z3LPVDDog5rXD$N97>LL9a>5v+t8aHA8 z5Fq^f2u^Riet;{|8}G=Y0tvlYZHXvwaYz1}B(r;s4kS_rf>Ijq$R887;ua`O^^Qyu zw)L0En3B9BQ-o1(Ey$p{+B@=yaMRDNW&I1prs^GeNcb#w!a#!Xh&)uTa7 zc2#fZP9Q<}?~uvu7h6M|J8>xg%GosTFyk&1`{r1L?H!ro3MJP<1GAk7)wVchUJzb# z?H!t2Smw_C=|~&5;r@AN!U{Wt8H(*C#a`7aeYdVWLxiIQu* zTg)rcCI#7YDxm=KJ?Ka`%!vCJ*a~@zO1QL?+t|AVlT-Sm0Q!Me=1dBrpLGS&`>NkA z&yD2u`8Lp9KezM9Igsc$yW#!`H(92V*oJcXvNtB;a5y?^bRCO=&gcJ5Pn?lt=CmQ z1s~-UQLlYO!uxm#Rqn$yZxSX!&dz5x~AH z!B*Sp-<}}l?ey;(u-cnIeW>=9kv23UpBG{uPME0nCR`OQbcbqhL}seJg-lWHjpIeN zH~wU%+FLY2wYQ*)Vrqe);2LEU)!rx^RBn@rQS+6>-2~BZ2o8wXcJtLymrpMlXLMBs zmX`Y_GEwX;WR7BQflL&83u&R)TgV2*-r_gUi@kA`vDh0I4C|i--Hoarh!=Z{W~jEt zb;gQpTxYD>#&s6O-Z(QrxXz*#)>%-dTCmnz$OmK0i1Wc_|Mbw?_G~CL5=Qwcfb)SlUenxSaKdv|Q|s+7!j! z$X4`D1l87}8A`GRWfpsj?vXYgLnJ~r&@5PGwYR&3=4J=g-ngsGRC^05sP-0vK{>TR zP;xDJV6`{uJ8HLa^F(#G5KaQTw%c;%t&>zUOWre)iDGZP+UG^1*jpgai@k-YQ0y%P zgGy?FpxRo9ipAbSRH)k)vMRi3L0B2_%5MI4h2jF`5Dt4MGEwU-m_V(!jM!B-*g{3N z&~(;%BNHs`#w9Z#Z7B8@Ymdd=LiFrEAy#W6nxQCLkY=&BShAi>9&!diHqasYo;ZLecX70*K3GP0Ch>y7pqNr!aSbb6{pz1kXO z&Ps2Jths8N&eW0$yTxRdSkN}#tn?OI0m>m`^qqj)jyF^3jVyVgH~c+Q=Z*8kI&U#^ zUe+x}dk1FfyhTT-^A==KAT1CtqDHYqoi}cwOfskC&4O*1TNZVrf<&1&TKTD!@92rL zt1P<@E>Py}&expHz%p-vOq6+xzES3ldOuU?rl(T0%@^c1m4 z{AQ-c8@P@D7=VciZ!s2BcngH#C64YLnW*r_l@a+vL?$Y{#rW#|zRBWf85wWhtnkL| zhh^Bf{V+Eh8qM@=ZaGqr6)n z69v{X87uD=qd|E$tc57=hP9B&yTOK<>z#d}yjvisc*c2X<3W;&yTPK1!f6?)*-sD& z&ZNuyW-Q+*~$cv|9+Z-fo(CglHCwg7idbw~!Y~yJ3w-X*cXRQQ9p! zXKA+>AxgVtWcDCf^IDnCQF%z1 z3KpG{O^h!A{*WYE)#MsB%Ba2;y`w@K2gtf^!9=B-3w*K_g+a;`80)&-#XPC-E8U$y zCMv7tM&orb>bmiKVO=+#FHzSm)N-bG_Jz7`8Sz|R2#mGWDAcIyM#-~E8)wJsxMBX% zpE|)&){R;~vecC5n&RT{65{VjLcx|40W$?d?X^%a)MulDv8r1r7@$xvwxWWOG8K$f z-R@%kog^kHowQiShu;T?Fva8z`IY3BQtoLraz-sdZrPI&NCwp~iT4_ccZ2C};;xXVck@e6f9(|CCZl@LVTTY0p zpSd>S6T0$j@Qf@8S(wc;44Pi>zZ5~jgB@BKIout|X5D?NN?H>Wy1z*j#1a#_J9xWX z`}d}ZS@17`+L;gmEHNQkZJwAAlsC^XK<_Vskho!E%n0~Ev*2~}#Dtlw5n0dv1wOIY zO^C%m<@Y?3jZ&?!OgQ4r%VouHwuvh>8x_Q6Nw^?BLu5sCl)_mVo27JC#AdI_u_T}s zk=Uhr5>Q5TMpwpW<@tV3lvNKj=O=mxQYK=v6#Mc?WiI|%y63q>6xX8e+9WP98n&M2 z;XOfHSNx$!KBJU4x2#iCL3T3vxue`q{4n(DQGGMUHqP@>U> z#3mvIzADPEr1fbtQ{0RE;@XS62zi@))*E7)f@YyjAe|VC{()?sY8A~keT<$-dwsoE zbdMT*F~mT?hqnq=LHJEVle4qH35QK(Dl>s(*3X;9AuEEwf;1+wurO9kbzfkpVDI$t zW#MF`ov9J`PEyWHp?4t9ivo73>r$*Po?N(vO(4hf0znkkWsFEJc#^r4mz`bZl5AnF zZ;05DBy=rpn`O>wb|G_SdQj#*z9jPj@q%}V*}BY0IhbPS!R2$;Jjgbr{sXAP^Yn+Z zs=8XfW2rjbEUR~<`Wl?7p`_$Q0QI}pOTqcsA!M7zlBtb0COR>gS7B6cV2$Lu{ zx_4xm2%>Unpe7xW^>A0u%!pZmj-z3rxQuuZ5_-zIHFG3ayU!7qAD2 zyl6C}R=%Yt%8fY%o_CPgsO}QFaW-eYtyXm^nQt@Y?V|6FTx-g(rIQL716HGFM*ZUr z7?JY%v~Emvy=hUaOl9*jR})Jnk^unBBm;0PNCqIl(0^j_j!Yy2fNngkW>1Spb0*2i zze#qEBy)mw?P4t~aXGXk_sQPT*AAyEkpmewJ_`a2hY5dSM)zvJ@$4QVJA9F7wYxD& zx;vevSiX{0u;W&~?dJ{A3kREV^^$TDYV-~yMu=v?C`g0TDG4?_a={9BB<(oYzqT}^ z^X9ui8gtjuNO{ct4-Pb`ICnrTO@`HLf(4_cIEEDXACir_L1BO0(jttgm(mP*!%uTi(oPFnREFSJOBzl z*$A=-x*;#bq6Q&PDY~Q|^m9$;iXkW+o5D=W2^ zJKyNtfv^f9xD;qXl$SCubi!a>3aS`j?lNGrTe5G-RKO{RmPF?e*OuH*K=59W%{F-0 zNqIy3nB8w)Ka(Te-0)A@1t>U;R**(ehQv08AZ~*GA(;2~(U#gajjgPE_dphre!7R{ z9eGeep;jY^Mi=33IiiX18}fwkZ8R5QbmcrG!hyW;@J48^_jh?6`#;455UFeueuX~@ za@D$79?^cSUo|VMM45XaUkTYfOfQJoOM=*y?0X_-Ep;ADV1RFYJ=%|yE&Xm=QB&_bF4tuP}VJdb9p z8=paX^~@qcEJtDWqeG;j#T<#eLSoSjj1_m$1hNi=%lMl>ZiJ64g3A5wE&HCQLYkd;0nCLS~k z@qlvTE4mNFf)mjU>BB*K#aBwAf0T%hF=oUmTdQCdl;0#YH9KCmIi$)u&Ka;P8v0K5 zv5!v>bNN6~1(Bs0nySchBux#3nwp!Lz%pqQYIL8O@XPRxuZObOG@!0_qHa(G`g1o? z^xVBRd?338B0{8ZK=(+=qmHZ?u^Nhc8w+HcSy&LK<+0}R7HVxE7G#T7#cy>w9@%iB zoUqR3xhmpk+l7dKbVO{-Ypg8j1!ya>?nD2Wn&SD1pCDxG73$f2eQMdQqofOpV3)%O9 ztKD52RBa-m+R@52I}vuxX6Km+&ndd|^;j5sAKKLlwzE)y=1XQuqMKJw<;3iDsD1d5 zO!Hu1Ohe-u2U63)XcJRW!k-GbC9BvpaPtR~p@A8(x!U4fb`>P)%on-`f+5ZrUe~qD z;*}YB{Kc#g*y>p`ffNrHhQQvDb>@VPMmQSL)pE1x`Z;4fI^S0#+#G=%pHCB1IF_r8 z9C2xMPInyM9XaL>Mp++ycRwkC{=Ae%jIQ18c0j%cWErm^vSD;;y3(mUKzs`lD{~)q zRzZ9X`5r_h_Mp_vH=#t@Hz9VP*;sDZ5b`l|zRKY1n$Oo>p1-Y(zPHal7=gXOyTYH8 z;T^&=-Io%M$FMK;W#;;VzE`hLk_lV=kC_$IPJh0fk$D48Duix5FBvDCRPYDnc%Jta zgu7m^a##D@y$y}*Em(|Z_asZKhqfn+RM&%4qi!@$^iDL_Il8L;;v=j&8dIf#`%cF-5H+SAU5f{d=Ny3~}|ELcxY3!71CgFoG)Ng`VHU z{713t?X;Ta{qRuic3K(zju7e_@E|nHHmgTw0q9jcQzbA%HOA_L$H@fXts4&hPUK<7 zHzCz^`E!|Y^v9a1{xiYq?X-^@U#9G4g7Lrmr+vcxv|QQv+scJsfBUUT5J{11I7bA~ zKf6Yo_0Ro7zJa0MgZSIOwyi&ZH5^Q&ci_{h=+d7IaY#DkuaA+1*Q1SQJGCNxaBW57 zLGmF5i7W>R|C@s98jDV1=^D+aU|#ICKaUk$C!t;`#Y1QxmItuov*_2RA%YtK*&@V| zW}A^9lE$KrwAFtij-U(h?~-1C+!QXrzX2S;>7VOHn-gD21=SNDDn-X~aXRwQPNbgQ z*m)>|rrTr^fdl*Vni^Z*uaj#-8zHjmEjEd5*JlY7n*h=wrFIdqxP`bMjX(bzDJ^Ug zB5|S+$&39$0vuTYR?Z}e(W;q{>PwN*a>ovEWZooZ+=(Wo7$ovgiibF=(@fakA;VKO zd;oU)1-rEnSBz|5)ZhtS+^xz*=wblSMYIWBbgChE?>L0$Lrc1tnR&+lu3u}t24Wv+ z->nFR{r!-Fg$_f6PLi|le^Y{JFf&d2hIMD|#ci)xLXR^#w!J~e4h_2r;ChLn+6R*d zpl^e=?;ZMY4*>;`&0J>AKBybOrdPex#K!s}SKKu@;Lpu&mg#0ZRN2-uIsvZ*2wHqU`B6cC7!xD0)*5PFk$5FrS_s@sxO9?)OTR#p~b$cIeP6c;mgzT-7?*RBo!pW$#PfbrSG-_G^E=F$=ss^f1HFQf=!@#Dv zvyYt9D)MX2j8$~nBhn-wr^)RbKnSSMAB(3IvW~O$JVxV7k`31~N%XWUjk90ntx%ic zURtEH%DffBEr|fe#U>L2l{OMj;d-b`xlEpOYCY6t%eNIFvW?dW0rb?!fIKq_Rj!hd zY&)FoD*}iYk^71OTTA;&0(@U#8|*8jihYG0V_)IWxUbyUc_@OWQ5qhLb?KVEFmo&l z5y>=9a%`hM3)NcZuFBFT8COE}n7%z!DNo&oDRy9r$nklUv?xw^AfB&^Q(d2F7)BEE zz~3P-Z!j~=b!_ABI}tYrxQIKD{1}#6opxBpufv2(829 zfzY}gPH7~&rl6#e$Y=1@5g1G>0o?d9s!HT2x!-+QR*#rDZc7ya6;X=dEeiQLqmuqieh(R9Z?X_Bl6& zv>7g81Y$<5TtOTR#on~0g8>~+91O+sev&5bYWk&q4-JAQO**tdK-MJtfM$~Pp%tRi zTsRP#Mx=hZdUTgHM{Y!#<8S5`0cn?Sr66llITj21VN4D#zHpMR4ad zLyvZt*wmvztbY_mZL3#$^6#U6f3&6g_v0tNn0|F=pRW!wb@>A_J{r8ezsNY@c#R)j zq3mq%0OXC(*T?_+1Mxo(TefT07S9tt3m^l%4ug_ERPbP7NTX|OzL7Bm4}qksab z<-U+9_9mAfaFE~F9M>Vf{g1b&vwOjs)9bTUx!r5skHb@D9+7Ff^N(Z<*^xqYcK(%IZYPDK8o>asU?q10%kOQwm*y>;o4{0Ar^kM&CEXFv+s@=dqSXe!@8c}rh zh|HnpVU`#OpGbHn5)wlzAdk8_b$=DY5)YTIPE8MruG+HLC(;K*4iL1GMY1gZZuayH z1VO}=Er^EE12{a}KN=nWowA^Oc$!x99#eDrgWQ-tyS5HKz3V>VsB0 zbK*!FiWvPv&@x8-K>UChuW0pTC0}PkJ!+cwKqlt2Ek!q@m{URI1kN+Kso^^!bsMKt z62$U^S~1Twp#Wk+tw~I$6OGwKznD!O+mRQeAmaW>v#BhdxiVESiP;PUvl*>8n?W1% z68*>ASw+$j>DYOm&t@HVIhlsW?P_8+s~2Air2sNT#&TElXyp6tqtaT{-YlG*dy0RX zTp%lNj~zB6mdPE>POrxlq?3A~|4>1UF(cUbteGG}GDs_HVtMEO1Hl;442%S69x!(q zJrwL|1=-X5999Z`=ID?{R!bt2F(l^a^vy9NT0B29ae8?PD1D4D76y=z4_c))2imbP z&)A%O@nVcKtV)HxV*ALhFT>ip`Wif+f3~}cD{48i#KhHfOpm6hF*lMigkoOpHaj9% z+z`Bc2y#2J;5kAHo(KicRv~!Orr_B>1TXa?s*B&(N%p@D5JMNYN8chxNR1a2K zG4c)J)+Sd>S=GOr226y*lWzw1?S|}SRCW2#i=RNgoBAb05KHD)Y9U1Dr ze1p8qo=^HQm0@@eOcB{>y8C(&kI_E4UamH+4O=bga={P@;i1*cfVYLVD!Mvp6;I5; zsb1)jj3F_;lLjOf!$~94OY%hTFjpTjQ9je+ygJ|HYi7f4vaLK&{H)+_;y~F}UfbPz z-U@HPf#Blo%N4zYvW{mTta$*q5TFUK45S)nAG_w1b9fnPN46G&Pmq2jzpB}0S%5%z zW4RD4P2X^~%{PHG=Iw5nZrklb{%Aan_f3qa@%rr&Y%~KQLjTZF9*%zvDGkTJm^2{c z6=l4 z#w*aV4P0#gVQMb^gzTVfja)HaL79rl-l<_6VXzJ^;;5F=e`mcl8$Cx-PI1qU1_74A zv5*k(u(DD%_;k84q3Aj+nVXm&&P^K}V&5vj$DsRJ&W5(Yw4xI0gl?ueuHjwrg}Z3x4-dL`+T~JAShGQ2_-5PGZV0ynW%M>>{-GkWywYmsBd^;C*!~f`3P?Wq^w6Y zQaXCqA?e^Nx(`nGr{B2>PMaDE@p!K6_)hZ_nXYc0EHFciEy)2CWW#Gjz0dC5EAqeW z0peWON73p4n|OIO6ox06n>eDM&N!)TnsjC_Dr7GK@--lMFJSK@q;KY&FKRXl6ptw6 zT*Uytbbw-ij)IgYc>$a}yYl$0dV8Vv84131s!sCLytR zWbw+D54%!xSiUr%<-E|9AXZf&Bg^>_k4lQ<4O?Xqfi%){+8$^>lGEk_{Jn?OdriQq zt|zuo@kwQgpo>{ZU7I|0bY)BPD~MN0!m}7Vs+&|kena#g~5N#UwR?cR_12)WN!%oWS48-RX zZPI*#a?EG+jtTAl$;z0}?jOX9`RxALgP6~bGlTP)5t~o6O7rQYL&(uP5PUZ!8nx#I zpn^=jZF)u06VA!Kv*_dRfl7V+mAYtC{2N~xS$(yF$yFb+7$UAvLA(evHdleXe1Ock zn92z$b_Hj%&@YfqY(@Wp*rx}gS>Xl;(nSM8J|gRX^UoH?7y|(#Xco+Z^qY+4W=D#@ zu05TPtqqei&m0Z>A0kxa>Jqj3YICWFl6^^V}XBQrbJ zv3YgS=&GQg27j~q**qnR#bXp1d0dQ>quEa{#u?*V+!Gq(dqeh#a@SlTI|@t(vOllA z86!qH=@<>lNe9xs{uq@#T_doY@~UyzR|E7ma<;76aX*0}Ktu<$E^TP$+j~&<6M(%3 z7Z~9iV~?ew#>?LPLx6$Q$KU6Ru6e^F7B{s)=O(_8~7UbAy*d?;m|2|xZ^ z8CS@@TB*$dmN`AP!7S=|OH0P+X8n5u7WdZ8bkq;{DTth2k$sBH=@mRV8b^|4Aw@Q5 zJ?diUXR=+@Ql0A@U3L8sUhaI?$BW5u?)&{n;cvonzM#t6umU|@eXUhbH)f;U{WE-F z$@lJ`OU8v@0QAnlR$RZNoTM7v1K|kKDmVq@ea3eIfmKiAa3?X!%g zYH!If1u}o4^)21ARe&4spI~#N(TCA&akWL~_=HhLXn|X+LSqe-3r$7uyxdkAfTgzRrv)u1jL>@{{Ev$C>Cop+3wWto^gz3Z$Bo!S%vx7$pNTVVOjJFn*DtrkO zd2!K1D`&Kw@J13jo`2IhhTJt>KFL%HY8}I&EH$_KaMP7?WhZaC#zpt8p<1|VJPR_YsU#`ps9l>cb9dp>`V-n}d#yWjo0o*dsEE^e@}(+>_dqZMQk zgds0<{wC%*eQ(u1+ZjO9VNFxHUG^MF=Sr(yo}TB57Vf2!%d~Q;cl1^6Zl+c|$`bM_ z{iNy#5@sDulW+yjKBfWVPkKJ$n zMK%s_!H>1>6ml2BJxH^B319i|2$Gv<>gMTtm7%v8RMmR3-iW5Xyf3C7142uB3Tx+J&uxaJg zqN_(_B;GOz3v^+e1QNpXMgX34Bp)=9FJNAD?JIK^px@+;j&?f|MnuZecq5Uf+*lV= z690D1Ztibk+dp)b5dZKTTjBc|uOQt}Kb_rkVs<-`(&X)CFXZ{%?MO;r6d|h>h5Zxa zs>4=LuUF9KCq>vlCBNH+aYT&S`aHj|?!~^-6Vpy)YEC!*z6s~lJ{Fp1Pz%S6fNrg6 zO0D`_p3-VtbehsYFr{dfrWB-OO1<}(QT=pjp3u!d$dV@1!bW*Q!DmcpAed0JN)x*A zV=tIc?>=VF3hj-EaXK}hZP?*tCOWsKiTUiB=#kX|$P^h%@XDh(>=U_(%|oAAWZ4|p zRPfb-{qXNeb9*I%s@iOY?*s+m&@XfzI*0*g1iPM{xff7%F@mtNrQZ-vodbyhq8Ydd z%5k*Ztb0cN7_Ss`&xA=OVVj!FwF|xPyhKN%tGa=(C`FP|2xg-+e;z6TW zY^2N;$=+kpVuUk{%Kf6)7H6T@dRlWif6AR>oMN@n0&=_Lx^Q6KJhw}ZKA0gw286k2 ziG;-Z%~W~R)jn)a($%3KI8QwtJenuyo_lX7~Xle3fO99 zz*{1DHI1+d_ijSoUa%6)$BW4A>qFKYo zYDk*r2K&bez#-C0cjxSJ6^Yf?m4BzpYc_;2^N5UJ-;z|@-7c5%5c@hizRpwD)nDqV zl-cu1OJ9z&4rGeVLE9aR=5$q_S~aIWG|8g@VXhh?AwRU57_n43FoO+UiE9RC@#AR_ zGUA}fWPo5aLio(ag#fTHJ!}!3*XJLBkTL=42%rw2xIpf___2a{h~My75ePT-#mgOR zpY8Uy8K!6yorAEB$CMpwI3so?h-SDp1ZkLl=p6G9!^?>A;BA7<{`||MsE?542Lx+e z5G+pHas;t`AjVs7hN&Rqb^Kw;1Y9>U93UaSPD2pl>wd_^aJoJy%fqp+tc=KTMc1MV zdryS5V8VDs4`gbk9k)dtNNDK^BM;*{$1B&vcmCqjgOw$2+{LXvoKAG?M%U{~yUUQ5 zK;xmN$k~$tClBJ+n(gL{`1cK?8CT7^nAb8mWwRMo@pt`6RaX1_UuJC6XJDBNE7zAU zy9ynBV56#MKdt?Q*quFEK{H-Kn~KT)p<*0j@D4V3Sfd!h&`Kg7BVj52m-JGQrKS<;av|IJY24_2=6I1J zq3N>ot+fx1bL{=FFFq$FJK}@7P%H|TIX!Fooyhz>qEG#WIL__)G;Dp0*!)E5DpdFY2#DH_8+}?a}@-)Vg_LZu}T@D zW6xq?{&FsnX~!Rs;b<5OBVoqEfH3mKRuK!k6VeKTZ^ZvR7ACJ}^)|{NmOK=;P{~Ay zNE&HDzJZJ)3=v6Jdc_%#y5&%qKjw`{Sd0&mu#9LV3~eG12D%6Ia@o2NQuH@D;5Pp5n9Wh+(mR2D)U7>L?fx z!^?=V5Yv~$WAm4!W1T;&zSC}JkX7fM z@(kqzImqCU#3@kSB+dxDGu-8B_>O%mthB$w_yA%~uxJ&x71HLFhW&%F7~>SHI7)Gg z)p|gC_P0Ge{IjR$JDt&SD8;D_iS?T|=;09!cd3SQ^e9=!p5lZIL_a4QHwGTQ`CPSc z&d2c=h+mD~j_g;E<_(bD$JF4oi|MnHO2ZuE+{|aIu?iC=BY$<;zJhibDEHGg+b4k- zvlHPQshTvpivyXNQ`C0loL)foW`9{h*mb=jb<0yKWo7e(qVQ}?XhM8Ky-iFfsB$*h zF=tZ-cMOa1LT+wrW$7HuJu=DJOo-1WTg7aWHfNLlbMCxU)rj;VYV~Lu%CO6&YPoZ> zSq9(0_eUU8Wa?n|e_M^GWl-7+k(!5DIE{M>YPooor?kToL4Yip(dR+Zp%OAGAs<4zy!g?y)ud((QE7POy4drWm6Qs7EywZ9sjc zB(ZIwKk@l!u0|F$$Yczmn6IwZAwnm}{g%J2i~zgfIYJ6PAuf2f3c-^$1<(E|c#d(3 z)j~tajgxKT(s^#2cp<4QvBJ>1uUjG^vF&EAJS>NmcPJ}|bqsrk7n_=-+vym9B>I>i z^010j8TMt8jnVbHU4TYY!`+!E<16O6j%~B?IcanZ@wq@aJo#dM-);!pf>3o_L1H(R zTb%m^&0)lQT{tci;_ula zdQrI<=5_Nsz|`cJq^b7koZ12C>E zeHlW#UyAJGWvwH#I`5p3MG)Um-!*!O$!?b;_Jm_8q3oP0$Z#XB!-1-zvhKr1_9cx!?JS z#3UESgZy;qMXM?1(}lgyoGwCfjLmgqR!8qu>ltPhs}T=}iZv#ALGb>BDydi?P%*Sh zDhAr2V(6dB5-H-H7%q+*@<;n1)^zylfupOuL^(69>Yo(fif zbm0A2WNzz-g&{KM$XsMD$Y8siBE!*aN-jm_9Qg{QF8H7mS`m38G(_e|{1+l~*KK(l z0(0e@xi)*CD^pQG92r(r+Jj>m5U;0vwGQ3^i?9je&H*2r)Cza!Kp=1qc%w6X15Ws) zYXDuJbPZs1Gdy}E${6^LKp8ng{3v?nPhxb4(+R{9w`%PTh+2ef#=cJ)ntr7c4vrj3 zy8vIV-sYN;l?8Z}?6$y8Lf1BJUlB#jfO3E~WRhfOf@HP&dtV00>gH9^W`bk`8AFN} zMPra^K$OW^7|=wxs}`>a7bBD;xH2G{mlcgx+b3gfMtQR`8__wqiUCgX>0v9Qn*wC$ zXeK_EgaxwI9|H#x!a}R^yviIyL>J*+j~pONU3r9RK-2*DRyjg;L0*{{AtI@me4ICA zt%#yoD@lU{9V5MYwUg*RX2t_lF?k-tis|(@*9BOOh+uT{+AD#C-k=q9E23l6!00># z@6oXVF-FVtccP4irCA3uGouI-#f&067W0QF)qvD(m{5y##dIP@6w{dypH6SX$plr- zB|GL^B0R;pL$Rb1vCAXAZHk0qNLq9?vCWV@@W;#^&bI zBVnNuM5f4itUX&_?=WsK0& zfM8`q>Iia$)Hy;*od~7QR**U=L+b3DQs)4t_$*=j>^UqpW0D}gm4pSdT(Cq!Sli7= znTd+UZsk$2)KlZBJVDokRUropicN{Z!dpoSAR1%qcWVHRrUvUVu~;gIiOnq)j~t}| z1L5!xa2gPGASNAW)*|;%1h?ceW+0qi8gvEC|wsvLMtHY#|yIJRo9~F1Uzm1rvyU z#oAAZeKm=VpcoMh3(aKrR51>bypxSMr4f-DnvGr}#I=%Mdh9FQ2noRshE~C;6XuMv z?=WI+f}V?pg~lTuH8;uSe#avclkCy3I9d=5OGgWPpE+6(rb#O1p{CTkN28L8{r|On zOS&GcK+63_wRBx@)(Ob8<&o;kVr93!*I2Cg-me6(=Za|X&4ZmeX&%W zhCK;QIUB#>G>rKVagN25jVmWbOn(?u9KcZ#Qzm9W({sgf7*j9uJdE7&JS-!g&W5FU zJ3Y6db7;v-bd6>+G;s|9 zuoPG6pbY7Y%GvKoxi}%#(1nu@CRmI?C$co6o_H{gsOMZv<7Zh9C%`i0rU4C`0R!rZ z2h)HCf&oRT7*LRo0rlErJj>)hWLm@+&O%Q+hO^Mqj^Qly*kd>gJ@y<

$jBx?}9l z$1W^dxip&Bq}Woz#kJA&^omI+fGm+|(_vRixR{#RBObu}rbD6lu@%!RhE^qlVRgf+=z0P~_Je0yTKQqQ#giNVK>#S&)t}I3^POA>({TH25(|IkF*!3Pr|5`cs%z z(PcXS_Cu>EghQ(!%+-RmQ|*&O`YN6cd)XVFXOV|i%(J+1XcY~vSTf6uclhI0{F7L#+4m9ID#k+CqGi^x8hDnGcG*ufP)!NC=B7={ndvK&so zu{pl*yHDp<$fg(vS48OhV=4LvNjHvNylOfg$y`Qo$l;DhHgVG1|#OE24tDe<3Q!UznOzc&vstcpAiXrhsILXAa;#=3}db z45U4>LUE=*(h-7OcpT>fMi!&(Wr`;I`PUSz9>p{f;zW9DlVU+a#T3gN2%3RX(Ns{5 zF)d#r%pP6+I*PnJBKI#I$1M!=_1NYG62lz9=)Sff#bR1pSo_M_@`$!hDHc=h2oMh; z^E8NA$RbD^Js+{>7@bEndK5}R)_MFMaqc)EgtRo;!4R@GdC7jJ6pK@_GM`)_U1Acl zh7G_VnSDCBVwwLO)*f{y5qpNU|L*8Y0+hDx=>N%Z5+c%pVp-VH6_6dzyMINbqJJdp zCrpX3BZ=@6c|&-!lcz)&ND%&lydYeLA8nB^5t8|eydm7(brpnx1mQ2pE5iF@@Q5VB zPvi|@@tn?tfdt_%$Q#17O#^ce-f=z}{uOy66^}xRu(#poD`ce&(K(M+{d|SIIm-Ro zs&f5iIRA-E@jD#5okU3I4BKls@{-KvO&}Q{8=j^Y!n!-!8a(KSUx^VL(6{HPJ#6lO zb%0#%-MQr2yf?~v^2^`HkJpc5qKE`o>&u+O9Apl>B;sYrhyPyT zd_^LDY9;cT%qG|E633@z9nSn+ra#$+SA;Ly(mEL-+-$?R)=UpfNc;g=B4esw$jDp} zGTnAnX<+(~tMvz>v727%UN{6&m&pyeYG}iDkh{d8OaR6q3U$1MPRe(ky-u}vos)*B z6PFUGJge<@Z!v~F9V~}=mrWXfI+#uEcqo|2{qHD_SgEF!I!8vk;k{$lAA;aPu?az2 z+k5|&6q1g~V+v$tO#OB0M1~0Yv&WaykOEk$sMz)`RRm;-j0FQsR5aq6!?{9*(~7)6 zlwML%Bk5)a?}67J7k|GbVltOoWMU9P{EAF-$;)IQix@{gvrb5VNS^Y8b;zVTIHcqg zxr=w~d$15;Qjs=i9_#1qB#%o?9d?T@mYEyT7@MVKX86&2>M;-wK?lMfW2qIP9d=|M z;x=}>ZxA(&!&jmux^^HQ9Wjc9)2wxbABaasfNth)OiGMpme`e9*YkXleRw+;XN=gp zZRQt9@Yhr_XXNxb4^bD(t(&NuBgkz#0Yp&U`f~%u&|A3AfxxS8KZuwRwiyh7=056_={3Y9A6fHk*Ye~0$dsf4;Y@v7!v$x zkTEBN{MGqD_=YQrKzcw&GfhZu#{lN!_WMahzZp9-1CP$%9KVYAq}5cA&M9&Qw~@=WrZA4 z#Mp`uv16lAj$$6(kVxwzOd(;%M(e+k3J|ox%S1Cbx|m$RSak^4qnrQL^*TC7;(Fcj zycb7=FS@Z5kuQYgLfcp3FHS<#R2CJ%7v+fb&Ev!Wc&zac)VodZw(A+P?NiiY)ehC$ zua0YiOwn<-a|xA0M}8(`_h`?e!Hc^ha8BKjgcA#N7I^G62-i6@7reTwCPbGemioX? zAGD)kQ9qC}nK}R1RD0n0{`YiWyk-9F{sLJbL*tzdu|fk!>gvKj6tSQPay%jS7zRqQ z>7@J#D5^&>P6(I z?tCqo^FRQs?1c{#T|2-1$Szy$@uk|Keb0t->J!OzTRx}$SNiZgDx(MOA<9559qyls z*maYNC)AH-M@VNyVrYRZtfq1SMI=y0ED;Ba31ShpApH?YPia#9Ko0N8mj~(==2z2! zy4}}c?FCs8*)X|JtKsFS{1ecL)tSY3tB$03tB%zpZdD@%a(YPl-KRVNcY{1aE(jlt zv}=ABj}ZHZ#iAJ?9Zj{$D{Yxa|Gezf$X)2s_e7S+6y(nV6$h$0>*Tj3vS21Ogiv@c*A@@J97YPW#U-Q`T`HsOqlCk86oLWTK&c-PMh8w2{+lk8OE?!fG z9PaKn`8A#1uE5@tgtS|;?JiYz$q0ywp2bkJ4DxSwzn6XwoCTeBji1ZNP~GQb@aC&H z9c{L#&3^6Bo+w7-&Ef}pld7^@)ngd{dsTUN#zR#Gf~hFTtSU=ApQ=)zSydK!cV#aR zWLA}eRF@T3l>*JGGLQvTDdAI4gYIW0vLNqKDEg=I{@Y%3S?4#nMJEVu(b+d&2>q_u zD}Dxbr%mHP7L-*cBTCuG;J&Kc<6^KLCH0pCfgDFprKfQr*A$~&8uN(xQ3rtkVy24- zs1CGgE9`c^u!V_SB=3Vp?G82x{_d^-QOr-3Bq>`d*^rM8!I2SPe*R?Wv0dYH+CiG*i0b35aWcvN zU_&OvZmggfJA}`9zjCebw&Zaz#F|z|6np3;8FhLkGLDdAAw2w{ZfiOXcXrPwYTtgG zuOp^5vtdf1Ivlp{Ir1|h`+|tc4u71PFcFZ^P%M2Rps?g5P`sD)0ML3Tf{+ z9gEJ=X_;#GgnMEy*j^T)S41>EOXjX1CYJ58Glg>4Gv-0~aA6Ll_%rLDC{^Gy0O5j( z!^+w3&9ep)(RQgEq+)1kd7m;u;*ZpylLn{SHP^LXuC^*pWDJR3uI927^WP?It9CF_ z4oGg)ek@2X+;%a*PFitlZ!#?+LFYusJj{NFigm~gN~J=!jj|&=QVXJN-fQb?h8e<)XtAH%O3NT zTI%ULa!WnpYAU8-gVEBl*%moknh>-UrJ|*Jc##^)LMsg&yX~uErbx23J~eCX7C{ni z7`rQGv_V6x)Q^@LakxU~ibDjNB}&EOLE2nASU)EQd5p%R9kF`c&~ZIV?fpR*i>=iz zeK_mBPe9QO6pN-hHbm@&X?v#8n&FAzBPLp2!{YLG$YIZCkVqfVclp{@VC^=s%JA! z*ESusMm?`+G}jiyI0ms=E;8bnU$H@^UiEyDl}kgscJv-fJ_#;;^OkR@e5tK zrV1gFG&Yt??5SRK7u^YtJj5Ek1l6gr>2zgGFUCeEvBg%}u#ERR3LxI3lNG{(Yw~zY1=z(V(TsWCVXm*$ zx73Q(Ij#15<5|dsl^64N;M_uckHPg|=q^3BC2{vxo?9UqmeW_J!RTUx*~1{)s7}Ml z6XcqY!5aLB;nnBb*5S)03o1H#sX9MJn{Uw9d%nglyNTIHXS6Np%4c-`2b8H)vU7 z0Zo@i`?LD%TYa^yEwk_qBFWP2#?;Tb6^zF%x-|z!W-I!FqdibRZ*xZ8aH`D$KqGq7 z!n4;uJ)getP;`Ru8JWVfP;`Lhr~FH944j3#tZY9Ir#|>l6X%lMvj#||%Hav9c*~LY z!jl>=0DBtsRU?Ke#pcz-Rjno2U;Dv<5P$Re-6Ww_2pqCxPlxRHyFMgdW&ljAyUZ+V zbI8I}Msrjw`LPO-Ywc0r%te}KWn_&zg^K71BnG-74|!%$15fx`L_cY@mc^7A|$n8zKpb~Gf3?YU*aPBTpo*m6T;UEP&3P6(t9j~|1Hdt%Fj4T)FY;v5^o2=FPL%;UDYLR|Ry{C1ea85`xwTR*S z^KGXVrOn5=l$)S|oVS#nn})Y6e1zS{UV3Bu<4V!W2NO+zfWMkKD72_*cKsz;uI`U} zUUk4_0XcXjL$~D5JB%!WUfJcNEkC}o#Pxdjk8Ah^GJz+tPJP?`77uZb-8?d69EAJE zm&PlbFgZZoxvuX5J54P8vkhgt1Tz~PEeHOWHj`PQ+N%e-CExAwW^GZ)zH)|s`-PDL zP2b4Uz@T4lY22sbqv0^0(#wdcz(iX0M6$&!WQlV>Qn()4xOO*B@84)X{BT&fie6xT zpSlxsc;BFd3Zo z2q#FoG%jW^54WLE`J@=1{ugg>6fzJtTSkyJS}?lpF9b(fREW4nUkB(&(DVR1KXc{q zPKhXz4pUVT?_SwZ?zZnVnc#}Km%S9FM@pHngj|^^k0eSJZX=*)eWLbC_7Yhz=9@#5 zB0$(%w5yNTIsgul;s)M3u4f51*1u}>{&UX!wvEYI$L(n|&lScD>E-yane_K{BvY3! zw{@BG`+3BG)enk^OzpMS_*{Gr9;qs1&225`7@avW*`k?c{g}XbwU|d6QF&B!>S##=u!V`^JqF+!$hu zwv_iPcw0_do5{j(I-QFN6>(oblKW5zJ6|7LUHBjk<#lGA@5o<83Ee%6PS6GBP@_=j z&UQ`EQQYJTOFd8El0CAG-uDU1GlqoizuHc``&8yyOz7a~4IX0*=`1n!hrLoQs0>R( z3=e_z57p$d$V8zFx(yhIvwY!nuy@}=3jY80IFhHz_<7Q1S& zTctELyMcVb<)mVzG_x#Y5WT<%ib*WA?Wa@3?2-NMr`7|P;+}mIde+TIZ)6H@=r0>n zy_zN4)lq*)Qt>p`9Yk~SY=jxFMYuX+o7}JEz5O{#_%>yEb;sz}Q`}4t$HSM;QT>n& ztEQ1C#?@W6aO+A7*5u=pC!rNf<&~^n>y+#(<%fJ1o3!wrw*d}tt59K^W_2&+JXCat9jR`0H_>NY!Gd#@-@?5|Wq%5~ zym-x!w!cIk>Zeuq=Irf^P?!|=>i$rI>1G{MeAqqZW~q)Vtct+VtkK5RZ0LMwK5=l> z*$gLuA`ZBuI{0B{2nb{CtzIhZF$tz~*uRuXiO~q0@>b_Y{@qwzc~}YntY{I192yoA zu1YYTnkq?T`R9JTeqFs-n#X(P!jscy}`OckAi|6&^MxWu>B~ld} zO4@#I^JtG`-<-PF@|iBn@2e0|SBLURiIHAyY(IADo19iH=G$G_CI_usGXN+UXffwR ze?Do5;TX{k82tzdxQN#9(uy8<>dw+MDG|@os&j~{4Hn7YQ;zo%&HsWj9wJL`$rxN1 zcNV#U{nPGwKp#^j=_%G5&~m0_$~sCIH^>*)daF@EFEd3-6ewe@xVvT2WFe_~k1-g# zI=s-fva0aO8|wcRm|{agT+%UHem?nXlXI=m$wl-Ak4PRb*7MZ>acboxy<&?N6Ajme zz|e<0);i}W2`Q{W0C zb?79St^Q`pRD!&|7B&Y+{yT88ME39o z66s19{b5vfze+^l4dvku=QKsFY-Mtq0O*HkT(-5_*Z8k8?=uYO@||=75j7!7v?x?K zLQVa~a+9)wDt>#I+Z=dx5>2VjfSBx_2``j5f}*~BoB)|wy5)I2MZ-E7;?tLDmGn8- zG?M%8;~Co)D#!MTV*T&v8@oQD6WVN_fZCXZsloHCn1nV(w@%b;L+}YCFJOf!!Ipu} zQLjs(*ocuR;l7P(RSPS$RyB{mnA55T^gPvR$aKDXt@k+&21QCzns4BJFm^jAb%W+bQ1}l$T=72zB2T8LiOL~gA`HFNST+CM z4!j@W-%Yev7La=kAR>Zcq>z9hK=&vK9V-}~0*(QJyDO1|E2R8A_6aS9qLkmHjz_=T8K z=&#Y6>4wVMreI#OYdNl~uxePe z>B4cB#$Uz&Z0J#Im}HU{E_X07=M@WgSq_#)Klhj-48O_Eya7q8>MEF8gf$|(NO`Rq zQi#9+am*x3;?v_Ki@21Zw3756lBh0*Y&#eT?SLPX^2OW4+S zwS*(@us&D^l4|4!YvvwBRQ#Z9=B@oQ@0v{Ss$C>39j>CH9q|SwL0n12D=iP~EkXq5 z1rS+)OT4HKeR91|ci`0%uq09oa`BY-8>ShRxh^I|*aT-jS3rscYJmmeQOXzcnPO>$ z4H+F5zXJ}=b(Gr~N8Lc}PnP!s?FfKA%wXf(PtL@sRl*p_b$pb35O0x*GGTv=&&P#Y zXzntf@Uq;8_X~3XEt_1atGJ%M!>1;hRIiyaXv4-AN(gMzuWj54pu`z^>?|vgNmO2Q zrGmqY$*;oFrDvo)+$Ai4oW>0WQxS@=qvN>mz|@uf`pcK0MjY5V8aWy`%HyvQP%c9? z;`a**-!E45O4uq}SlbcklLx%35+~&zV{WrYK*?;^R^W_L`cV5;e{h2XudKrZV=~|- zR%dJHGFHd#`WHQY5xt?E(#2A~<``uCdTz-o5sa{pU_JJB7tUV~G0 zx}S|F>dUcY4|?&nyr#30yg3k9xqx($6d|qZP7#wmsg}kd=`kbW??iy%y-HL72srwY zVc_6OMC}S%2i{7VID~}F}C`vXi#RW_mN9K;hI9*}a)ohND zu*9k$B25oO$8^2eZuqxT&Cn}8Hmr@bt6YkJkryMXN&V)ytGRt~Ow!IYyC z9wsTqJ&448CM=Idvht3VysV{3G@GgA>>w}6hXZlRIEpI44rdGT^?uVe zC-v~;vpWRE_7l(BD~k*dGXQjK1gLZWvR9qH3D-Sj+Ky}DbyI1G`kEEwkAeGLxLeJ> z-NZ)0n6|I6>g7S#(V72R5}}&QMwaLMY(diJ{Bh!+reD7gV(j#xWPFxgaMAy{O@`1C z;6$n_(M6*P4cNdS-9wcwpzww7+t_WdqnlkU-2Iv7#9Iy35$?PDYBNWg^QJcxoWQ+{ z&lWirww?(kscxsy)w@_5--(;*;S@M^R;*X6=&|TIS(#aozbJv8AbCpI9~?^Gt;=rk znyolZy73&F=Yyr(?@t4iQ_YD)et&uuUPWWzNC_R%XFl6!Ly^(2!0b7ASsowB=EeJQ zs(ME~m6s%N14WE@CzG{`cw0Cr`-edtsp|v_%FZ=yqv;n2!LA)_h=Q#(=4h`##(R#T z)>oB##K(P>xG@V?s353`7yQ4 zPLF|%oliK&W5D|3 zu#{=yD&ANGxe@KR^s#+&^qvKr=LULB*)mSSo8sK2VfZf48@Vyt>1BTwGBkN_D&s&F z-?B%AjIhP6IW9olN}VNim=3|Y7i;23HvI(6GT#X5L-&>*Gr3_&5^uQ5&3dW{MEeb2 zitQw~;aX`Zk!hsHhlvMmqSZ(6i{=i2sQLa`p*pw8lbY7?8QfIrXo=6h&AK;%drG{R zh6^jMr8hhVqs+uFBgT2UFROT7-D4g5)I*eS)5PzGJXK@bmB@sA>urB{Bi6{G%+SlM z#xcHO)HR?%YOXyr8#y4F1TGv71iN-3%hU=I=&m-&&uFt4><@Uo; z$ogQfbWnRwaX(#nZWMBKJ+@L<-E>Ahz^%wCx{$PO=>3U-ae!mgn8vipg5$l~qpdaS z51&lY60Y*;vO=g(=Hvy(@F)|N;<>09wlK*Re>YkG;lqA~1sg z6PoVO<6Lvz84C3p*kn(|qiK5&GymwYO2}+uInyqU6Xo;;9%c|`jRz`XO>E9Q-K7ma zy4d$v!Vk;XH6(Etr1$mMuv_JdvypI(Hboh=ctjjY1fb@x%@9&`%*^ zr$*b`soFwQxkslcS*v851+*uPo-=YpF!7gS& zq1Ef@t=A>tH??5aV6^ZVdV%|q+52o?EHw}uYDnXh#{w_(K?m(`lTpx-kGxBjzU8Ytuqila)VKaGC zp>@kz;p|h(nr=YP(!l%AJ|_EcsU&(QD_nH*zZ=F2S0>Zj_MKvREYSwPJj~9 z=G0ov$x5+ao_SCNeYb_QuAxEalog?`Oa>&^rGS8)Ta^nHis+xmtH8)McIle#e%Pd!@;; zXZc3KLtvxq2rn7>-|OR<3Gos;cJVV*EibmL7TC17n4NEFW4nEXd5Ef-%b;)cWQF-d+IDT~+}Th1?d#YpbZhcqKi~2+y=W#NO}F`;*#43D z#xM~k_>#&iOi<8Np%^zaIz2a0`PW+ix2((`!F_q}^0wa|mJJ=cR5H8H zzz=D;&h^johl;*@;@9%K8>4_*G`cJmsO649r?y+oPzq9VXJ4tGFW_)1al6G`20X`Qf^FH%IReD%AZ}oVW!VanV{cbUq*aIy+WAlli zhuDR{ForI|^V2zJG|qmSuU2XPpcrLLH+jzyj$9GWFaPuTke&Hxu}##J`~ysT+R6b1v5 z*Qv@F%vENFcB)MH?rpGpUw-pvz2=eiN)rU3?uI{>`k?O`K~go=p^FFQ@FMs>&&B8x zbpYY$NYZ*!G{>8l=`0Qi2HZH`{YY2gL)Abw&Ae|H{N2B?^Y#4pIB3BO+^{q8?wa|M zK*U2k?mf$bJZ9tVk0wT#ceo6dHWp1Bsh<-4uKKGUZE}>gHgug~bTg79^9K14ut`{L z&+{S5swg@Hy2V=^jU)<)SnN~tx>h{) z`h5c{cFl2>U|{9WZDk-dO zvyq`Vr&-t_`~n00z3O2~cl>XxF1Dv}-)tD;>M=$h?wGL7I~O;j#8FI;kz$MQ2)8hq zDB}GdC~|KjU)JQsfLJ(}P=pM6lsOmJoEi`jqFU!phlu{J%yA-ike z<1Qj1@&MQiC}JKOKcN`sj_Ak-p#~IWgM@*KHKvCgd;|^3fE{$E%d>^>Adg&dn|m&V zZ?BI|HD13o715;dyv?|8ENgYm>+7K{FVdl;Z5`)9VYXiLEm_<&Uc`&LJKKx0qxlOl z)%iAuH%PH{sveY;ZJ$Xzb&RAo`q1RoTo=u;#OB%xs|k9x!)<@03lkeD@xjH>54&Ka z4$kg1KakKIKy~vMXqrt$xI+7}ua}u+HQ(7<<1V`SAx_~Ko0|?VOd#7a?VX-T3%p*j zfB*$1difzc$ekXVi9Pm8+(a>qduhWEDT$8roB48??k3%#a*#JK)e9=C2mg(uGh+^a zCH_9lhN1w+*XK8iqn_L?nb5QaMJ+FdlkqQ3DMX#38{m15Z^hNG5MTKCuwC|!A1qeB zG>yW*ejVJC8$ki2?#*=EnnTWYsQYM(h?OiA6)jN|Q5aD}iDS}jOiH4%HJ1&3jd2^9 zeYFueGS5`jLs~ z;}Wee&U+qJ!qCrLsZ!$$v2YHI@->3=Mh(m;E8xT|5C)VKe&!_M#3PHr>1Ib16VY?8>Q|PvUySZQwM(ho30b#h8VsF zlT4F|1ikZVhCZ^Lb(n2g}c^{pxD#jXX=v$2W!)sfBBw~-Aj0qyEg?(LYQ6Ezw%tQ zA>Y>q_5i#G4Rf+U4Z>t(8fBN|0fRa+rn>w@;Tik2(^PQ`D6AUVb2fH?|DxPv5AEHA z5q+<)OY4ElG%2-BWHE7$I#p4VNhWO zc6xcI@OR7sQqm@LbqyO1(u#>)!{yXWPMeEE0h{#)1l7o+t1g(>lzZ)~mlTQuYP4X* zkl%Aoh37WX)Nr<~Y`s=vPGS0yB=l?zt@>|EJaQ%x zdVak1L*DDUL^ZQFg*b!FjO_k=b1=3>1p(Lrf7Sp10K@^}e%Ze=s9<*am=2Tok|oU^6j-Ero^ubcQWqpMUA+{`awK5WOa0lqZAa(#Bh?5n>&d$yW0&w&50QCR%5qGe6hDkvH zT>lo620OSo{+D0>nE8wT5rH)}b(nFmkv+umk4Y1c|AEC}xP+Ojm5G^}wAdf;p8(j@ z%peXfU=uS4;7=OV{wth6&i+Z)pTPeU4&<+E@>Zr0nCpKcR{OWNE`K8YF9kgRr9d1; zgtG$}KyPAX1$F>%u=25Tumk8Vot+&8*kBojffisRM@uUc2&)6wf`Q?$85OXDsf&r( z|Bu*z=-~Y?^Z)oSZscra>tOMZY8W+;e-ZK@8F#RmIVy|}R2UckY5*Q?ZZ2+sIp7}* z#KFf43+zt=*#8^j=7(APUl?qI1D2lug@HJ@d0|}sUli+@R6%h#l literal 0 HcmV?d00001 diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.pro b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.pro new file mode 100644 index 00000000..0635b3de --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.pro @@ -0,0 +1,35 @@ +update=19.04.2011 16:36:52 +last_client=eeschema +[eeschema] +version=1 +LibDir=../317Regulator-BuildingBlock-ModH_RevC +NetFmt=1 +HPGLSpd=20 +HPGLDm=15 +HPGLNum=1 +offX_A4=0 +offY_A4=0 +offX_A3=0 +offY_A3=0 +offX_A2=0 +offY_A2=0 +offX_A1=0 +offY_A1=0 +offX_A0=0 +offY_A0=0 +offX_A=0 +offY_A=0 +offX_B=0 +offY_B=0 +offX_C=0 +offY_C=0 +offX_D=0 +offY_D=0 +offX_E=0 +offY_E=0 +RptD_X=0 +RptD_Y=100 +RptLab=1 +LabSize=60 +[eeschema/libraries] +LibName1=317Regler-BuildingBlock-ModH_RevC_19Apr2011-cache diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.sch b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.sch new file mode 100644 index 00000000..231b2181 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.sch @@ -0,0 +1,256 @@ +EESchema Schematic File Version 2 date 19.04.2011 16:53:09 +LIBS:317Regler-BuildingBlock-ModH_RevC_19Apr2011-cache +LIBS:317Regler-BuildingBlock-ModH_RevC_19Apr2011-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD9DEF +P 4750 6850 +F 0 "Sym?" H 4776 6646 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4626 7084 60 0001 C CNN + 1 4750 6850 + 1 0 0 -1 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30B5A2 +P 6300 3900 +F 0 "C3" H 6300 4050 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6300 3750 30 0000 C CNN + 1 6300 3900 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30B4D6 +P 3650 3000 +F 0 "C1" H 3650 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 3650 2850 30 0000 C CNN + 1 3650 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30B4CC +P 4400 3000 +F 0 "C2" H 4400 3150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4400 2850 30 0000 C CNN + 1 4400 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C5 +U 1 1 4C30B491 +P 9050 3000 +F 0 "C5" H 9050 3150 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 9050 2850 30 0000 C CNN + 1 9050 3000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C4 +U 1 1 4C30B472 +P 8550 3050 +F 0 "C4" H 8550 3200 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8550 2900 30 0000 C CNN + 1 8550 3050 + 0 1 1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D2 +U 1 1 4C30B44C +P 6300 2400 +F 0 "D2" H 6300 2550 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 6300 2250 30 0000 C CNN + 1 6300 2400 + 0 -1 -1 0 +$EndComp +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4C30B43B +P 5500 950 +F 0 "D1" H 5500 1100 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5500 800 30 0000 C CNN + 1 5500 950 + -1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R4 +U 1 1 4C30B40D +P 7250 2800 +F 0 "R4" H 7250 2900 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7250 2700 30 0000 C CNN + 1 7250 2800 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R1 +U 1 1 4C30B40C +P 5400 3850 +F 0 "R1" H 5400 3950 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 5400 3750 30 0000 C CNN + 1 5400 3850 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R3 +U 1 1 4C30B403 +P 6850 2200 +F 0 "R3" H 6850 2300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6850 2100 30 0000 C CNN + 1 6850 2200 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R6 +U 1 1 4C30B402 +P 7500 2200 +F 0 "R6" H 7500 2300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7500 2100 30 0000 C CNN + 1 7500 2200 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R5 +U 1 1 4C30B3FB +P 7500 1900 +F 0 "R5" H 7500 2000 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7500 1800 30 0000 C CNN + 1 7500 1900 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C30B3ED +P 6850 1900 +F 0 "R2" H 6850 2000 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 6850 1800 30 0000 C CNN + 1 6850 1900 + 1 0 0 -1 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C30B394 +P 5500 1500 +F 0 "HS1" H 5500 1700 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5500 1350 30 0000 C CNN + 1 5500 1500 + -1 0 0 -1 +$EndComp +$Comp +L LM317-TO220_REVC_DATE04JUL2010 IC1 +U 1 1 4C30B376 +P 5550 2050 +F 0 "IC1" H 5550 2000 30 0000 C CNN +F 1 "LM317-TO220_REVC_DATE04JUL2010" H 5550 2250 30 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5400 4100 5400 4450 +Connection ~ 5550 2700 +Wire Wire Line + 6300 2700 5400 2700 +Connection ~ 6300 2800 +Wire Wire Line + 7000 2800 6300 2800 +Connection ~ 6400 1550 +Wire Wire Line + 6050 1550 6400 1550 +Connection ~ 6300 4450 +Wire Wire Line + 6300 4100 6300 4450 +Connection ~ 6300 2050 +Wire Wire Line + 6300 2200 6300 2050 +Wire Wire Line + 5300 950 4700 950 +Wire Wire Line + 4700 950 4700 2050 +Connection ~ 6600 2050 +Wire Wire Line + 6150 2050 6600 2050 +Wire Wire Line + 7750 2050 9700 2050 +Connection ~ 7750 2050 +Wire Wire Line + 7100 2200 7250 2200 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 9050 2050 9050 2800 +Connection ~ 4400 4450 +Connection ~ 3650 2050 +Connection ~ 9050 4450 +Connection ~ 9050 2050 +Wire Wire Line + 1750 4450 9750 4450 +Wire Wire Line + 4950 2050 1800 2050 +Connection ~ 8550 2050 +Connection ~ 8550 4450 +Connection ~ 4400 2050 +Connection ~ 3650 4450 +Connection ~ 4700 2050 +Wire Wire Line + 9050 3200 9050 4450 +Wire Wire Line + 8550 2050 8550 2850 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 7100 1900 7250 1900 +Wire Wire Line + 7750 1900 7750 2200 +Wire Wire Line + 6600 1900 6600 2200 +Connection ~ 6400 2050 +Wire Wire Line + 5700 950 6400 950 +Wire Wire Line + 6400 950 6400 2050 +Wire Wire Line + 6300 3700 6300 2600 +Wire Wire Line + 7500 2800 8000 2800 +Wire Wire Line + 8000 2800 8000 2050 +Connection ~ 8000 2050 +Wire Wire Line + 5550 2700 5550 2500 +Connection ~ 6300 2700 +Connection ~ 5400 4450 +Wire Wire Line + 5400 2700 5400 3600 +Text Notes 7350 7550 0 60 ~ 0 +317 Type Regulator Building Block Mod.H Rev.C 19Apr2011 +Text Notes 750 7450 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 19th. April 2011 +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.svg b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.svg new file mode 100644 index 00000000..bc096979 --- /dev/null +++ b/library/Buildingblocks/317Regler-BuildingBlocks_RevC/317Regulator-BuildingBlock-ModH_RevC/317Regler-BuildingBlock-ModH_RevC_19Apr2011.svg @@ -0,0 +1,10373 @@ + + + +SVG Picture created as 317Regler-BuildingBlock-ModH_RevC_19Apr2011.svg date 2013/04/21 23:13:13 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-1.svg b/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-1.svg new file mode 100644 index 00000000..5a31bb78 --- /dev/null +++ b/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-1.svg @@ -0,0 +1,8719 @@ + + + +SVG Picture created as 555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-1.svg date 2013/04/21 23:13:59 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-cache.bak b/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-cache.bak new file mode 100644 index 00000000..5a275e2d --- /dev/null +++ b/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-cache.bak @@ -0,0 +1,200 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:47:04 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# NE555 +# +DEF NE555 IC 0 40 Y Y 1 F N +F0 "IC" 0 250 30 H V C CNN +F1 "NE555" 0 -250 30 H V C CNN +DRAW +X GND 1 -300 150 100 R 30 30 0 0 W +X TRIG 2 -300 50 100 R 30 30 0 0 I +X Q 3 -300 -50 100 R 30 30 0 0 O +X RESET 4 -300 -150 100 R 30 30 0 0 I +X CV 5 300 -150 100 L 30 30 0 0 I +X THR 6 300 -50 100 L 30 30 0 0 I +X DIS 7 300 50 100 L 30 30 0 0 O +X VCC 8 300 150 100 L 30 30 0 0 W +S -200 200 200 -200 0 1 0 N +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 +# +DEF RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 300 30 H V C CNN +F1 "RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +X ~ 1 -300 0 150 R 25 25 0 0 P +X ~ 2 0 200 150 D 25 25 0 0 P +X ~ 3 300 0 150 L 25 25 0 0 P +S -125 50 125 -50 0 1 0 N +P 3 0 1 0 -150 0 -125 0 -125 0 N +P 3 0 1 0 150 0 125 0 125 0 N +P 5 0 1 0 0 50 10 90 -10 90 0 50 0 50 F +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-cache.lib b/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-cache.lib new file mode 100644 index 00000000..63a16a64 --- /dev/null +++ b/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-cache.lib @@ -0,0 +1,200 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 16:47:11 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# NE555 +# +DEF NE555 IC 0 40 Y Y 1 F N +F0 "IC" 0 250 30 H V C CNN +F1 "NE555" 0 -250 30 H V C CNN +DRAW +X GND 1 -300 150 100 R 30 30 0 0 W +X TRIG 2 -300 50 100 R 30 30 0 0 I +X Q 3 -300 -50 100 R 30 30 0 0 O +X RESET 4 -300 -150 100 R 30 30 0 0 I +X CV 5 300 -150 100 L 30 30 0 0 I +X THR 6 300 -50 100 L 30 30 0 0 I +X DIS 7 300 50 100 L 30 30 0 0 O +X VCC 8 300 150 100 L 30 30 0 0 W +S -200 200 200 -200 0 1 0 N +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 +# +DEF RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 300 30 H V C CNN +F1 "RESISTOR_VARIABLE_POTENTIOMETER_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +X ~ 1 -300 0 150 R 25 25 0 0 P +X ~ 2 0 200 150 D 25 25 0 0 P +X ~ 3 300 0 150 L 25 25 0 0 P +S -125 50 125 -50 0 1 0 N +P 3 0 1 0 -150 0 -125 0 -125 0 N +P 3 0 1 0 150 0 125 0 125 0 N +P 5 0 1 0 0 50 10 90 -10 90 0 50 0 50 F +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011.bak b/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011.bak new file mode 100644 index 00000000..cc674c90 --- /dev/null +++ b/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011.bak @@ -0,0 +1,227 @@ +EESchema Schematic File Version 2 date 19.04.2011 16:47:04 +LIBS:555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-cache +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +LIBS:555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DADA003 +P 5050 6800 +F 0 "Sym?" H 5076 6596 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4926 7034 60 0001 C CNN + 1 5050 6800 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5150 3150 5150 3850 +Wire Wire Line + 5150 3150 4850 3150 +Wire Wire Line + 3100 1800 6500 1800 +Connection ~ 6900 5350 +Connection ~ 4650 1800 +Connection ~ 4250 5350 +Wire Wire Line + 4250 5200 4250 5350 +Connection ~ 4250 4550 +Wire Wire Line + 3850 4800 3850 4550 +Wire Wire Line + 3850 4550 4650 4550 +Connection ~ 5400 5350 +Wire Wire Line + 6900 5350 6900 5200 +Connection ~ 5400 3200 +Wire Wire Line + 5700 3400 5500 3400 +Connection ~ 5600 3750 +Connection ~ 4650 4400 +Wire Wire Line + 4650 4400 5600 4400 +Wire Wire Line + 5600 4400 5600 3300 +Wire Wire Line + 5150 3850 6550 3850 +Wire Wire Line + 6550 3850 6550 3300 +Wire Wire Line + 6550 3300 6300 3300 +Wire Wire Line + 5600 3300 5700 3300 +Wire Wire Line + 5600 3750 6450 3750 +Wire Wire Line + 6450 3750 6450 3400 +Wire Wire Line + 6450 3400 6300 3400 +Connection ~ 5400 2900 +Wire Wire Line + 5800 2900 5400 2900 +Connection ~ 6500 2900 +Wire Wire Line + 6200 2900 6500 2900 +Wire Wire Line + 6500 2550 6200 2550 +Wire Wire Line + 5800 2550 5400 2550 +Wire Wire Line + 5400 3200 5700 3200 +Wire Wire Line + 6300 3500 6900 3500 +Wire Wire Line + 6900 3500 6900 4800 +Wire Wire Line + 4650 4300 4650 4800 +Wire Wire Line + 5700 3500 5650 3500 +Wire Wire Line + 5650 3500 5650 3650 +Wire Wire Line + 5650 3650 6650 3650 +Wire Wire Line + 6650 3650 6650 3200 +Wire Wire Line + 6650 3200 6300 3200 +Connection ~ 6500 3200 +Wire Wire Line + 5500 3400 5500 4000 +Wire Wire Line + 5400 2550 5400 5350 +Wire Wire Line + 4650 5350 4650 5200 +Wire Wire Line + 4250 4550 4250 4800 +Connection ~ 4650 4550 +Wire Wire Line + 3850 5350 3850 5200 +Connection ~ 4650 5350 +Wire Wire Line + 4650 1800 4650 2050 +Wire Wire Line + 6500 1800 6500 3200 +Connection ~ 6500 2550 +Wire Wire Line + 5500 4000 7900 4000 +Wire Wire Line + 3100 5350 7900 5350 +Connection ~ 3850 5350 +Wire Wire Line + 4650 2550 4650 2850 +Wire Wire Line + 4650 3450 4650 3800 +$Comp +L RESISTOR_VARIABLE_POTENTIOMETER_REVE_DATE15JUN2010 R? +U 1 1 4C30E484 +P 4650 3150 +F 0 "R?" H 4650 3450 30 0000 C CNN +F 1 "RESISTOR_VARIABLE_POTENTIOMETER_REVE_DATE15JUN2010" H 4650 3000 30 0000 C CNN + 1 4650 3150 + 0 1 1 0 +$EndComp +Text HLabel 7900 4000 2 60 Output ~ 0 +Osc-Out +Text HLabel 7900 5350 2 60 Output ~ 0 +Osc-GND +Text HLabel 3100 5350 0 60 Input ~ 0 +DCin- +Text HLabel 3100 1800 0 60 Input ~ 0 +DCin+ +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C1 +U 1 1 4C30DD7D +P 3850 5000 +F 0 "C1" H 3850 5150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 3850 4850 30 0000 C CNN + 1 3850 5000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30DD6F +P 4250 5000 +F 0 "C2" H 4250 5150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4250 4850 30 0000 C CNN + 1 4250 5000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C4 +U 1 1 4C30DB4F +P 6000 2550 +F 0 "C4" H 6000 2700 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 6000 2400 30 0000 C CNN + 1 6000 2550 + -1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R1 +U 1 1 4C30DB02 +P 4650 2300 +F 0 "R1" H 4650 2400 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 4650 2200 30 0000 C CNN + 1 4650 2300 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R3 +U 1 1 4C30DA28 +P 4650 4050 +F 0 "R3" H 4650 4150 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 4650 3950 30 0000 C CNN + 1 4650 4050 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30DA0A +P 4650 5000 +F 0 "C3" H 4650 5150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4650 4850 30 0000 C CNN + 1 4650 5000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C6 +U 1 1 4C30D9FE +P 6900 5000 +F 0 "C6" H 6900 5150 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6900 4850 30 0000 C CNN + 1 6900 5000 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C5 +U 1 1 4C30D9E9 +P 6000 2900 +F 0 "C5" H 6000 3050 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6000 2750 30 0000 C CNN + 1 6000 2900 + 1 0 0 -1 +$EndComp +$Comp +L NE555 IC1 +U 1 1 4C30D9A7 +P 6000 3350 +F 0 "IC1" H 6000 3600 30 0000 C CNN +F 1 "NE555" H 6000 3100 30 0000 C CNN + 1 6000 3350 + 1 0 0 -1 +$EndComp +Text Notes 7450 7550 0 60 ~ 0 +555 Oscillator Adjustable Rev.B 19Apr2011 +Text Notes 1250 7450 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 19th. April 2011 +$EndSCHEMATC diff --git a/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011.pdf b/library/Buildingblocks/555-BuildingBlocks_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB/555-Oscillator-Adjustable-BuildingBlock_RevB_19Apr2011.pdf new file mode 100644 index 0000000000000000000000000000000000000000..0f16a82c555441fae6ac1b5788502450f23278a3 GIT binary patch literal 35200 zcmV)xK$E{EP((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58wo!Qe=T3jumAnke*I6s z{>!hTCH{N)qo3QaKfYh<@pUZam;bRJ?WDg20=D$vR5!)zs}_$^V-(0R$EvCfC)=jw|cdO zG*K7n<>%FQ*U!427X|sHP^{RYdX(nxzVOYEQHP|Uo zu3l0DcnQ+Z^%spmfL(@{MQi<=L~9Z0((g-&rGmz8BT`eHk?5);MB)oW63NP%EKBQh z)Gd|q%8}}GmBRM;bHen%)(t6?O3Q*)#URRYr0NAiy@u65OM>*CH7m$D0_|(x2i=Ng zEn8NuAnU#l>|uRSZy?lfD#n_E^aJ&5efwI{Ea<-{jJEH`HG!*9N{9XaMPOo->HGfG znhtM^nttE6ug$DCD8Lp5@W-QTwybmD+4Mz%CK@1eFl7Jz7U>i7g5pE`@-0pe$*dyhpi z@&;wsyK_(8dY;e&$e^VU=>x z+SQ|w*}D;VN~CPZRmR+*Z?V0)Uca}m^$=B7^8oO{BceyST2H(k{Y?Ef2(Kq;SXURIZq@X^ z_CtYdU^Aee2Aox=R3gWvoRZ5NU%S&VqQ}?SM6!$R-etJEW{Fct$edFH;P0+e>hE6^ zGy%ui6_9Dz#4s*h=jtTJrRGvbpzm3(Yemvc4p7~%38rPfP%0&x&@${XnhN$f)mUOT z$6iuviQUw_r{)qw9ZfWs0J7Rl?G+FjjHSH6f-YK2kVRKQXfiSDO=o&X0zeyuz=0H7 zPqEd46`GC0pxF|j%@#%2ZlsHLyXz{8H`71NwA(f7pRHK`5EE+G9AEktrrgw-CeU9-~R z=54Yy4bdd&37~!`Lk&#KE-S&o0QMAWk`}uhyR0~YO3T{DkQ}N*vxe>j=}XJX z%aCa=ia~ur8u|!?x4PVvIyq3$?Jknb?C!_Dx zJcta42)%9k50||C5@P8F^=|HWvo*g#HMS;f~f=dxO91~X(q z`f@1Jb(~Xqx`bc|b?tR$wBDmyT^*zrD(9-zQPcwtbv+?-Ts7_uCMmi(#UIbLJipFu zFp{f`j4;VaF63B`IU_l$tkaf?-b^+?!hp}DA zIjBm-k-n6S?P!;=m7&7HjjFN}a2X znL%VV`{Q7YFJk1q_#CaJk@qD~D`rcjKIVN*S$Sf(+@RL;a3g+E$xYeCFIsa;z69Eq zo`;(Y5$BiI*Dvp{EH-)ADpo$x-g_anfz001lp{dZ@ra7pEFzqNFOp#s-HT=Q@lipYorky4)%&FovE9MuN%Co!w#atO9)Ci!i{GK^Ia zol0oXf}m&kNU|+e7mEQ2vh0)7R+7esM*-GkG(vS9fz{@t7!U;7TAsA@qmr#ikhA7V zODMOY6;v8)O+bQ}1w5a$wq>{AJ}0eBGD*^yT|BObla>@h>)HS%gf*m+bbi^ww%!o+ zZP*Ggfi!+YGZExGk|o6_r+ce{Tm^F16sXqRe?=h4dZfnLt!oCbnbES$lbTB)VzAK* zIM;1%(w9Ou*I6xvOwssk=-~ovVxy&Bh1CxmHo<%|U%^HW;k~t@~@Vt<3KGy*;~?sx; zrIRO!j$*0MVqS70d}*efxwlA)XBaqM@ljO6NvEn7jgLfYiaf`f=b)RGAGXY8Y`v!r zv^Mjjr<%8CcUOpP*8ih zRJ!G*k}yEJYio*32-D5+x{%!*==9BbIH1lNKY63`L#ap7oHjCEl@b++G^Yg+F2t8w z7h;b1f%Qi5NtVbte`it0)@IOCX(P33bV2ix0?Op=)+;sk%4aXw8`}Cx`)9)v1K$LEx*RAd)B>e)J8A%cu zC}yX@LJgZmNfOdEOp^7|ZF%PX#lF#vG#9e$BeHquJW9c=UnCti0q$V{kT4@wiy4!s z?kl)KURAHx8l!E=L?4myZ9UNtBusq~VIO0unZ~M@T+Ye|r3-Pu2bc5-cyB`si;iz} zek%4zoWmUHRC6Fv&(lMRM4C^wX;8W#bH6xpwc-IFj&2uXP8&x+A{-=HirtZv*&Yo&CPQo^i#1%@-$1vU)m5K^jlq(#m5;z z9+Saz<*j@BCK19=Hxr5cj)VMA3OAQ+}cJE^{BJHMnXRod$LEP57GbcUwbbW z>E&|x5tYT8ic}U4T)A^8d~9u=fbGnyA(chCBmDopS99FCM9?qt;xni)0x6KKBoV@q zDydDdN+Mn@+iWGbVKF4N?A|Qyhwqnh9Q_6$zU}cDfozS6_>6vZu7kwaFi~>cLRhV3 z$d#x(%czY@bK5=?+ZdPv=?l>n6hdjjtoC&-e7(yAceWJP5oB*E{IPF~MZqzq6`t}+8*teK@4o zXO6bKd0OO!w+ z7GC0hjbXb${vsJ$Yzxu{M^${becNt`rB~?j3RKFTJYIF|e1%}E?Le^Fj#6B02W>2z zgFhD6A!A0Y9uv!L`e=0b1+f&~LH94dLFIjyNv`}z=%->+@>nIZJkU@6#sUH%PtxH? z=%-?j9IR@NZrB)oxtVHhzTG2{0RmGM#L@s*Z--}z_4X^cclR?MaX&nu)6}knd-G}S z^sSjs$n2~6;i(d*Cmm*Vu@~Eo5@d)_7yDw~XXxTWbbXd}0qzsJpj%dV*^%?D9+rk4 z40uSykL|P~5yEfv+hm*R91*1HNklUF7Op9ea}Ms4MRhDifBkNUxn%LhzSQi1@tZrD zpGrOS(qwxnYVfVm??dM3xjK0&J?gaizOn+pqj;ja*(P3(&8z3B+VtSO?h*v@Fu~Au zMb9=led|;(@@#y_axF?GoBs09WaBBQekZ@qh1C%DKK?fE5Q3;zXSptlB1gs43{Tw@ zW=J!el9c@KaA?^gCe4}+Pa@yybEIO`&t(T?+3K+?;u~az_9DjDY|HCo$4>VdU9~U| zXzjkchAK~=xH91SC1ng`ekk-vmTqApueS-KKG0Rm(hz00Z$cBzf`$C%jnGfU9+{)9 zS0$;uA2}1~$lQ=8e(rK+GLk_$u%6b{Gm(dbk2H6p>p*$FXVi;0U!$SBdM@i;eY|q2 z3$tuKIPsG^nI8&0lEt%ksX{&!U5E^sHu5Ozs=KZNm{Oc(VmZO%@*xDooP*pxg4;d#na{G3R96WV}0_~wn!PsJXs!4@0#qkpW2#Wljz z*WI>*C3*atERSzjO7ff*6c`ZQxD{0edy-a(bi*-QK9H(=dUCO743JJO2L92kkTD}x zkuU~Swm$beq){T!;wZ;}?}AmN!+R;$fK328QcB_%rdti)zp43iRp zgc4DVN+jt}BKcEg5HSN*4eF3pozmf9Tal9vH*?984mZmICms4XS%;q0Oe(X>UNUmn z#<`?KXP=dLSO_L55lAQz#X^Z7ostzL z&HU783=j3B#_*U|nn*S7T{ysdmd^Sv27dw=#iJBw8Bz`vf_*3qA`Xc9(xF0+ECuP8 zK$@IL{DDwJltMLvaySGx4LwtRRehIp3EhLUXe{t2>J?(v5SE+v`Xd)F!{{tqm1AzlK&4KzH#bGk0XF+sP$Pqu(uA%+c@O%FXX~ zV2)9DuQeF;yJveLH}7}rql5gC8yWP(4Gl)_Y?$pTNf_@cenCU(7-SSky{`f!L^-Yk z5@Ls7S&G9539sC+LGBz`l5%WUl3SPVK(1`JA_dO;YpI!lRfMPK^HY81ZBYWB#4!qLd_HrN8 z_SH^WCc>)^b71}oh(jogMK#K8EDr9W)|-YNsJYyOxW7RS$X1G!HcJIS- zYI+}3+NTHSeRC6}?{+M@|98rDJBoEhoAtl-yymheH{c-=%?yMpkE+MQ)0ltJoo%6G zw=FV>tRqvyY$D?$+p*uBrenMHRUR)*LmN(thIQhAX;?53_A!4y>LP1v~i}FTT*JC=|gJvn1o=#z+&t% zB#jP2_5>i|OpiGay|0rkye-RDO>7>~IEP4tw1Xo_p{Fk+qsh;&VU7PH>j?|x(OQm~ zfk2yr*s33j;Q?1pNP?6cnB5jnGR|l-OJf!(NEued*COl%{hf?@f%ob0*JyJ`rgU8V1X0*v@e@Q* z2OQH)NU;-`$p(ua;|Xc}H@g*!uv?KVyA@fZIVoKfvU5I(E&1l0-`yh6s$1_(;#p}I1Q0FC>sq2gf>E% z2c&0D)_^p)hB$-Btu*^^ddr)h_|;^C28y;&fL z0H8%*$gTg&;j1ABqOu_L43$TC8pT|`3GYSE%C0$o8_4symt{Ej3S!d&T07`QW1l`z zB#Rgc{Z#DXVdNnxEx?-BvjSLnl+6HSYM7I|H_JY7a^$a)@zJujn+a@kDdP}B-SocB zAp|ebm@g82m`zk`l;9>c}{a#`}#xgR}{d4kTwq*(lwcjg|AYv@RKiew^sG0)&bCcT`V*s9J>@* zvja6`3NoTwq*Iq7b9N~?7imbXc5^*Jy9%laoz$p!hYOxaS_^VlUmZVBhk$u^?;aVNADyzc410H zkAVnX29^q!fwbu}+_aWtobnlZxrL1d8NV!HARSc&_prO0 zh90W*WSG(i4&9_YxVt^l4nTHVJqC`xyG4c2yN~BlF(9G({p+@kC}@Wo4_dTq`rr`n z0BSKaa>&N=6rsJU4rIzOuR^`${SDi;(lDPl1Pft$p?DKf@@=b3MoT1D$ZTAQ?oXe_ z+4jZP?V+0U=0-h|W*LdKC8_b$S^Q$LV>(f3XANVxm`m@yJkLXLz)U=%DAwnfq$ z_P{<&l0whaRPK3Ao4u}@UdR}c-S$;CmXFA|xk-?v59=i&6d9%1KS-Ek6PZ&NmCGCy zYPWrE89@*rF@GKgkgI_soFuB)#{F5F+`W1xPv8mFWAOzb=lP?2)gk_L0ey&K2x6mT zO!I^|DzO+xE>PxZdojPoP4|<_SX9c9Fl30FkaFL(LteCOibKmL!Z{v=Vu~kaPBk}$ zB?+gd_+z!Z?qWodWYZpF%tJD#yS*F=j*WYWo{y5pes?&4Bqhr!2vf3cmJ+0RTxD@9 z*tpZ@@ofW_HaQDBb4kPufy#5mju^L`(~mc&2;63^R-&FwRD>P05!ZG}wNC12L+ZdY_n8S{DErhEd=lc1T1hxgCZ z-hkuaf1ch_4(!W9ztqPMfv|tT6fDRwWSIlO&>5v@-9bCL0N6(_frNn^H(&nAvASj4 z?*9ENkmnOil)>%_L5{r)x~R7`l^{bh{UylYls@9^M`XO`32#4r!|o}_u$y93heJ|+Kg4hNM^yxq_2)?3NRNcZG(N^Y#{mHn`V{IbmGO_Dbnwy-=R)7+sBGVSK!3u0Mw z%+SILJ5Owe4(1ax^j`eF%*1@ZZ6|z0#*PJMGLED=9Cl|=s>9BW8>qw1O_R^-aD8ms z5O6+75G^XZGzcVWj#69(2kodj__L-Ekw8S}pI2S7Oeqf_56(F< zH8j^~FHMaL#oitB27J|SNekN~1lDGQwGHu=gRafld z^K-2Opf@#nq2K8iC_B&eJFY_V^Gq4B8SZx+W37dIUP-$6p=5Gjba?z7*DzJf6#tG} zpyW9uqk?M#7JvJP^^`Yw{R-JY?4hbSd3*YsJ#h)tYFBtC?s94J3T@a#8wfmpl$yD~ z$<>_hfg<6l`ZJnHut#Yp(-BpHzexlr9xb%%PNvJsz~Wr2IppjED_jelBP`TyZ7NBYohuc4y-uO z^#|hqe$oXFvxY8+gwQA!6$9ze2G~;@?cU%Qq8CLc!azbBr-0T0j9Roz(+ z8u&em(dtE`{sR*7!o9ro*v@8gsoLbxk*p-HWQA&p1ridM0 z2qXjo9UzaC+VmnhFc+-?2_0_stYi`p2)=p5N`@Gg5l#;59yOl3A@e*jO zHA^E$gV*hbNZTd__M-l1kbViY9%trHWTsm3z~r_iv)t|7=cK^hHrHgN>O-{2EO+e? z$tw3%eY<3pl2B_~w#tOS2w03(3Cc7|GN*PZU>K#G+$w`rvZ7JU-o;MsMI;FXLNjK$ z@A{T{WV2S!uYOot*iuRm*}5ufwIy0cY@HsZpy*eS-aI=J>^EQJ zhKN9()byyD4@)(bBW25)HBV%;%Wb_@(5I68um9=S@cTdhhhO4f{n?OQ<;pqhN3tNj z7;j7Tk;OWMe?rrdgU34L;*&d>-@pFtzx#x6TXB7x8H;p9wJJ!}N44`etG%;(A#%7r zzIh|`G5Ku&=C!=k-7O+t)lQ&@-nbjz6nhq=Zdc#zHGT3%=VRjS95*GtB;rZX?;!G9 z5m^(Z{+zzSXnP~{DfxE2^T0JDy?gOKSaj_C{VRQ8&mah3?T=4xR6Zu!s+DhY^snzK z+%?t8#Cjn|S$*8aH})`{Ao`#)zj-6{DfzBRYL^aotbT`NMiw3Senw<)6Qo#n<{i3| z{+Ps@Pl&6=Ohn>9>;b8t5gQm+e~J0^4&6zAOyXT{JCXrcJ5T!UQ_T;^wcK26s8zYsav_14x|9Ck{G}~f zbbPf5%v?s>?q~B=(}kWrh&>{bDfb!7CbdOlRrXP${4sg$(j)0SbuT`tspBDtJG6oL z!l7srVJ%@^03f z40%= zRtQecW-lwGZ!SdVm4Qy?^+88XSGvck_qKg=rF#JuAd^pyRRLR@ya7r@*H(H*0}8z( znbXHA;Op>fc5S*xN)Qo4;%PkK=m!^GDu;UD{KQ_!O{?A?k<9vIUc+Ir2p^5aYp}=91Zmv{qeDZYBVbOY zk6(wy4);SxKl?%hwD=}Iflqno{IMoF_110~>SY7Uw}xB9auiuCj%l}6r&(lMENCg6 zpj0~Yi_LQGjL@~`Oen>Q6?6AQZj4Jy#y5FBSWSd$ecU$ADSUf+AT8ofasYW%#2WG? zkfdu( zbLy_?;`o9P@z#@vbZ#FWAGg z+HJXO-q*eb60Vj!` z&Yuw`a&j#`)`zp@(jl97jG4);e7Rfjp@8F@&iT8bq}v)TwA$;db4Az@_5ZO^12hbLSu zaC8S|nqc!ITyIVASKGu^WUF4AVq^`ezHjv%c|^D~j36^dYHYe+@5n>KmfSD)G^qgl zW?9h1R=97-L%NnZu|h`JIIUlvo45gw$Zj^xrgjU%bBFQ`nIdcf_HPY?$xVZ4pTQgQ zh%n;Un~vWgM+k$P`^qogqMYR)2u$9eG4{R9+^`0lP+FT9?`|Qlx&-c-;t>~i#FTM4V3kL!6=5kYIfO?d}Di?&R9|3p_$f;WiGQ# z#na_KBi4Vh!hgL(561!1sV#HO{&lww7rwNAu7VJ!@}}{4*9c7wLPhmvhO2u77dV2A zF0#45g6K+wYvY(SSAQk)4R|Pvt(BV}EWVGuzmr#0{SX{IVopLS+kJBT%N=>>V3>Ow zW|wg$S{dlT&{`P{Id<+T8=;v#p6Y<1 zVo#y*vkqqL0e9AGpo6N$bkMPjAhY@jiGDtOG$M~Sk}kd@kPfO3o5|9>66fl#um%Dj z`xV8iA7^HsGZi~+`&4uXI4x<^F%_mU@CH2WGo@4pB))$!j1g`(cSaQt-oM-bD{=>n zbzF!g*`oQ1wzlM~`rh(jdNe(2?4d~A=YD-;FK^0Dr?O@P7l{y-b=ys$9&XCMLysD{ zco^c4LBN8M7Cd0YX2064rzq22zC2vBvtckX#?}$Dw}ZQjG(wP1)Vp5~3IF>Fb8N8~V1^(}pLC60#c7C03h&Ch(sCm~_f}0v0>4YJUyh(N4{sc~s?K*{_{LMjJ-GuX4G)a(O4@d{B&EnSdVj?$EjgqWB zER=@~eDkdhrPxMlKTU!{~wZdNftH`XkQ+xkZuik+OKpnFc$~^l6V` z=Maf}7k1t;CqaZwic*}J(%e7~)VIGyBSYjrnT8^knLdgMt^1^lh&SPsUdhbi`g zWb#eZLCmKq4dxrEe{!-K4=Z2DM>KJ`M7Dvh@o zOQYX;#4~;48{=~a#zG*N`RJ7d@})8N?E**&LU@kNN`w}J^+Ot%fWSOnKaB14Yu$a} z(8ZA=4Q8(9y}0*8UkPJ9-6`(92r|`YzO?-mJ2t3P*}0(pCzpOKMmI<{JoxgE<1Id- z_axgAhLxvmV?EmK6YEb9@s&SvJ*VLw?1PtYQsmBXlu81@6^M@li5b)TSlVKajXGF- z(#R+^H?Kp997*N6+McJ(SN_OXd_U~%K$FGZ4p#@(5ZdxLKQYasm{)zGjZcal3s1u~ zOf!8H2oCMUq#iRrHfh3BdxLaH&buXauf#u%^vD#pu1t9V*>_)PKMWY%$oLs_Adkqb zt1a%YkzKbuegA5bC%JkjkRK{38${kJ{ipjwutTyQ_A>7GuZ$daIf2ZG_3CGumG^`Y ze*f|7)$}>JBYk3tPg01V!s3sFSdUK>nxAe16Z`!~5(8gAN|G2W^2e|L{6ppb?eA-> z)~+5(Gz<~B4CNY%^0#wO*t1QuzB1x%#1Lh2xW|8VM5q+nM~45X<5P3AsGw z@|4KMfBzA3@C!&z5@S_FXYbYfgw7Tl?V}+wa@j~-Hchm^gygr_da|-AH;8We6Pxo$ zA%0r>2jV6Y6wHQ#39Kx{z!#8`B*u!U#@;ITOk;mOia<8siu#?T?LHWNvSHR8$GnLg zW{FUV-0#y{h~d9;%kUFdJW1fUF-c7$H!NN2m_%aJU39_4ey6747m$-A#;OcW!|HvY zX)qhq)}1I3XY;gap0SCh@g6?Q=Fcrao{bfKM%PS;bgaW3D`LMpj{5{qb*-2`+4BUD ze|py>f__B`-towUU6W$q3rI;4V@0(6-zxWkhKb23rc6h?vwpN;)@w@e5r9b1i%Ovq z`Q1~?;CE`7_{0<+B=FmqMANL-_`m0-5nxSB>}NC#|J{Z3^7#D<7XSH&x_zQuiV<5| zgX4ADC<5do?a~(89JKBhLcE$kA)72W+Vs<#XLFu7LRGM#|Pq*RgF3*#Bbs8 zM z{GlL$-^S#R0g;l4gu9>QV!!`La^Q=Q+)0d8$sSWw?-TPsWdl((Fp!T-GIyfEX05xg zGCon8+d}GMBTL9(Y4wTP+=wPNpRCQD=*6bje*v3YOI&O)!u?Cw#CW#ZGzR1|Y;Hso zo5|7n85>RlY@1I`0-V5>L%V63oEP{hJ}oYAL44ly-+6N8CqDI(1b!QnKL*6cBa(na z1ui>=G|GW5LUJcDR%MuXpq2fC@mwZ*C1hv4=!k$kON)g*M4SvlM(KAOr$%ZWvwORfZN?YEP24q`5>7|WCzqyU#vutie6Pu43^#0aw=swM{ zc{UFO8zQ#&<@oE1V~%;Te&!&~PkfXo3H-+5mI&6*Bw_1gGQs+pQsCcRNH34y!}|HX zZlCCyx7Bi5KOe{E`pkVS{!YQ3xya_TfhOWwKqjL!&*HQxfa=KPc=l`woq~Ztjv?v5 zAS{E0$35u~pZEfqB=DPYS|SLtl7vTL$%S!R%7HH+CrOM|8J5uhk~l3bfXGMVbdWt6 zS|Aesghmh@rDa9{6>8-tbqJuQpB_LZg6JnHczBdd7(k^M_ySUr#8{D`VLqDMV5aKs z1C;j3h3ze0nw;8y#XOUoS|UyR6-IdZtw+n~1~+s~OcL>l%_2bpzpH2J0V90?lASX$TRmoFLRPU2hjZZA!zxw7*!6&C0wHf3{L{s*=ByKJl$f3~gUleJ;c@vcU&`6p!T zZLC$^4zySgr@u!5(L3fACL9&c2BDD+4csBhC9^GW3!&hY{0{&-iE>AES{ zRW3Ue6)MoMcr+toI!7^_Hxi^(D&5+F*J`jCLOGl6*v&QH^jz{>)vu4GhB7NG_LzXY zO)2ilUh{=652@Gz456}GB*2m138}bW_CjRWsUWybP@Z4g4DzFg;>H|>0vgiy8z3h- zqL9*&&D%jbR}1WcK*UEi1>qGp_7Jh%^OFiIxKf75ivCK4y(7i<4?kknw6{p^$)3Uv zR!DIXk^QEw8ZEQgRg$XFrpI#?D$t)C_!nf^pkp!N5fQ_WQc6e;X9jIH1i8CL^Bc zO3l_DE$TH*Ejl3DqAU|F3c{i0;2p@?kD*0T(ML@IGW|a2$qgFkF>4r2PwtLn_V(Dm zP{__+W-=?z{IHrUHmY9=EOl1|ClY*k-BwI}(&oo`Lu3|;Qej{#&(X48!9I|)jpIz^ z5C_tg``gLC!r_338L(=gR8+OnmAPqXu65*{rghEpqrC1hO=h$AKy&Nhh0s724veV8 z;%8N2f)shqE%yqSFw`>u+Synr<}s4a^}3JCWw>SNpVm}-DB&eX`D}nPWcLm@W6pAh zyX<+KlT*24&sKNo`S__rn)+(R+tZNd>xL<_==V-5w|?8P9ey*u%>Iz@s>cPBy~z>t zX@~48)Q?d{0Wxv+gdMtfV`I}Lj?36s5L!0c_MOAC7=>8Mw*kDB&lc3$m8 zZ&}DSHDuB*7MiUi?erT;rleW)7-5p8;caw&BO#3E?t|;E?YPPm+PV-w7loPlLh6a} zRfkSN)`rnkXL&;O1cqWdEGN>CdFQb#LI+NBv8wOLj^mLqF%5Q~gNY?>ZemFybp?js z>MXF#i$+Jvcb#Pf63(<~>$sqT$fn1|az%kmwQ2KrkqA^@;7*BWS&=MW^LsBS-5XGc zTN{lW20n^AXPp59PL(^4gvCCj7jUidSg`OVlX3+p{wi|g#b{VF^3kf$?qF=W)xq*^yk&t}O1%3l$ zs-=n^S5BjEjjv_L6H> z)#9Gdl*C1YAel_@u*i)1%BizVQePSGpnE1wIrP$tq(3K|wDkjW`15H~tTyce$&>ce zG?QfZd%VSw-vi@Ya_JIAPaFVsV^}D)#Fjb?>IL?JOoc$y%ex4# z$KdysrjN-_Dr{BHE9?F8Lf6?y%EenQe#v?_B*U_unQ{ zcjWhgVDaLnzEr4?^{=$$cH zK0~IuO_7H=EWw_TDO(n4id+)kbMym*f2V4`BfH<-HN{F_e9D|Qk6TYs+4Ks*+zN(- zBP)naVCWEn?0#{T#+)X3gHvUc4oFK82y2CQn^oc)Cc^~U3VJLMGEH+e5#+bE1()Hs$3k4-5bXE=<`U0w?qdmE9N zej*1DhBzFMB%vI=taV_u&$xc(YL&yDME~X_rO4U`!qQ0xphu(*r8=h;Q0}Rph!-bF zi_ld1%}8-BgnDj_cK|`L8AFkq(w07`w5BzS)uA#_hdN+m<|`^u8Q=5ne^iE?Efqf^ zW*C*1+R}VLn!^m2D~hZyE{G z&{~T`#;EK`qc8eQaGGP}Qo7mnpO6_Be?Zb4bF3)}q;t$6?@&Y(XqLBg+I53UV!fZZ zNg3MOV?&MVb3Z*J78bV0t`={YJ#X;Q*4yt}exg)Z7-`c~$laBdx)0_R z8+>413-gRHuO;Uh&AgUdzA_hsc`YfBWab6-cQtc2?0Lx1xA@D0y{dh;J0jOZFJ%1w zC4f9N<-qR?u&2fau(v@3u_FPSFBo0|$*r&g*?pIR9KOXVik-fI3DPHS9t`%Uh08-g z0(Mbr6cR)(&?m)}g@AkSSK-|u-6@uwul@)-A1v)cYX$CRQx57TrZtlhjph8JPjSb0_Q1UNjKMcKrwywc}3K zpdD2Q`=}@+3Az#>((=ZFX|C4(=aypaLWmxa;+@@-48)jd`Gkc_-|Ljw!|wG>2loP@x_Nv>0lS_bby!+(0>tM7K}Z#uuW+r7K% z(cOKH6K!vZJbGrSD;6VkC;f0TunDBf?a`&C`4vdaf`Zr*7c*s*``GQ3B21?^Bo-5_ zoB*?wO%&VQ0}9fc4{)#GFQNFBX4s6_Oc=#5TLx)Nv6;OCAt4H(8bKIUebdm?918(s zj!l*D=_n&M9c69!oSIaY5Zinh#kya=9;8us&azn@I%DbqJq_A~&`n=$8xgzo`o&Vs zZsHHR)GC<=0s+0y#4MXNXmeQBm(6n{g42-Hpv{;9^*v3hS%E-UaTaQxTzmy-)*RfU zo{%sQ)MBRQI?1A4Vv>!PdNhdb@c>R(*&?hNr9Y*%d=Fy{37sD!u}>g;x3yf zhk+QiNP}EJ6N8-avaKcP`oYUbhoFlABr(S@lS|o9lxtzbRjR0sXoE5Ug~q&8DAfrk zy*u;VI4)vpL90aqyrL{cWvt`ouSbJZ*b@4_r6%{0@du<9?FMPA4Cqe!BR6mGZXLh%A>Aw{FR$s4{EpbA z8h?}XVKNiiYXU=!zqnzd8Hh=ZP`8e|X2jnB1p~@5o0vzqj*vx!=F6 zg8%TMy5|?NNFY%c0o&roDUq45_e6CBkr$R_5wb15yEY@Iox6=<#b0k%(yM9R9(+TJ z2yZ0*W==s6dp%6kAhM7IB7j~pl?v^eIL?G^4^l9HAy^zDW(3thv8bwLN}!HM31@0U zA68VKkjVLTn|&r?=cp;KBdOy4xN}lYKanc3Y}pKFzb!xq`K4I9l#z`cC8%=cJ?iKbFr_v zZ|yRi@40X7*m4?uixB%(D&~DFk#>t9dv-30NMKK$wtBr$Pd6je#8jK?8wV!mv(0o+ zFVi*dpNKS%56wu@zv@6kf6vKbuYM*PRr9D>X>00@)*9HK`C?a-H#OPSq#_xCeV`ak z2$Z8n!JU9@GGm#lN!(1f`We<&@NS4vP`->BA z7p3^|JObFM8uBk+&;zV{fs=wk(xuCq2?QMyrDBi->8Mk1k6J!HKPa`_yb`i;)#IY@5Urb=1#A0}i>y=7EfO|Ui^T!Tw+cb~y6xVt+62Djh=g1fuB2M_LUgS)%C zTd?Gt_ndRrx<7h)X7B3iuIipzy{oF9t;*Oj>?XdC_CD1+7gj^DZ(toX)}lzaRqTw< zRxU_UCDGfHiDA{;zB0v*X%6cKs?bQb_U$!xldCQh&CmJ5JsbYfSw_~$;ha7DR;5u7 zkG8}#UKv0`+>$>ubg)acCJH~^40E@?LuXztoGV}@N%BZe$hM!%F*Df9+Hy6#549+S zow8X6ltuLLEe*>$^y&i7-Tw>=o*BhE4e#)<8C=oDvkV|z_~KB>U4q3D>p495*L2x_ zUEZuI%kt9>YGW{mPRWhUGWTsljU7uCX68rQOj*^w$+|yTNY8=ixUVBYT|U)8`<_s{ zmi+8Ox}#>)2zZ;Nt)Vzbl`AJBZS<4JwecmVwm7nQr#)>lCHHU@k4zf~`vxPiA=|(Y z8}nl~LMCmKzml>-Vm;}t15vE%10+V-?ywA=7VV$VzX+%(+o78kcsaL!?+?sJsAO`7 zV)}`2S@X%1i_p9?GK%f$Q$ED0e3ByUc~sq+$`ri)A(ewcnr7K0_HzSjnADe|hOR^5 z$EO7}9a^n_#i-tL6J4i=ZD5nhpmgi5wRk-!^C=LZqVZV0 zTQCuM?kc{YQh?nk_ejz;>q<~}RLw`LF{O}YfpuYM$Q2Sp{QJ){QwrZILX4ewZgOXq zV;0&A;e2HkZ2m7RoFMK%S1VNAVAV;o(UIFxnj>pyQM3z^7m3hcsr;Z9)=Iw1zQN=; zZ*k!}NK)^AteM_I2()x89LW^k6&u#CF+-2-&UluSl!6R>BLfaHb+A zFwn-8mP%E#qr#7oY(y**<_)dhdEa3&TVUEAi%*c?AyN&&p@?!5W|n@H8<` zU&_3}@U}U8kYix_{XlU&@L?;=^s}d!ibJk1=<4c$2TbWHO>Hxq-YB7%WkkoB)+JCE zkhIzXY<3l%-cl`7*j6S-bZCvdK1nbCAG;OCJiZc(g(5GzXg0+Y~hta zFM>fHYlngfeF7cVT&POilVK4{DJ~BVeaY z7%}6Zy_=b)To3idBj9^zNCu0~OHiVJFyq?F5C+!kOOEY}eoX*V)t8YP3@eaEThk^< z+q0)gD*@XC@k{}6Un+!eJWFn z{-30Z3|L-O8sE_e#aWn#vq@#8mEztr`hvm_W!Uy%W&+$BZJp67}C$rwx!=Tnh4*>g2JkP+P6_rn4G4SV0Ya zEV7C;IAiQA0d?MM=KH}TR{cXkSn(ifGHHa6wioEu;1uS&*O?7rVE^wh6BuwI9dRFs0TF25;6?PJb|leh+n6l z3WC_zrN7axOPi!$Q3bw}mUzQh0DQ@b7`_5BWjjm6avzE~CteGf*iT2ge;20|p#Dkf z%uxq@gtL={N`*I)wHwO4<+!^F&e_1G|1e`H{gC0C^F8TFIG9Hvc_u2fjg@&T_*dFV zMnv}qrXZX?rP3zZQq6DSi;LgMUc%czICk4tfaP7>o&6{c9N=q#pN$Xq=xEn6h|A51Wlm$QEcJIb9=KTRr7Vz6paLvwIRQpN{o zAi*Z81<>P_i^N;#P3Q1fK+lFzVTUVWORC71LI+G7Kr^r=1t6`)nn=Y46CcLek=yiw z$X|tA{L1oBr+R(m;rneU2@uESCR8Ue*f9=Zbfb|(6D^iv-!oQ=!V@q+T9XTAj4dHX)NnpV5!vKNDaqKys!ALPOtf&=fr!dcf}6Ss zDNW-J3ZM%Gk4&c-|lu;8o8$1rCQOV4s2g#ZG z2g%O}OY-CLiTTBiZc5L{u!8;xy3AL+u$$wf|FNkdx;arON}i<(!je)CJBfY&mk`~# z=Bk@QFQx^JpMjDPj1s^7mE14mHwD0?f(b1`RDBM$wa;to9`z1UH~BZ zXEW9Xfgqtr>W@~~|Mp^?jMYPt6wDVH7#UmW*t;O|6gPa-!=qy+FQU>nKYk51rbQhz z^}hyF^@njwHt%3UI=uV$x!=T!jEdPdGT}Ex#!a{&HM3L0|9z$GTu&j2V2>yNDNQ=% zp7>Kf#zWkfjvBsL;I8&`%de>?D5mRYTKS5&sB%1mR+f$LMdh1)^UDbMfZmv~awY#gUHA zKh|J$ej#7`y>}bhiw!vEz-b33`;fmUOvZhc@n$Ii%^UvqloqZdiPMlv8_a71Ms%bzC40ultX?+?l}&B4cC*+vpvJD>b542e|=Ah8K-^6 zjM|GMMK0vAF$^y9?yy1qQSYg~mB#NO9RBbjkLfe|YafnBcJ<6wn#2*=2K8J4EcxpV z;=`-D525$-ITd@&C9}fn&Hc`grb1v8FBVaewF;cI;fvkTf8j- z&Fks*zDjhANB$$;dtA_lMjlrVX3?7|O-LXDH+1^;;Boy8|Gn9ef&>G#OS}APfBZQi z?R%mWT%sMO8<9vwwy*LTtz&71f^#*NS&$8!Om96s4sF*vfgRNWt9e;^rWF{zc zojInJFgUTnXzp)|o4Z%N;*?Jqwp#e{DoV>?3{r#bW@_3k1SxB0XYPgx`=rWO;d`e>W=2~1GIhM^@Z=CXY4%&QGSYJ(!&UT9n9<5F~R zhFWNo+4ei=)F25CS6wxwNgkV%0zKs%oQ7LscNoVCxOjs8^M2Ajqdq-eT;XSAEnO4P z?SaL-@E-;IdUTgZs`ivzZba>CFQ8Ui&8Q;=M8pT7G#M&F_YQuG#zq*^<(r8g4nnH%SN79wAc{_QL_U6*0Wkqyvgj5T*)%MBc zs&v>_A@5X7c7bv8_s6q*)E?&6uo^oe$)#f&mo|p32Fo>u{9iVY+AP4pr)aehSCO?5 z)_U~y)hjB}3cb7@@71LoTbPbJ{e433niDEOnB;Skq5t2Tv2niT#)yjO*Oc(8ZH-tnA!3MIJD$wPC!~;q1%=v#O%Ja2%)`Hf zIKWDAwxPTtZ@q9f&RILN`)t}8v4I8IdJ1BUv%c{O8E;%Z+cT!gy$p4qlEw8*Y!O5) zpWtakTJ)9Hiw2(s==EyX(xs z5?9BD#Z+|%Z0f*I-I5QBy$P<#2+LTI*nv^H7DlDcSofh}I8KaR{bRo! zsuBloaI#0jb%^E_4|5lEj<=j=La7&YKXZ|AFj^1kZ2-=(g~S4GwjG6TREJ`{mubb! zHu2isSt$|-w7kB@J8ehJYfY@~+n6e`BCaT?!1hRu=^Hf zDLK%4p?O*LAnph~_8Ep66}2QWAiy;pY9*{hWRRU*gNk6~>hBMnM0Kr<-$HY_m$n`w zUsso>nm$zon5t^Vmj+y3;m>wTas4Y1$8eqyOS~;1Yo$SvS)pqyqpu@@<-U z#2PrebBgy>urM8{zA;cj&_DjYPJhMt_uSKYDuH%)3R}bzY3ozVl@uz~GO4|#jI~4E zP-%8kZMnf|vj@How)1sYz}O@#)V8p3Gp~KI2+x5yz_IgK^C#*L4;jYCj=S&tjIR8#=al;Z0O#Mq7$3^y-{R0;w-mTyM|^ z9iqe4ew}M{IIa*#^sf)XQ#C7%{E_Sbtlx@ds_vL<^Tl|z_;W|yOfhZ|Ll!57-ehOgu8oVor=%Z5`0R9 zW5*G^VNYq;wAtf*SH{?WRAS*wVHO@RW9V5;ip6QMn7n6Y5+u;9eQ(m;>LYK)#9#>D ztSH{2wR+m=aWX|EyEei4HTE8h0lox_V|ZF7sENWz^dMblq+zDUkM@x-u@j|D%7Nr{ zAcPuS>tm7cYG<)0wJ)U8#+;`{5@`1<8?qhz_H&lc)cit*IjCAEZm2=^%KZ;rr>E@h zg{La}r*;PsiYMcEMaIud0V0CQkzRo}+}Qp8?G<^mCS)GepZV}jbAajEWC)29TrZ`e zoY#lycU9Iy)yM{I$dW?ZuRa)-F>tKu_&xO;RK6qpQMm24SQU`?>i*LPihd(6Sdete4(ql4nFHo1$c^%*UuGtT!dQ$BG)|_0z6?Q z-p~^O9a=HyVg+pZ4b@pl;^+UeNDgF`!9R1Ja+Le4Pf+=2)e^nPRWof_b+MY23tl+Pp4Kt9X6}N8 zB$rK{@c^{~Ix)uxD#`yo1GUbr9f1HltVK)>NXYt!37J4ja!-K{RxVI3n9n;&Io z(9p}Z5am5TCpq=yIFQ&YPAz@I0#tg*hav%J2Oiq$e9}j5pb5%|poT#@Qm)XE00UdN zL#uEIL3yw^K*7dIKGb?B6!fJcGlq`J;@f2|D#}{H$^W%4tWm%0KC{T)P}b_X^QllA zXCcrUcm7}Aumnjakq4l3g=}G!B3bM`#rBOP6KZ0X_Kidn#_f1i?1PQ-mBJy%{1`lz z7SKw#PE?_Wjp_^<7h4M`9deqT*@l1DPOf0Rw}tpWIe@eS{tCzqn~5fY(WC65!w{Mh zr_(a=svf`N7~fQ=x*IW^BA*1;iWPVHP|;xnyB?YW*fvPHOFN-ABmV{Vl@EnGx1IGfA;>Wl+wcd#41G?M|yw_Sac2}M$4#P7Dbqnuu0G3c+#gUBdZo<1cbplzoR z8WN42;Im6ZgiTd%oCe7ITsiJM9;(>|3rdxPbm9-{V)N1$S$f<1D?0ZEQGjS~)$<`< zOSGEoHA+{}FYWzO81b>606U>+eSiWU{eJ>+(hi95az0@@PtXl4xj;sHP;>Udi_pIg zAzLg`9?6e9Ql?cXFN_&wpPAh2qO#{FppDAnAfQFbUj?NzLk~lq#kOG}lX&;qFRdN` znLa)0HghHb^#HU9fEmBxRw${NkNnTE7ewEx2@#Mcy`i8LFXCx3c?w(OVVdf(;l|t? zD+<9J)Fd%8fL)3Qr)84KdEIuRiO5m=#E`c_sNvMTD?nikqVJgxp@qBHhZhKgD#Q>B z>-*8)kH1Q{uAOp?z zygOPpgOF2^`wF4d{R^~Hv;K_+yH~LApQ?o{ALz96^SGX!r03!r^8Q-{+-SiQ_z*o6 zpWOr3d?Ca5RG#}PY)LT4cQyK3(?Oi%|F;-i@pC?WuWjFqSHNv!EREs-R2jUR?ql|N zh~&WmBcOE3a}oT6z32^RlS*Q`FU}^B$rpV{fTMnB6IN#YsLa^5-}Kz(Oi#J)S~hKm z{YyTO4+u;fg>J!2JPI}l9Ae)4*{tojKhRlc#d$o$90{hca)(*Vp^aVAnbmGFjicdb zNP+880NX@EuK7fWdHswwgj%eZAZQ!SPo5#(MHrtpWLT3dp0f??UO|CR3yw$0I{2*g z#!m5zKfloV={(n8LrJ474%4E1Fwu&3Cv*3s7f$rCyIPja##!E9^ zgpc}RSANTW()@(8xZ&9LTLS-V4N_rTv*2_4y|Ak5_;ef&KhSta^>kC@wLam?;;AUw zUhTYx{!G5tiU-5wvAq5xc|o+aQfr=wVHo`18{PurxR}Go#(I4ux?_HCL~EL*ry`t7pwvG!_3B{`z!<-EAEZrJlSdh1*zfA%`M2St-#@f(JR8u){Y z`~cZUV$IknPzW0(^gl1+_DV5JSQ59>FLMkHzoF&*-W!;xIBvr*px}_2onirD# z(;L(hv6si~&oHOSD6>{ckt3mRkkbgECZ)Ndk6)2$Lk?pb4jH>c>+ zUo%JTT$F^`dw^`=KTZRAubXUBH87}~{p}JiMe8odd2-g3$f4{^aV5l51BtKLc@!^@ zolO^NGe4urU}SW%GN%u5w`ZgVqObNpi)-^sHrYijKluguR}AxRgWu8lT1hZ zT>cmJkqV$ znM(8FEA&z}eX(mXiL7q5$WhrKF_^!hJzx&s!kf4aFZXRfiEC!5Fiitw&*D2N)YEqI zbj;vzZN0qr8=d45ntQs@|4L~c!C3+eX$bAex3laLv8%4k5HXc#Yo2v5m1uSzv97Gd zbZZ{Vx&@^^u11OiCL%IlM`LMn^nqiUcX{kf5iYMikOVJ;|6WPtJ40VGCke$x{+nP$ z7{DD@s??On26R|`L2i|{Xx7Z;Dx^!xb|O)4?kO>$q7_0)f;ZGHAc*xaBt~JY8HPKYZ_k*7hJsz>U z{melb%ii-${@q36{JT}ZJ&J|=WCZaUN#_tMEB-ps3gXs@4@GTpq-d(XGi)$uu8dKR zTLw4$yhdE20{U3!r<=GPIIqVY^RcJZl1-GgbH-A?@RQyYHjQtZAygY8%ulVvC?Dgi zO!X0+BbLvwoiM;3Oo=ld1$nDH-R5l+;r;BqFskFDt8w&V(Q@AN$^$P2K9*`@S?0L& zJpC#4F7_OLKSbt7FZopNC3;c(QxV9Sp>Ur)sgQSizRc_O0I(dPRZt~kb0a9qy1f2O z4_q~(TUYWM;{L+YO=BWw)WOEh8DTLmdnNi z6pZan^4Al*+7CN*6s~NA+5H%-MQ5NEypt^H>jW=vfvJtE&?yE#J!N1+EbNM}+EIAR z@5P$U(&G;wCohQyw|!c!m>7S%_+>x8wVTB`&S(9B7x^4$PMIt+)>t)2@`#EA!#Bl| zN*?!|YV!LR!ZTFRzulJmknmQ1)?uKS>LNY753KdcML5fFQN{5@*Hi5*UCQ`k9)eZi zn0@uJOr~~4S4I3$O1q@jMwN3U5AP}pNrs1cI>K7Th7VMXmVJC*Kcg9kRpuDWuvJgA z4$(5P1wj1MC41@)dQ3=k0Q)1!kdhL$RQu^jhTnb-8+c{oMVT9(o{?xMKY^S?Pn`Rp z^dgQLZ@ta>l@UuR;3j07=K#CBrn^z!v~IMtGvS^H;sRG-PDD@ggk-R6sf5sGc%TGl zZ^y?u`#K&g)8hRr4kVLdeuC@-@!$xsqXO+9J~soYP9{l?gQw(&cpaQ#X3H_mC-a{F z?qfw6PGLUy&^K;kMFl?GgCisZ^rrtWZRLzRPltLp)}EQ5ZeJ0!SVt97MIEP2-=Ni$ zhi6>`5UeXh77Wb;-=99uA}gTPmt&cc%P>eW6q5|Fre{$*9`2}kx)BRGB>KJvg;5V)|FDDr%_I-U88U*ZxLU{9*&iaQw1*S|21_j z=8aH*G}r$h(!B#7AcN8qXEE=pS^6VNyMR3zqI$L}DxcI7=Mlx~e0n8FISPj^D-^?9 zkMT%|CBfkthYnZbKfBtfADMK-nXrfIW=CAzYfU*s5E8$K3WC&gJG(^^&$k28%t1Jo zQp_V?#`IT3XIK@S3ePzT7!$#z3K!BO_3ZOb^&| ztN*$GE9-H^OlMbf-qo2Q#lv|a4f$F)Ta;&WXu-31HO?YLx^(n ziJOp*)_+E-pYfIckBH?grMwIi3XwoC`H(Cw&@Vlq1U-jZEqj5#A!UIdm^t(M>DLm~ zknH~%&k4IoAR^UJM#2cgl*$*i>;Uot0%UJ#h`QV2OL&8D)v|9VB_e^0u@IR^%Xq~J zi+N#0#efI@LozEKzef#7+AIF4;G{-)1P>z$ov=j8poCT`BjL<%S(Dg28BF^TDC0Ee zDKpf}F*N!=Hd4W9i;4SqkYk7de2-)KTPhOB8IEK569`c%0J2H|ka|nT$)Z0_J^O+J za)BLk!33h@L0~4uCK2QpwQebI&@3eT9KRmNQl{a1by&AQj^%&ma8NjFkD96!GQ_Po z``%76Z^L3<+%bl!Pw}SFYG84A^HSbbpNyG>ErDX*wwrGp?%Og>QtPmOShq3^K|5o{#vO@QmsN^G2$ zqMbjGYds+=%YPa&o1W%r@F^)Md_UD!ByS+POA^LY&(6+F-$U(35x=_3-2-uy^2!n- z$$L4XDXG*H+{kk_`&S zp{ou}w$_ahI3vJ<52WMOMdceNj}ZjjNY?-)K#{--DEbP*p;wk60)Df|`d(lthU`YGW zPirR3ePo0v1TeQamaOj1lpJ#q4f-80ic3M3Fr|NCy=v9ATDGojZdx1D8)UIAI-VM! z`SqhY&cgf`qD&|zD#sHlN$15k$bO9d8S1iFrGIoGv-eY4c%Y4Gq{<$Qwbo5Vw)?&{ zWljcqQHZ?n3jC|}95ZPw=hUpniL5^bBfo4j{gxAbKXzCb=3|;8f#E|FAD)>jv_Uk4 z=eL26KZj_F{)z014C~+){pQDRf_CVuiq&%#L*UJk?fJLv-7lu$t*0YxgaoH;8uVs+ zcSIbl-uu1-dZlaCl^OFDYm0K1pPqgl%6}q2g8NQ(QOI<8!O!meqL%qOo4c{pl4g8v^UPr<3=7Xak)Bbk4rhYo_wJrD|F z^=MmzOK|0!p2!S6RK(3a7p) zus)i3qiQeP3XVS^!~l&KVoqUVj<7Rnu-;eMs>P7=qZ);8UZh|e*VZk_=0!Iu_n@== z$-6339dzk;Vp{$~iLWXROxAQ9%CHSpd*IG0u0>fzkZ(U3gSM?9kTXNLjdiD}kD+Q; zs56`^FT!1y%Ms8^F!U~uzC4%TRB%{gp>bic!GtZV^SA83d*y_R(#dL_c<2g)~)KkJ@M;*) zQyTX;0WEO3-2mVNoNV47|KJUb*75Xz4?amtnVbK<(9STAWVdPODe$V^WTh({SXa&; z}E?V)d((&UaE%KOi<}=>}l!)#!^=5%m;)c~fK?$mGDys!wqss!FUj2sfh3W?Z@u z8`Fp?MIb1|las;nZzFVPaX9-xO1QcRj!m`Oms~T+*iohm3NODhih-nLc6{SWnR{~s zZrZenhMBkvoMJ|_yhh*HX4o=}Z%S!S2ikwk{W<#Psj(#756Fo^Xjmaj?zj4CLeZA~!Two9A?NnjR zNSjx(*m)mNiLEtR5y!1}B6$FJO*lA?(A2v(xi#11bbqa8BG-Vtsi#nhKVw;RI`@dH z;w22UA7qE2rr_4s#t$pRL>ln^+>`rRPdjS78mnrS3lHy@&J|(@{7fY{0pCIdwZ5rO zMHnKbP1)=5e~5WY7H==W>GxurzQGzX)5_P|Bl2s!q)mM2;l7Yc%IL6=pF>+mVVWwc z*DX@UH~n$j3!n}VL7XWnsXUzP+oU9pq^9A{VoD5-y~!$5g}^poF-c=pI#kvMf14y_ zX>Gg4V`+W4qt*Lf#83zaQ_$b3Vy#1=k`SK!iL7o+NEQ^jFhDOn?KQ zK3h~bO8v9BSpR3Dpzw=yHXWN0Gk~bKB?kJtYF#|Uq)h(Y($FMVk9^k2U*6pFBBYUh zU1cWXC2!9hCv3P>t>BOn;+k`zSVD(YtxbO&MJzSJOH%Q@jf;NRecK2Bl?h6XfTRcV zR%f(_1{c<-+O-^r2yM8L#~(nEi1=BH+(G4Y+db72YeS%D@jE6(F{YRCJMJjr!Iveb zlhsJq(JmL2lg9W+#rvM^^h+O2tdrv;7Kq?+F88RPrTeS-i-a{Iz4?dWZ8v?5m};|Q z*3zpbYtWDZr)OcdHTvo^1^7%lHUX(EUtcZ+JWm{7tb9-rblH2-vJlYG!Ceg$-T515 zfVai}K^FG2h$u?ak40EUn^SmITMzLaPplx09zF-|bW!V?rFn}696V7Gi#p#?5#w_# zcUW4~v*EYEpT%|Pp;Ja>(O0i8o+mc-fgs|V*Ssge_!`h@ZQ#}H<@5aGQ-z~rKN~sLfEZ(F&i-HF!NV%Z;DN*7tSDag?Sy)T?4L$T;L=!hxff75(B(J-hW7)1 zuLA~q&wEjgVRZpYtdilP3g*p<(3qk4=)TIv!EC(ZX)g$xQSTip8@AWA6Sj&;t+TQ3 z8;PC=84blRS~(#F-_+Uff<*k5yv>`!M6vTR%`W7Fk{JvQeBH5x&8dk6E}(}CDZJ%? z1n>jlOz43vNr&m}(uN@E*XvQ;A)8FCM4vv@Nz-m^a^+#Wi^~`eqS;C1=vA<=TQ0m! zhilL+Dis5g|g)*iT-;WDK#08lAb^6%3!p8uO)YXY6lX3e~`AlK$A%a$Ai>Z4FM z-twdnTSeNYuhkMW-d?exVG#?Yog`h2n*?38q$M$#L+HnQ$Ube3HQHrm?AjSG&5vzX zk6Xk2)|jW2T$&>*s$7#;ri8d;B?QrkE~a&GSU_oX_No%X(ubpo7|2{o)YQCK@&M>M zDWa+*{}W1?b>1OGW=9Z9P%UO+4h$Zt;qs>7M;}6xEWeV$1=SFBcNmVzc-B_wQBMn`Sx(g3X z%HKYul$Y$kmA@Bu(iiNL^14%sj5wj%&)xnYM63**zlL)T)JN0(?4znLM%WCbz1pWP+xo(0 zZ7AA5_!dn(J})*u@ANk#oRF{5FIY5Z{7SYTQ1xz6lqDt_94?W;{M7v$u-K%7EAjNP z%giCGPBwj@~qV)vrB4zMM9dzH%|I1l&Xl zcA#_O{uuUDxkUvD@1-usGSUzQc+Q|~If9Dx)&Lk8f`>Isifng@iLZ?=5MUz3G*7}t zxEFhw>MJo76foI;i5}1Bga6XJUXp`MpOu1Ivxj7`p8cAn#+_Xcr0iM7o4Sae3zDKQ zs!P6ipV{?xOa7MMEx;v9*Hb5EySK*JbH!C27@a4W7|jtib?!1VZnGxm;>1$5pG!_S=bpeR6$W!x^mgwkV*mh%`qy2jsAP16WtRBqgEO2 zL1Wa6yQ7w-%pYMQ49W{UvmdUklgwVl?NYQyg7od%??TqKLUlG}Uwr|+r^WAarO@{6@e zt+-^qguS^OMAC@4F7=GxCm1OM$-nAz>7BM)z8FQM80&b*8LaYd22cijC?FLi`(sq# zU`@Y8!c`74YX``%*NHam+=jXuU075qu|> zqY8)W$9P2l+@)c=&4pVI9E_Ivp3g&gxa8J z{)s5p$7a*<6d<8a@hLo33Xe9qF@m)#D;uJkW(>>3dk;Ac=0pPw3}Fhh?kg z3%EX^CR*FL7z+FkkDInw@KA9NMWvyC>fHf;UhDxiQtLf-FUD9sa=`A&5m5-Jwoe@tJ32bQ z_eb!wy+k|Z)NXjrC7IQ@|Ai{t#j#fieL);QS+C@@InI%jHdO|sFgk^i^qsbaTyi|W-YE>Yn9GXxK@&^L zQcT{@W_! zWh-GD2C*ne_$#f;sS#ht5Hpv7;rYOElqGyWMohYkYQ@?(6{>Yx2A-X%cGv*^hWr7N zHT-_FZfZmnn5|2F9pMcK)IrUAcyQMtV*wptd_ThA0Y(vh$FMg??KaYEIuB%MXlr4* zgu!0Y?D!MzEzz$Q{;AsJw+88|+%h*7T{OL#-&w|T8ZxGH(*-SPUpohaWA$1@a6wkQ zOL_8@{Bgo^P4l7jWvBhjb z!==Fq7<$(helt_&KYy3V%Q4N=QPN>2ahZl-5p>({BVVf+U}Vs3?VevSpj zY7ruV$lj*LjJDK7_Zphb4hj!9t` zGoBokKGi5obg?mf>+`)!hQ^zqaUo!%^dRW-2b}j2U|{U)W@a$ZTU&~(^_+@(Hd^o` zLBgPgcn7>*j-t=8KV^h#xzuhGt`vc>R?f${hlZN$!r+E|_$C@vVC z>zSWH)W-%g(Tmk3mS@Vw1D&Q*s{koE_#YJfbmQ!wW_!b=EH>oU1VuV{=v47w`s?!! zvL6iR*01e9@nx2YNS(U{-xxTy)30-F{M`7XFYZg|_?U3nbJivM-SoI<>m^Bcs+!2> zU!T4KQD-yk9Yy$Z(^BME;bwzA@<#89ZW`)dn zbYP1nqtAF0ks{W`^Ok_kDH74XeupZ`Fs4pY`UOv)=eX@{pDjAa3P)>9TO+ccSg*d_ zH}+r>7d^_qi}_eW5i+}RRmNkB>+z{#Q?^G}JY7+~iZ8N_lznx_(PN8?InoAY;?Tq> zcx$}t)z()>@nyOz-H{wwU4B@_l4>0BUvIJkKe3GRC;GXe|{R zQ?vb->_`dzOfyOzMgh*hCy5i;x%$KXibv?9+`1F*t^-7MwBmdsO29L}LBh}|D}G^w zvsSvVREPwpJAJiZ^bVS5EZ!+cggf1GlQ7 zTRR6`{*}EI$%D4WU3d5K=!UU=3Xk7L^51SN?O3$tsn1mtwccFzjCPI41VF2lCqK-c z8ry#{9fZuWt@=-#A2Vf@;Z7$j*Z}u<<_@JMf(5cotfmMRhsj%U8G_t>!VABoT}OXU zzI9=SQ@uxApjUo}#e*U2O!wC(s>Aq`Xh0y2J=w-{Vl$05dv;l%k&T-!s3S=0@cT@} zp*od&n!XJa1ZjFq_!-kc9o;9#8a`)^Ny?n`DJRv_oakYZ$4A?mZbSv&x2T=sKxy^k z2wm(em-pzjOnlPH=nbJNoNr-l%AfPdrzE-zGQzICkSW!I&$oc*&q$W<52AV1_xeY& zVk^duUByil*OF2ru9D~1zaA)H9Jn*rmOBU7AAHESpmCcBh2VmxHsej`KAai;$liTI zf@0#@MzqwVl}wesiH@~$O~OQ32tax@{d?m2H(~A+dD1*nN3ZOSObD!~yc&xTZ_6(2 zh4EbB@I-zv72Edc(Ul{zFzqItriXzb63pNwYV%6o%?9OJ&m`KK)qr?Z!efb5`=CNi zO4&R!AV&px1KeVhW7M*ORGBRS4i zncc}z<{wWN{q4oI%e(_65QWc+RQS^_4R2sde**3z(?oH$iYldE=sc2bIwazm%++mV$@>O*TI0d8T$wtC9@a{^VG!0)D$!F@r8wuQ=?occ(Z zK|b5ZcS12A8N=a?3x1wy<>C|L2LK5KSDEa^3Rnt3Kq~v3VaS<_%zn5q3@7Ytu~>Ck z*y9nc`10c9;)yq^J-mP>gJ3^1nZ%7dg*N7Aeu)RkLtEVt)wWp7c40;E&m7X`W-^cO zeeM)hh$lZN>TObx;>U)bQOyT$(U1dkLJWisU^HG)53|rk&Ebg*z{2&Rf7aM?a~2rA5;cQEE_2Dob;R~w8;(cp zX71C9aR{5T=4Roo@0RUB2&+DM)|{~^M8>3JFD6Us;+D@?P?!-w#57Q>50Kt>pp%OOt) zATR-kfehj!7_T^B@&m7LY$GHjclQS7THU?OTt{d^ge>L7JI@Bwr4q&eu$Ly7ANnNj zR7;KGDJX=HHo9Sf_`r^0TlLB8iqUT-P(@%}F|be3!=0~hj7B%ls(~4g-OI2+3S59$ z&6tx+V+8=+zbz8!TF9kAh6-71o$#2Zpd>_j=$$I#r*%kJ!{N1Y@Naw@4e)Z)b#upq zr;;o>^GL2s55J7c=Kq`g6%`fFNr&A-g|5y_{@Ckv(fHUl*221=Z*n@qkF@0eu+Ci> zEZ86JvA~X{kkxbHp4S(ZO}zURTsYjd3S3%tbQHJi&fc#v?HtFB1V@JH`aN@_*A-W^ zFpD<1-n*JFuk)?HEJxtC;({2xkLnJ_yc?Zf%}oz({S>OowJ@~TF@yQKY-r(W#v{!M z6W6OXdNsQ)dsL*+yhrJAw{GS1HMJ9a1ay~lI5?ZFnpw5geapnY*)3wnW4P~xd%n2$oME0| z;p?ls`iCAIS=n}oUAe~i{GC~S%I5QZg%(Nc$$aN{$Sm_Jr{%*(>)16De1w8UmEB%j|-Oj2`n= z{}$EUAHOEwUv|>U{~k>Od~20LEz`Lcq`h129#+zCo^PiwrNamk@W%J-1EQ+NEz3vjA=7APvxwEzm&0S-YO7mn ze-7$ZEfcqnefqxIp1Zbv)(>f;kh5mYiv#E1sGM%#-T(Xf1glH4=UqMTG5efMo#*dW zpLHHenQa%~yUxy?Q+xjQWX(rUq<+6pzx-DI#o`BcO_x;9JX>!6m~E|5+46h+A(@X% zcdhm9c=vXNo3xF0&03S4dlQWxfZDD1J{*n@*?8D<=Bh-;iQ&t#@~ z(8Dcr()6~T`WP-zw$^C|-4%YG1@spF~tNP2F?x@|T8|DLXE=3JE7crk4v z`@;FN_C9j&E>sJf`1|yR?q%P%2{eiy`uIpi%S^Y-uzvOGb>)*3s`ksZn;D5FbWi{6 zEO5T>n$G8G<|5a&PHEMg+IQD%ev^gO201PN`-ztR`*;5FdeFNhmR~w)!tNOTqN321 zD`pE+{kssvx2o!y$dQaq--3Q0^EWu!Y{a)rP-OdF&WpCvl26yUtNDIYidHdOUAA_4 zq_OTD$;`dCT3(>Z|a#YnXxyP^g@9R(g%`&qNbEW2`6qgjGCgy@z`AJz^CJF`$AX-5|!Pvyu z&=MwY1QoZj0ZHk*R+P90mn4>?DnJB4Vu=Poj)K0kqk^G=esUs^w6g;#29iJoHQy9& zj)HzjWkITfen4V+D%i|`#G=%^5}-B%g&?qiZ)!?rqEmi_LNrjwK*7SqNYBK;z`)2v z!PL@BAr`F0IX|xisH<4P7_QB|D8IA-$z2d@z@i{6K%gHCw7e)WuebnYWpX7<*cmA9 zl3JFToEqfr1QLXJKtCw8IKQ+gIki{;6bwN~-T^rl6kH&WBfL`#cA9r)N-@ysARh<8 zU0VwBA(8=RNCr3qBcdd~NI@exF|#ON!BEda&(J_YBcr6Gz)Bw&qCmm)qQruX%;aLd z{GxPCO|UfqMfoYE$*H7hLqvx;lJyYxJ13ST=H#bCj0Q$cF*HIDdMb)i)3|`q!3B&9 zC{QpnH8nO>NK=4_8Jn0J0^4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58J={=Y7GFUzuQzy9yn`s;tZ{`Y^r{+Ivt*YdUe`rlve*Z=hE zzx-OY#eXmV=;!w9Z@*vV_&S#Lm;Ylw+E=~)+3Wtbo#(Ir`hWcTPrv@>O!y}nwSFD# zm;L{JZ(pUfheYMC?;*)FF)_Tles-+sMPi}rP#t5cM}``1#t{CylX0qkCINbBl{Yxs>mPZqkZO!#d2b$xeaw z8YMM=mmuvdzvu)4>@vM9s`WRC)>WiSe_uviD`@OCBQ>=di58t95??ryNVb;bS*puX zx75a!Bh}|7h41mt3D*N#ccd^XJquP9hggpzsTT_%ndJch0jo~45;X6L**vs6BmSY0f=%g0MQuK@e>Su+AO9Ah@X+}BNolb z87a{Y{|e@C$Ph3irUjaXrW3OTLia1`TUIfk%@g?hSwLZqwXPSf zT_Xxvy&Hk2MAq%N+E_UBTYRsU>+kKW9Ae695dePhi0H9iy(du|)jQ7rJ|5Y8VHrkaz|ED=pIX-)6)C!nyWQ(M<3$vXXdv_xSXV6LtTKnRkMHT zhXU8cW!?<)^tCJX)nb&m$`kv*wb|l^80M-3kU|Qx2qf)X7E5je7tKg4Qoh5#A z>}%>R@teB$)LnvTpo#7hKz5s{zXC#sv6XjN&_$04vgl3-T_$e5=}PZN0O+F-IFQx$ zQ|z^1g>IuT=(YrCw?z~78|k9o?zYO}&5RFo?RKsDXIpK2hzqrAjbHl~uG}=3SPiJx zA`pN$_i+VOsg2E?LUlj)a4G?s_K|M}+Z9{L3}i%rl%wZ1{p*U0GS#j@rvk>eU8~aJ z?rpL)P0=Lj2|z!zp#>&Z7dTj$&ATv60DlTINsnEDT{fIRYs=QglpLl*w}$Nm=}XJT z%aBArdo3FC4|v0~r_{_9f8L*DUabls!A!1!!y^&UPW^ zU@8?y#!_;&qg~Fn4$ZD{*ZV-a>GYJworqX^a)C#-xZG{qRS8)G#TJ(*9ot}a|4kU9 z+wjQ780q}b>X9^?PTo-5q^bLwC!F*fn4;^_5x;pO^i#7Z@|;x%N=zr`YJEPV)LCrK z3?jw+kCQQe5i{?_=V&d>yf1;;YQD7A$Gop4D=!RJ7}R>6ZX_;Rb60kWi%Ravmq6Rn z^K^3|68zHp`W5}Hi!C0ui%j zhQ06-Nb@&z6G6@+c~bo3^k`L(t3e)`0@a$wuLvYnkJLH44b1>Hb6U1}qPZj@1|PkE zvutyVzBID6&a@OVtLA6J4i{hx8$JC(EZPZAUkk&r3Fe!{3N~{H@7=>>%r1g7jb?oU z+SbEYa0gz3NUWY4ojN0PMiRhcVoXVjXe5ovJJAWvHz{dFKS}1OwW-BsD@FU1QI!BT zO+cekeCDJ4#R_$ts0CQJmgTC^-?nEVG_@v`C3(m^fbXqfo<1CskL?k3?^ZJV(iE(9OyZd**Vs-qQdo z&En{(?(I3;6(XCB|LERMC%0Ha5GkIgSVCCa@;wntY<0B=DtKShTAhpVuAthcd=jaP z&-hfpe~}c>r05|3q3hyYEF=?_gx>u?s7s`Idj1ia>h2k2R=2}8Q5+%}+&i`h|vB~Z+J*n=D`^g#*rJERa#iN8|Um!CxNg@No z>~vU|VY4hrLb|3&vR%4u&wRYtZ}cF|g)IAsY@RxgR&eVVNrz8>dpH0j%!p|*;}X?l z1$W3x^?L0w+Lm1O5g9+$6CFXqv=JwB+#H;HztK>FphD6Km-QschemTd{ZvgV!o}UrO_Nd6u=#S1aNPJBbYtCB;tFjKY z5|w8et#Mgy+lOWw6H_34A-aP?DovQxUgpx*yDV^LOKBZJ_LkBg`?h#yaw1i*%W<(K zHm(<4ImA`+#+APbPS*zMTn8)P=={*?p)_zW%Q^{i=8Sj#iCb70?fc-9H#$Exd$^I> z_nJIVc0gnOWG%X7%YWaiT5Hw%d#V^*h}Ocmh0lmow+yjXQPl!%rgEKmUSY&5(7Ns^;?=;;cL=uI4g|aHXvN)j(8k6& z_+xV&GG@f|nAmPJMq{`yh?VdThJW!JDDS6CvhpLLpPEg{V@hOsV4wUOO9+HK$%Z4L zpPD@iu&M>R;bV;DX4KmJxJM!b1gxBdj>r=G?N@N`9%nq_et1Hssb2~A=F8gY zM>C(0*^9;DNr}^o4l}mcS38XoWQfof`(n{&*y2KTf0k_l?i03PSXOsAk@H(4EKNNa z@Q{dK+i9z02!CtbCdW+Yh@ebQB2vk>bj|v>=HM||sADDi>+g10NEW}?mzo1G{^m~R zr&bT6G&x=h4Ss9(`;awyPA4y=hfbTHD_aqFtX`;Yc8J$=^BQ@oH9a}6rv!mKEHHH4 z(X&rZKROkRyc!>}T$_@~uD>EQId}@Hzf)Z2!fFb8AOE)K5Q1n_XSFVhB3H%K4NpB3 zW=J!il9K#)1hniClcr?Hlgziq9I09Ld)Yx*j(Y5h_yJj=y@>NQJM#KCu+wu!mlhTQ zmF}l&X!7(EHwHq#WQ>8#4~-tl(k)HodYdpB16^9K4Ow>kO<1B?vXH-dBlJ_VNA76* zRVga(N3H}qGB@Q(oV%Qvj8u>g?5B12OyuF@Bi)_oIPxWV7a~)p%{Zz*$rVJ+s5MvE)sxGlyQa;dv0hOegLG`8hbl@Yn-B~4UYWOK_aD!Mx@o#pkU-=& znDY)YOL>3Pbt`aO~OO;`i+;5ToCeron;54PB}ALFAOme&YZ zFS{KFOY-<{vOIoVDamtMQeZ&z;8v&#_9U$m>49VRd>~cN^yFsI86cf{4E&>8A!9~N zk#GhmTc1a+EFp#!<voIn^CnJJ?*bFje#1siDLfIKBUZIi3c|I9fIZ{JeM;?;d zEKZHi@KjIg49|I`g;ew2D<^ob(%IO>^pXI2iZ{8rBU8gp9&sAuZmD99ard^~;%*1# z7c$$8)01sS^?i=O|T3f+!158&T%qcq0&QJEe9(oFmq^|<939d{waCX9c3bio!e z+H-*mSl0CJ{O->!h@Yp0M016^x-pMm@g1t$k2)l8R&*n zlQ-9~WSQsd*jYG-G+fRdN981y!zv26;~SlFRv(z?gR|PXrRb%zaZyF1X17FAZv&Z< z@37O9$&DPD3akgW)hQS!5IU0jMplqJUqfzfPFY91sAkU}lXoJ{6kUqp?yic7vtNTVM zI;+#)p7ZugyGa8_W=2{jgC0I^+iAJitB1n}WIe_XMr8v7O^`hY5CkyHq78=`35T)3 zn|^$ZyY0|m#qon0f-kwno7c$SdX={1fQR>b>7IgEdXQqdh?x zHW%jE1C-7-u8IKY5|lV0hn*9N{XSVClnQAOZUusfjE&F@ub|AqaI;qkI8(&nO=B#j z0Zc4aaz(di8q3!(Kj8*%*jr2H$6#-{2v+hME~1Rt@epnzuPgUv>p9F%L?-44v|&go zh%H*^?4Zlez7Rd$lN{nk=%;26ZzdyS3#}qC4s-cUrqmOTOwDW6o-<6Wfcz;LpC0RS z^8&dwT!+lG-WndOQv&G`pBT?lojUXWJ`heGY!x#kX>*Dsf6Ry!F#)E<%oM4GpJT9K zVR7oQ3!+W9pgi7o)a-(!OBa-@bZZ%0@J8sTW{>1)`kZ@=djd0aN1|e3xFC8EGrC2N z$kvj3L66|3Wxwi)_1wg6qNnMR$c%IiMF!~DqUvz!YlVVnz|nOS8(=y9u3ID(@ALw5 z|JqGFJBgeCyBiUWrljMLYEdTf0aQ=_=t3ZNAUF>xa_5k$$E|W42!|9~#gHQH=m_vf z-%-Q_z{byqRIBbJ3>TUmQB3l+#$yj2FSIr$TVF(|CL7TdB+QBRLiAv8TE679{VlJX zL}HXd=7cnN>=>Nn#t}@37%>oG#K2Y&GLSYyhMUcjj8ic~yH!&*dF0_77HYX`bL4yh zk*Os^ZfOj0xjaKu2S%qmQ}oth2lyh_8&G)0Ngsr5oHG3uyg9|_`TV>TXqj$^u)Dp; znaK9_mS%nhM3-YTDnZgzl-!fc4c2*P(QXe`rx`;|9uHg2Ug_?Ez}+!n^y01*A{U>> zLoFaQJ6pwsLE2%&N>_F}H_Yw)dml3Fj}+g0uA(mines@vJH5o#M#vmr!}R)y%JG$R zoFN=C!jFiYc2@Y}Ea{Ys%vQMF+@F43X4|@*It{~Y?G`&dB2$NQn2>QKX1mQY{R1!t z$6NQE0w+I;$H|Wf{DF_r}dDv~nc;7%~q? zKL^()GrBh9p=Sf&s?IjCs)I0Bn47(ld{c|Myt(UTRG&?b6$``j$>eCm!A_x4c&6+5 z{3uVm4iSa}VPAQY^|YAC4jKv4eZjWZErRv>{HFePY+QN4TP`aMZhiPen&CHxzobdu zdI{A144NlpwLo%Yw4@i!H{++vNO!_R5EQ82=s_~huU&v(<0#iq|eU9Jk?gutIJM5oIkUiO8sr<_tWpk zhfSqEw9WeR*~YaVfBVL7j)Wb279hu_n>?P{>j)qa#l&%L-bL(LDb}p{6ha`JGubK} zo3!cuWX}F8Ck=PZ6R^|S7g=Pk~> zpzh1TecF=d4TWwY z<4EYRRxeLehqdzjt?NMMQ#$PS%}ZXW5oE0H#&778a?fd~58mng)asEqXFj+j(wtw} z`LEXYB+!8D<1Gh)aACo=G8ysVz-CyFgEZrH;f|=)AVJ1cQ4|>qRs#Gqbu{8QBVnJq zgLwk>q2Bhyd{Wvu^0^8@^x?f^uX;-g3bG8R6)!?a(6c)WvhiU-Ak>_#xakerRGs{( zDMU=bc1)hD*rkeeraycb(#*>gk`ji8qsqy?C9t z%NxT5*02Ncfw-n@HS^w)n>jt3M8Z?I*Mkg@u$P^zNY?R~xGsEJ_F94K5eT1FN{t|} zS$*c>X?PoMe%{RspAWJnsy}%^#d!@r5D$`*EpYcUY(XT1Mzc^1q{A9uPiwS$hhK;uJ4%Fsgf+;0 z${PJEc>AlhO9^2f(b#)ZPmpuZ{`U&z<@o^&;fi_G&RI&`{Wu0z z@e_3CVVA^mcMf!ZYBeQ|^<5Un25bHW`Vzum61@@msnMg0o4cL!IW!FX9d}m!I_Wbi z*N#9h*xM&8E-DU8eLnY21Ya-&^51|;?Yd)2R2+F0UPzHt-}GztEpq~YVwYa*L$nmd zfGHXfgft9zc>S#E;V#g?-=i3m&!@i4}T=TF`rzY2wWOW-{(~;~5 z-YmJMlTNPb{F8xP#_XDxB5He@)pgCS?5n`3$A~0>oX(76o~u>h!!aF6Mm+0Ry#xC` z81=O0>jWzLW$}92O)o*@hI~d&-C-IXYXvjENcz-c0Awyot@^F-kQm4#HRVC!K}-Lz zVi?_#yH{?dURxTa_+S6?ui@|i_#b{rg!NaGa^3xi&0=4EyEw~*HyQ{o+~PnkvCS|%j@@;m?;qs6Dt7{~sTm}YNeJ|-fTO`i+0-`)Jzv@tZe7ACqs>k9xGTsLzXh_9m49R1Emg(V z(@);$d`i4+)B7^*u#+URhz4RAqn{CZ@J0}Pv-u6Wlm6IfS$#F!^r)namO%WY(?251 zsQ|uIeMjzuKPYc&r>+lD(J*-p;X3mpQWrz)Z0+kEx|9CkRH62?Po+Z+PXc);SG9}!LNG9Y-pLwC|2Tm;${`y5*YWIk_t9dY$JpNQt_1+fqv zh!V2RQ2tRS{V|D)?h3CJNYdTEe}SCq2?HmAt~r4eJZ z)Rq7e4mAlS&uu`Ozt#APWv%(w1@4qTxT=*yW_x>v!B#a5K8_U=LPBIWWx|}LB;@rD z-AR8+VmTOdZ3UZHO;4+4#7fMH$ku&8u@?YKdli+_tK3Z zntm7%9ZkoETx*7`esU-MF|TNv8!=azG!G`?N7YuB zL}+C8F&(f+uKInM-@jrXUA^8TNLej{vn|RyqJ(UlRk_>!3CYYprUQ}ztG@mATQ}o! zTTqs346|#tZFj_yFpHiSQ627(NGy8`UH5%|J~I1YVA{;FEj2B`ZiCE@A_!oMbz_!h z(+!)B-?JbrH807{%C;$Gdk6PtBr*S>O0vmq`t*aVWYhJ6L;*E8{pyn-5|nDnV~0v> z5$O&l)B^Fy5DM7&W+xoHKc>;?{>z=~g~*#FX`?F0>Bw?8(rQbH5-P_z{OHo9<}L6$ zFf#wx;Hj1f_UY)i&OPGUf-D_@!nxlOc|u&n%d#SNkdY-&+jswwW|aA(_)TSMZDjwk zh_mWvzGcR@qvV2YizE6W5JC3d@?s({6rDa0)|D~+VuQs36A$uZIh01LjZ}|RF2ZIU zY#`s{`JkG}-1_Y8yi@*56xY03WTC26054?elrN`#Qn5zXcDaMRewS&%46lfK0o`w0~ z8YbucWx|q|8f*=d(le0nlo;pyc_Fq(_GwOY#kxn9G_pter#@sfBD!x0GV&gqu@WQt zQkdoWpu>t^rO00#%k61nj$*j&UkRO2qoa5g6uw2i+(sXI(#o)y=uPDy##8S zdjup1e84vqH=#ecsjvi>48pXfkVLreFaxnId&E?$Z+9*5+A|bk7E%+MO*^_FD^hN% z_R77rY)#JX)@p6*z<$$C8bu5xKDZTFe3D%@Y+iI{EphQsInkwrZrxAIW>`l1#ln&7 zYa2pPU5sUzJRv#biZW#kD) z4YbpM9<}gWxb=mr#uf9i%m?3lynAbaZ3 z2m|p9`3-p3-^e1!>XG}~Bd|B%QU5O%kahpun*eg(R}ee@{*FAPYvEz{E9;5VX1@xZlE)joP=2F|wm z{sEaH%qkjkgy*h@OTT|W9uXeRHxl-2${X@_@YuZE$U3 zY5ShGyy5k+|MA?Eb%}DmAj59oZdt7_#(zoHT69v8WS#!#i`~-9ul7 zMo|zySEL^IO~-xHTG06;GBN&fk|r&nOgvFy9=0KZZb=K<_Ej)xSf!W=;5X!v`TS5v z*Nek;)jO3^kb7q_?gASWt@63n~PPVcaO}?YJnOZeFJC%k5 z;*^+hXhKeaZ^$E$TXai+Y=jkYEQP^nn`fiCr|a+D|6;8mvK-yYYROBx6`|xc^d0VI ziG^KryPklQduUS3vd310c`tSFxBF*;<3#D^rQ}zz_pXcI zuva#FUNlV~siuy8lHBTHUFTOL9kj z5j62lmlF@Ob5U^1SGZXIkxlOOpUc0cI1xpPK%D#Q4SASe&8Iu<5GxK06;!U}*&3#)7&yi1fnAPOxN@wl73_&DT30&U7 zij>v_#GW|r#(t*Ee}DOj)MflAtz(iyNV|Zv*5Ujz*E(NUr4Z;V!+H}rmA!Cw`aQV1 zT-2H6Bw$ zH_J!4`ZynXY?3_ag7jEznvh_`zmGhOv-MDc&+@-x#~6EZk91QfU&8mAyyX=WEyf(G z_+e-ogNrGR5n~sgu<=TfJmoyh0W=sV6P^ePhw3~%*AV5m^a~zjPvt})LBy&sKb0J* zrEiQ=6)@c0Zh1s9FCAe5!ZGRzlBafArW=}e)0NgQjaLsLl&E`VuIiVhHD+-4f~!# zBc6U`@PzXfiOOz+IG--XL4z>q;aky2LVB}^d*oDu?*=)=#*aK)l2~sod36<29wq;V z2e~2REC0x?oThm22eSMLn=Q5yMn`1i@{zi1IuhYR^0)YU z^0F%LEN=NHwrwDV_|y775O-VpK#e_NLr3i~V&_2HAWs_&Z74 zaZmY!9aDB)WmCtL!#oitk;ij-3o-of+%x=%8=fTaw=qdwBhMFI5oRJ`FBu0H`#W_F ze*rm3VpL`58mjk!t|`0iC~E6Yl!&u=+BMJEMA!HTpJnq84!7EpoQ7sXWMD~zUt@O1 zf#WHrXsLRouSp{&>)0ksSV(h{?~k z#7~Mkbx?@Eg~#6l(WKsplx}jr;cvR<+ ziu|ns8)iEHJ4HMpbge6zY9q{ikdo ziVgf`U^0Pz#+UQ&oZeUTw@_zD3E z{jenjO#JWY|M&%@B#BXx-2b862m8P5mAec_CMUCJY@%blgbm~~Y;Hso8;^26%f^Xb z-Yf~(PqVobO>8Cy%`-Mm^kUQNzktncz+Y^zkp4^9#Im_rv;^ccY;Hson@^6LJJHlP zpNusaC&@>jmo>+L3<Kz7|Bf9-jH%IR@lUJi;Rh{B2DBHXyd_kp$v)aM`hPQV#qg zBzF>{Dnl4Z^*#~?n%8EVL0UsT69#T}_BPlAVy0+Xidt!RP>wbo>k0+=wPNA00RQ+wf$RHpk}KauaNb zSSp9pyr+6NwMI6GYXyJeiwQ~KZ=`C7AR9yymhB-EWP>OL{<{n5?eX`J4SLt@6GQWs z`$^fLrysslZM$VaozkUcqCASqMB zTt7W?yJu?RCv_+Xq(8?@P2}=Pp$(auR1TmRZOGIl5~CtR$9%L>$GYo123XrC_s_TL ze~O*|73)ljoryFZSD4}DZ@u}>XuDx(Vv&fS*eVhv@OSmPb0BsOH<2jzOb)peuWpAN z_yy!7iBXlj)P#DUTxxt_`TeVJ9u$0Xslkbm@LsU_Xi2#2hZpf;q6tLn8oO2XHZK)m zV&Vi)^sdF0ezJMZ&I!byHZ%kA(Hj(+7ec@U69A>a4ahg zdC(huxARiv&{Kt1XULs3iF^$BzJOg%rwZU7))JZ3EjB%B`~B-BkX$t>$A%Ak5jp(S zT{JuKEi#fm@ion0ucrvVe}#Yq>^xUA5=72(1>u)K%RVr7Aml?MC=rCA=-oPx&Y||* zPWnN<%cO@Lr3)1fyOfszdrK)B;;<9V$y!7XJ^YrN9e#~1C?EWm+hNacWn0KqY#Y^j zW->(H{is|<>@!4Yv+MCR<78xMQSuH1@}boe`{{!+=U_j(%ACw)FLe!oRuQxP?Alk+ z=g3z7k(`jv_5(t_*=olT!Jr+ggMBCp2?K!^Q>yD%+0ikV=BIsvBf9Dd6?ubc

rk z%_nvYX?d`(AZE7D22P-iu5Le<6l}!&hXDUF#fcAa!Y>Qpb$dZ#vBcBsa z20ZkJT5c5LJ-({C$#v|rC;2vc;i6|Jct}R>G0*`6fR@{0A9)Ocg_E4O4K0KBVBx?1 zcGYgTqf!hb*8(=1)&1L%jB4JHbHNF9HY0Q={ctg`1w>D!>jM;6;DE#`h{sA}rIZ7> zel8fxDFTI9U~wEcH{1LHt{}bn1osO58@}X883VDEFq&bt4ANL)GkXU@LNtOJK^UsO zS!imFg@CcfCMA41%7`sT*&4p4CX*$^PJ~CZ?r*yUY3R;XHk(6NOnahxPirb(kslHN zJP%tnJGdQmX;m^01Om3l_xG?qWeeIGmhENh+=w6|rUh-q^ambeQOyPfLdDsr`RlH) zAWhA|J@kZxfk2BH&2^DQzr-RNJ@sfRZ@$+r{;W;ctJG&6*w;cMmr^oPT&M8=v$)^y0s)y#o>V&e4Uwx)sSCHoJ~SL%D2N2)(S?G@ zb(ZMDKxnaOhAs@!=)&NSz6crFg?H7a*3Pss_eBsFLB7*g{T zf9|S1vPY3`d-}xMW#SS*UWW))WzlOsK~{iT^AvuOv6mKdD|yNI7?h}bRWU1Sw}S_3 z01A_#S(p{1!}MUE`UgTrC%PU1KvlE~Yt-jYxyji9d1xwJDiEwGQ)Cd;34+NV^5Hj- zPY*7%W7K>k^i#7(^4Og{DDnGOAX5$&WbB(hg6y82*7dcl%aGAFM4qn>f6fw*NMTm{9`G0uQGMjdT_?LBb?@<8K67qscCMl zs}7Zz=GKA>kC;LN!89XCv1c4G7YVfWElqQBQ%Wx!V@?x5ewq<{5reawT;i(WrG)*K zSK=;T93LiPXpttlfF>q6;U$%+nB{_(&kjKs6G&o?X(qR_sVHk<(^XQ`X0*W=fWl(l zDvauclii(p?i?2}^`L2y09TZ)XpCZq!>1;v@FyaEfo_hB%NKK8mM?x|@?nk}G#?55 z)NEpMf)X*uP5M`mk^YQ1PLNG^`k3UxUYO(p;Ut%v*_+UB2yCDET;uBeJ~ZX z9FT`py5=2|7XX3ZPRx~2t;3|3y4+{RACS80Fi3M{KzGtlKa=~cy4*KEf)U8!Q}R3V z@ZtBr=6qQ78dQJt=x*kGM?T7VTm6Ix`8e|Z1M(5hu;f~$Z>eafUM$gU5!WyD_4enqp@-(FDC#jI{G z)u2QqNY^(IQBx4aUQX09h-@T*2%v?=)M&rL|9v2AZ(IiR7m~#xVn(0_nuV%XDSpm{uwBpipl^v#y1P zOu93Yw-4zGQhE}QK&XH=q2(3(Y+;Cp*4y-XAbZ?G2N01ue8%7(c7i|2aO#I5C@;1z z_(Am-lV*q_JxHS5AOcu5S(2@?`a_OkmDN{%K{h=vu=+G7hy=2kbqc)C2oaw0UN$MXy9ATP^x5Ui83@YSGNtvM1bPXzjZ{rA(?j{oR2B z56iI)3hW$P7h-R>a%{=VaOa-KmcJmD#}*-ut<=oNRwC^lLG~P66p_H525oh{p{Ki% zSz@YB_Kg#h^VwzwsJF4tJ|`m0^FuR|^shS5=wB&u@Jx>C-ziDJx}1a2N*ayU8}@lF zx4jNEdH#z-O%%xp`~%JCLZBQP1$P4WDG0Wy{+Lsct#~~&csJxIC|^cQ2{a2uLHcf; zxlUR9j0UF%F5ZpMKK_G_u_AEh5XAG>;Ugx5Tsy|bm_8X0>OYptC%D~I&=!| zp`{7vi?bP?*6O%;`Ma)UM=+-P5 zZAp7I^ZZ3WZ;@SpNgF&Z{LQg8PI8%`WY+EQgL$;QAmfU`-&i0mCB_S~Z8(8lhgxp6 zqY6pN#@y-XV7(xFI9SK36de=dI|w$zU?t_~mf-FH*mj^CSWPKNoDW_ zs`V?v2jg7FfrY60n>zq#GT|3>S8icQd4A&<7TOE32bn|o zUH^+~XyWhwMlDD9g}9wIeY<=B)DPGucK@Ude@e_<$B>V}aSq%6dicYtLH6+HdV}}j z-%1Jb4$l$CtVJl)jApP!kcPd${%+(c53l`e2rld9UqhIqG49kHNDGHvP8%{D7o+9m zR?}Q@inp_>nmbOsE0Z!XRQ03bVa%#E%fYcKQzz(^>L+@m>oQ{9TXRlmJu_{(Nw9Zw z)s!}J!1CX()aK+EG_nnKQu1zoY&zs?EU!`tK%rokF& z2KJ78qC!;HI#l^CuQ~0-gjA1vLq5tm>T%!`Rk1hZBb;GLma75*pLgV=oZtKTll8d2 zQ1AowIC+9kK2sF+I6?NI9w*4LoT-qN5g$p>3?(roJ=Eg_sY@=c>DzUCs=mDhk^e?S z-|y?&(5SQY2g2Q}imb=+89<7d5vb8wjD)J)PV~;yEQu{7n}*7tA?4f?5*AF&yt^*`8+*c#V>m?i*K5+*{U9|9ck=dk z-E&Rrv;tVFdl8A+9h;$eCzQ)@Jo7Y)sR}2b@wyf7qPa}98D|v9HnMxk5!BQSM&D8SPh{Zf2Gu1pwgK}P?`?zkz zHZ15R#A|9aUP)uq3vnpu`M`_n-D$VCM#S8N^*p*#6!fT6a1X>&Eo{b`9!W<{kNjD| zgNPaM(x6xLsAyF5ZuXh%8P@bLp-k2ENQOl{O2eui5f;{{1j~AKb(Zyf-i#U^Y?FZ# zg}-B*H(Au9Qo%dad|uSM)Rg2;D(V55DeA#kQPqPmQ&l~ZVOb9rj=Ek#mOhM-RM>;j zqp;^jk1C!UeE?1`{q5n#YV;`UCAF4_VH|m0)+1q-^%C;DtVesXu1AD*Jlg9WnJMk1 zMqb+^D;D?oo0;k!6=!uXAh%}*pQm}#2%D=?vElLm53_eO|QA|Ey{dECdzzd z%{m_q$U+}~GgIj!8J7Bp%+&hGo5j9F_<6Mt1BBH+0yEV<3d3?A5!Cl6-5W4d@dMc? z`H|5~;Vm`tq93JU)el2~ML&*(CG8Al!k^^roTBPSg!M3VLX`b5>{8k9w5#J$RwKZ| z8(XpLN6Jz5!}yIN-)Udl8xh@eMA;AHH`V>vIl&(YMMSHts!^UP{n28q{b7hl?GH0f z)c(kv#XriorH~ZJ{DKzsKU$FWKkCW)AH|6RAi1*wm=G(HuvJa5no(vAklgEStj!bw z$(dC^Qehd8zgg2DvER%T0uf?GArMPb6aq<@l|Ue=6o_U~3Iu7E^*nfEB@kmcDuEa@ z(F9tu6bNLd7D#bf3{1#&3@dUJVm167Y0e1)jRTMg^w~uVUUWjFbG|v73>j|!)B-p-c0>NVerATpVeZR zb(aYE>aH8`=uV@w(JO@kj{N_T%(Zyvi=eyh1muBQHBMtYY24VhZ6`Nu?4+@68;$L>vCY2s_kZW|%4eWP%2c~lberc~qF|nk~DD;Pny=?3QU#7k9JMY$gScyCm>8nfWh9YOjY)(E^E3r=YNZ#S>_&z}3Qam6u8PX~4@UH2v2W3XJmd$bQp92jvGW1T{6twMi6!BCZ1y;Ib}Kq7YR17e*sh}-n& zu0mKF0(`F^fyuKgo4Nf2gbjY(3|0WZ{|TL$P#Jmt z+hVYfGs@VPzidpmJEb%PVNAK`O)ajZ*>h~deJ2Xqg&jpGbi#fHvJ0HxoDV{SU$qWho!$EwNY<9c zb-pI6DP{Pv+u9epDL~_7MOr73 z%$U7J8Ax6?>Y?gn0;5y;V!u;LpJN;fMJsEEv6xbCzSio;@J?v-D9*UVhW5Zvrw;#g zV{uy^Y8N+M6|3qRHU)NE$kk{j%L+1NwIH{2qsC!?ld+n|oV2P1|2q?rsW@KK z6|n?cQ>sA6u{xXxM!HcDl@FS7^p~-bvHpnj4mRn2P^uh<1>rL^BK{nTSXzqOQyi|h z<+2*y@VP)lcVAW$1iM%pBM~_XPD-lW-d$P#7#c8!h9&Ef+`I$ir&UQhR~_3V()Rj0 z>t;e#b(2hdq7BMG{HqTq+28j$r{NwGeCUJ$r$eeCL5i;ft8&GD=ZS)&xyU>vCXFH_ zvV5$6(6;nC-jYv(V^!Q3vTDEMivZ$`XnyywWj2x$|J88UVubu_(H=+q=m~2!WY#Ze zYc@*TAjp!jk~POKRk{U*q+SWP2@L*>)nGUYY?{?GCGBb4vJfbXmQ3g{KHBcfeP8Yx z$;I&#|66zNe~S!=|AfYbDq2dp%kKGvV<4lPlGl<1SeO)#>mJIXRkG|}s~je_9#T&L zz}W|Y-03ez$?QK-8jd!VFig~(7S4^n887`*23muX*=;gn2(0gRv$(3gQ5@~AI-a*sqS*iNzwGphqqaYXriZ--^= z1Z(zWD9iXd`S;M2DB&6$MUsj;OJA%t-mo;Ms?hwf1L7~O=z8kJ8$+gD(%J}6Iy9drQk5eL>uqj+q-|$pdh*aP) z8_~0z9?8vSzM0OhMNo{KbDTc>#?^zfL^{h9wCBKE)`OZf>#?2pcX3+I{5#a>k(sp6 zdVtINjiTr(!t{nHox<7EkO2D6du9%64fUA1NXh~36&z-ZSS7yKoAnMhqK4lMnM)~h z$5zCIX`pfsvbMl_eSxD>5|SuNm?oVdsUqugl5 zgSXsl4-5fba|=#9R?G&o;g($d2Bz`BbE@vk*cvWNLPV}5*8xM;R-a-|!_phBX=CN4 zHe%;Rj=PDopX(MpQ|9)w++ZGpd+HpL{|(Z-t9-++2$iAV#MVPPOe>mlonIL~B)#-z z&eQOM7je0ILPgOzk@a%e->|!YY3=y0Mpw4-9vy=YTQj-SZqB_9@KNG49{fzBu;yoJ z($NoLT^7qf?VboMdD6Q{6TLPFvDW(0YNNwL)-;hT<7NC;0Nd%x|Ch)#;F*JZpy-OFSX`I60%DCj09S zN_}Tqu;tzYET?!{2_#7AOdMEJRHCFjqZ7mnK;S9)rI-2s!9eKfSUI%N{cs4tfM+M>%QT8>oTHaxnJqW7ISO>k$aNsAf48rb7 zu()S*WAiEsp0QihihXk`ju%5__6O%^JcN5zj#jxge?#l##+vd7FlPjQA`t0bcwfrd zd27v_t=?pt@^X?o?iOG`a`s7&)w~h5s32R1$E2)bMDg2pytxrR*89p#=AC0nHvkLM z1sycIkp5OsAK?SsSX;d3P-Xa0h~J^>@qmRmt~sYqs5Bwco>@GGL)$0m1~P4X2%4g{ zCvh66cMP3Pdz`o(O~zbiKjHTE);+Id^iD&Iq(Zp8o4hQ+)rsni;z=%eQiez_w76D_ z@ast=Di@3LiOtbIgI1%sxGH7`*Yi~$Hfq|%CRgt7y|8E|3|eEX~PX&m!2(Oab!6VJ-(g2-WSUm@?0Sg6DM8Ao$2{NoOeVz|a! zA1ZC1;-3?w)nZILxrx_9wzAf_HoCp0aNK7j*NCf=wnX?D;68=P4&;3)W)JL`Mrl@< z3kCf=X&5!W{Dml|W95kH1`bDTOcnnb?dGVIfPRtpyutAv!QMDY(1dk9Ua|ixUr($d zfwU`M5E%Ug?JQ8k=@e?qA0LXfM$*fIGw(JHq%rU~^bsyjPw^GJpkmD$2|o~>(YI4` zM&ppRRRbUaRH7)A$EJP#m5TjP$^KPEj6avIoA_A1H>mqW(g*IhVwYA;#F5x}dIhkA z44Ih&A=rm&=V2O-*>+`K&b_kb&G-AWzmUa@G+B+^yG$gir&q@1JR#oTULuQ567E-1 zYuk-!0(hw(Z)}^+gpyx~Wah#IfSTbqj^uQ~OP+4={GJcBW(edg)#t;_$Ke+}W!Kyo z-wa&iSMm8VHwC=Bi&< zH6Qr-hz{b5>5fEg9pUay?}U@uOIH^`e~Wx&bCcXRt@{S_Xzv+g-^diBP?^(fKTS;} z-{ai4bn$C~?g{n^8x3|w)?eX$R(c$@LZ*T{rJfa%Q*9ysRxoQ~5y62DuLv6Bpe~zfg9}YVugFkZTW>Gc>2Iz8zUV7IvYd?slnP}zw zS!%T-ZL)mz?ya=8=Jd6IDEcRQzD?9}iO4!@m?ez}V%KAIiYO#EB6AmHb;hoKOYRrx zI7mh^-05vwQhwpX+FpcIJ|33@f9VSA?t7h{av|BN!SR!C9Lw@Nvz2!L+F|!bBvUyV zCaua{&Ft)Mp{4uRGi1SAiAScz4}ZGZ(~d^IS z_noqBP@kL}l=?)nX8W=4YoD@G+hYFLT@M)5>V2Qrg{)kLUu}pyAJ(3ImzDUhJX&2_ zsn<~6ls7!4WOi&ZJP2*R0BzDzdw7GAUKqy_Dc#xO431MFum(wI%-4_LzX;gnCPiWPg=^@!?{T59Z81E z`CxZi+;_31Q3D9X0S)cg3xku%yIIZ9VO(ncz$z=Z|CZt89d~;@Qi?fxBe>{NLoP2P z!z(7M2AqxCGyl+!pV$*NKOsth8cD(|z>a)z!podsc76&ckF4lWvuQtHax+Hza^vbF&d@J zpuPW+Tuf;eNN8%@u{BwYJw9SqT0ic+mI>#ZIR%R|LqII?WqJ`l=bAgpuer=NOm8 zn`5>yA=_fd$zhBbVu||=ZeFH-7k$WdY8uIc55)Yr>r=<_tO|~ohVk~SRK^M2VdPxl ze^`9A(xG7)QhZi)yA2lL4b=t|@RND)KZ=AQ-*A|^dM29F+KKd-W<$>1jA+!SC%S8# zedA?WuzGct=q3C~B=&ejDveM%+C#c;XE15&=-hmhEXH{|k|F^5Y_Qr#WYWlSPEJDYW)cc!%1kG^^%RfWP#8aT@gnlXp8Yr!hy>W#E{uh8l&e2oHXx|P38R9w{x6ZCOQD?%QnE_E4OKl2Hj(w++nv|`K-__R+pVi>h$`2l38y#$0)II>8nd_&=^8GPOW7;wHh6V z*^k)7rQU6gikc@Rz|8e{|XHM6-@~-Q|!lU$Ubid!a<$@H-h{RZ}O7_-mDj z!LZ_M^^hgr%Uv^;5VK2uXVhajkrA`7-l$#e?e<+SM6s48#1?bib$-GV)E%;2vNWaj z%T<`M8bb)zgFX$Sf#U4*jx97UGmN?aa{BzJkx`Ss{)&G#0i=;zbE^8W!&X+&xI5{&Bmtag$W&6jx z=9o&^=m|gAoWq|YA;2tzS(h)yhR^n7xB4WIg{)UJDt8uY^0z@p9;mNH5b`gUE!GKy zYN-p=rLv2hn+_SV>F=>EsN9nc=)h{!sy}ml)$2GrtbPnV{ z|8QOP{nJl-KRJ=v@T3Q^-ENsIFo_tE0OO!lS?sbb{5~l&SLG>ynSzX7!1J_kfKlZ8 zjOKP*oitOPo;!!sf-q2rm)@K4l2>U>eBq8>d9H?6)|DtQYQ>Krb?Q6=x*1SQ8nMxm z>f9QHs=O+*#_$4ies7k3hgPp%=;6t&(6PwqjZnXD(CdkS1#e0JxTDK~Zb;I|4g?ty zo~H|!AuNp|;tI=PC$uYXNHh?hrIn8BPL2ADkDT_Wk|GDeQdh$ zl`B0)UHku$?+ma2+(na3m2DgXe|rv3!p44IfRF}Z+O-uRPiQka!aGkH+;k9Z)_5*4 z$mjO#Q^hS8Ai)i+>0e1d&E_a9%Ac;^x7eAhgEx^d__dyhsw4F2qA$^!OJsruHxrS} zB6HzcRry53Dqw`~1AEawEuA{|OQKOVyYj8wb7Rv(n%{70^NGW2S{d}!xtT_HyWBq0 ze{{Q(p4BBou&@0(yNK58b|*Z*0cXoH=m*DYc43cecA4(oM-k{{08Y-yeI+Jtssy(CU8O`ISAY(b9Vd5Obb=I zKkUGr3R8!z*_Uws-~M5=M+wq}4{&N@wKT{x=X$02=-g*Rg-14Rd-ZNG58a35^0~14 z*`XvJH*jjnKFo{8Kg<7PzJ;ODg7kFW;j1hecyEbu1(ub}=8u!2n^&R*5iYY)i&D4V zO|(XRHrP(ucJ1S^E1EupX%ZqCse6bngd5mlZ1=M) zLIS#p*4#EAlW-9ME1^k7)LR);k0wcZYFM5@Q;cP$c1aq8dDk8%YJR}#HlMB{H~$>5uiQ2_~NO9JYbse2SDL>LtsP??JS8c1o(XF_ z5!v-`FipGmsSpMwEP8iF*FWKBld)e~_8o_jrE#x6lO)MF%<8(qdZS}s>`$kg8M_GA zOq6N}C)AIQb*n?hckqP8bgNrrW>rhjwp+@l&Jp%PLvY2UiW zG;P~Uh4hI1<3Gqi8xg_ON~o_rAbdI?#;|M)##W`=xyB^hxlZa$7-LLF3lyDo>)J~l zAJ9Mh2nUy@IJmyuB(XUQ&%WdCDxSMAtpHj%B}ze!c(1z0b9YgDg)I0_a;MsL{zs>4 zuVl<~P%i%_{3qEUYAuS^zXpXiJh}xXOpjF?Fh(%$T6c?I*B+H{&mL6(I(HY$>IAPR zUV*Yp@7A@ft5MB|`yj)RPi5G2fNBy|RnL~SN%O&7!_^qgH}fb8@BPH;69I2D^huAyA!kxNfg4bgZRrwpL1G$JX?VXX7D%dGSA0(nW&=yDu|9>#9345>n<=&1_RD92ca z7`PGZ<=_f@gp?H%V@S3zSgJdQF|GVQ*aMFj7bkpZR34cr--H!w+r)pl>rMn;^SbbC z)MT&N__VzUo|LL|khK}iHOtZ?An6LXls)FJg;!#__v%4puh(CJ5S=~yHk;}g#-g-) zt-tb+iJ0EZOrIlpQ0sl?bPhnInDdMLB3%}wQDD7f2Q_uG8s6|yzH<3JSD2fSz?tq& zpdPM>YZ~}Z5mRp7v(6{y`H-G)Z7)ThJH8TPPX=n8I{vbctCFu>TaHUV`k7sI_!DTj z6(uPv^_rNngly_3I~A0Yv4tAjQ@4IF)_k)`N}3pIb$Tp;vVPb?D1^z|+=O}f(n zsGkMLV#-%2Q&78q;-5idQz->7vaJT$|&WcZURpiM_1Kp0kHWa48 zI~sn3fr#w*C#KZC?eRF5S3g-&@&eE}UNyZToFmA2o_-ZRSj^dyn&l+nTY8c^xSr+h z=s7Dysic_*ens$YRv7i0`5u#Op4#J5#0_>vstZ!Fc7`cDrk4P1y>CCR<6~H-RUW@y zpJ@)tDuhH)I=={S6Vl&;Bk`!tGbb-{69api!i0S#9h=755XvHlu_}ec#Giq!wysh= z@G#@t+#Tl*D_mNBjSk6_m7SqR50J{0jVf9>;8zs6Q15{N8Y5znI#3cJ_z#OzZdS z1eOf6<^LiA!K0UFC|!!I9R)a}Gm-6O8M}!5*zq(&kdN8Z`dsIXqAv&@lnOj?J7nZ80cqSaU2OEIrv4%}k4TqSrv09Hc-|u$?SNkrOvsyr zN}})$DFx~Z{h2B?1{hxnpS%gJ!Sh0A4+NL$S&h)E%`1MLReoe6IFbZ^tXMZ+A_H>M z>RBHUZv{TY+Q@8IjV$mfcI2{2b9#3M247eu2${$cGUZclt5O6@a(q+u5QNR1@*>}0 zV;sYqZ*c(xJS}w8DjOcX@Q^;ajpFZ=avQ=669?N-qzX^mR}B$+hld6c9i_{a!7IUq z-1ocm?FL*cphu=}8wL~Hq+orIGp~~Zg-^%x7z<>2&wz_M6PV0*OmB)H(6@Hy0%oQQwS&L|EWYlhR0KtwW@mvdXNUwiUF@>-w+^Fo9#lo26ILek5yZS)gaLSV?s-ZfnOV?Pjt~A*+ z_eFnI4Vq*yuk7yRst8Yu$W)VRL|9ohR)dy5+@Ow2X1mOw;R;SXep#yMSTRY%l(q~G zlOlXu{{UruoNMIM*ZZwUCiQw#ElLe=6JPe$Y|@*`18o$=k?EDFLWfSJC^CZii>wvX z0;?tI3k~I%);_kWn?Bi={r^BL$O1#WWsR0@>_SSh4?eD>0QK1s2sz z9j;esARZ8 zP*5nvg~om{zXF&b5upWxVG#;@^L()j9vI)$iEzxAZ z5KNk*lnhJ@kT&lxVS?`fXpvO56yYe2Y~m%^nVc%ic|QC)$O$l#qB2@_@C%p0KDOW} zCQV`#CQbD)CQVodof8~>3XhfXGCD`Yy%9(}Dp|v#IZ4Ap0!xDwqS+e^a!Z==X2O`5 zO5+J(1@r6mV;?1?U{;1s~l8{6JXjUMs}eh zf8&BphEaDlt3Lpvm=D(j-dEPTM#%zBd_M8oymIG#&?^<@5|D^h*5Ldbv>faF5nbj5h6Vm7 zPrIXZi0qyu+W)qFU~Wwq(|FoB!AynXm2Pfy4~?5MIwf%;rNwS5=iZY~VbYAsHw7lq zI${2&=O(b8P5HxNGbBa;c~mpX5PxLjeZF(Lt)$3hyGGJF&D%(4AAS?7c#3uUc-ah z{{>5ER(F`q#8b|A9CBoLI!`T!Ga6m+gTtY(KDsVL81v}B^?g=bf^LM=_HEsk7|*>) zRy#EO7}X)r(GPD{2mOsh!~yuaL1kw$dz9R|oGo}m+g$+h0SFOaigv&npyue3MW&a1 zF+#GlR~{pjdq)@k4s`_}HZ=WE@YgW}6se96sEt&udh&)cX3%UrNX4705zV8@S@=Tp!r8W2eZU7z<>4 zM6$v6SZCules2{!d39H{v<+1^5=fBe$T7&GR9^BQn^?D)(p`+rryX2~yMz(L+f1pm z;#$pC^|jGM9zcEkhOYuT#3Vb(x8Be2#$!LWotxn;X$u2@z;ZUS3c9R0f?xqfa>hq9 z#l*GkP3v3S00wcAWbJ_oQL`rphtR%=w2Z;agyY;-OwmFcVNj|Ac9XXlCF>Mi>ZpK? zOew}t&#U+Iq(1)52Z3%*?6@=`DFE^F*QgctO*X=&#+$P0DcsB0pgv8fk>11CEYn)# zHF%{YCBYT%vd z=PZopBs{Sj_*{d1+%r_FIxsaA6dL%fsNne%W9IVf`ca0;Bp=GmWZ6pZ$#YJIytv@U z52sS&1?0}Z`;=^J(&MA zbta=VhWMltV=B^hut@?23XeyOOsYr{*Vu@Rn12xh)3OJC@Q1GtwXH{#%kU6q4$oxI z)UH#X==(&JZsQ3$NY=fg* z%lzDk9ILEYo3=UVI9MnXI6p9|VL4oCII^l&LZE=+5?h3rsMik^{N*p5UYM*>9Z`Br zkXzDMt+Qsx?3g?oy-Y4zwsHIyYHTk}=Ej}5=JI)If;m~gF(5~5Y`2dnQH}e^s;+5R z(9^tbjN9&w)h5u9fYDImtY9f+sQQ@gcu4jedSh;K4jHL|48J1KWn=e5(>%PM>3BRl91al*AaMt5UsULy#(hJeLLZh(Zl}rKw@IVq{pkWJ8G&5hgz*|QS|2bx&(h-J__Sb|t<`rq47 zd!=-8o}5rA- z6oh#5n~9t&)?hC7;O~CkQ*8NKO06ruVXE&R(R<##Vy(Mcm2g}I7WPnFS!tv|ZB!pz z?zqp;F-Lb%DY>2-ii>Oc>tD$tL&cqyi5h42=;x&xuRZpqx*u6(u2c5hexiMv;rajl z%|nv+q5Mvxp}!l=+{EyH;ahqdA2py2PlxKsd3_u;JAh~6=BvDqGCBWB zf8^%I`Qt^K_;PN`%yu)!<1Y_b7^>fl*lzRV-Dr}JuC;ShLfC|)-$Rs*E08aj$WKlp zlRp}*mFd!cGW_zh;^I1@v2`bR_BR&ijA&@_~riBd!SIc=xS_aWjVVY;~pE7ga! zzcXvbv6f^fHebN}m|^eS>n`ChiGg`L`9KeP{6cP>nTazgyu6f$g-(eGBN|9zr&pbZ zcA2)POo?B=rjtnN8rtiX37e=zSMN+&f7G+{-XlIw{ z{J@4Ghi<=m6<}-=%7%1LS?7g5fAJ{_;DKiJMyVXjz~L7Oq3cT=l@TONO%wgd89Bku zsK85N$B(6Ya~u+5AC}J>g9zfxbo~)eSh%gqP2KUwzT5Vdd8~&83)7zGi@52icfSVz zH)^aV59*sf(&(^QwF<5nujC5hQk1K9;gzjh?@TJ0$F(W~9Bzg!LJK0 zixZlz3&{TsEA=TbGEJf!h!;>WS~Px zV&MQz@)sCoLNp)kxJoYUB~71c5kT!q?c<>snaYOTbf%A*ST~7_f!(KDqA=e#CA{g5 zfNHrj=LlY?Q|Gurik%ZrF01Bn8UU*m@9k_jll925lQW*2$C+!xl4uihR6|7e8=&|( z)#SS<^Rb31`E3&%v6z7` z@0>vp#tFHgPKq;RI@q;-%O|GD1CgD)vAk&D-rm||r(Lam?KojH!P}y-=AC%^B+{!c zd-=B#`OytDS-28ITVk{m*$$_^&!hLp6CU8hP0XPP%r@AI%a!gegnI7Ez*? z_tJ(NMOI=0wqinN5(C_STlE?=iC^xhNS#+2%m!$ke2-8x4P-nPZJP}&i+LEH4IJM7 z6MU{61#*1&g~h&aQL$?M&=UZAfs>>3smy4at-54_NAu2a4BOz{He}OHqm2@ZoEYE^qzuBMcxA-!teY!Q zZTJjtJaOx6{DEuH6%m5docV-Zd~H#9ZHe(Q5q@`P;;@?`C3`n~6Wz=DD6Z{xX6NX^ ztdFxgo5F%(14~;)yUsbzSTZl6g^$UMr28F~3s;3wPHWKmMEM}8w`B~~;Q-y?g!~!e z98zwLlvv}E&*Zl&Djb0?*V+mP`t@du@LYXgB?p7-P`RmGF{H{E|N!h1t*uK$L|J6or} ztx|#XpcX3=j}Q#SpHq$5zj+0H26Gt%Uv&E8-yo}7;y%J!xo^ds^RCnG1>P6*D#ceH zINgUNV(X&C7Ax8ER_LXR3)`uC#Vmm z6O<9_@=f87adh-|=F&2yV&~cnQ&Q(&5b3oGS+yQR)-;LzmA{sHDMBJ7_}M+Rnt&lc z0j5){vG@W>l5h#<8PP?NiT#8R-U3uPVtfRB@~YS&3nh*CGTiEk@?h&TfN-4tOO_Ay z7*Vkl&+oJhJ|Tyv?v4j8^W0Y;F4V~A)*Mbd+pTo0$kEZ#OmSlZ z6aR*hTbCT`6KS1rRwzj5LoSpgY3@5t+^6Y?%`X}{LfixUS*_A0x{>?u>q*clOB!gu z(ZB1K9ONRDJ?ge z@oU$vIu)A=&Y-rZZbKA3@Kjj}X4A_r%Yk&!rw6?AiI$6QcL7-Ey@eQnECgR;&R$GQnruy#}|_G5N*OoE5D{$jLQCTQLbfx%}@`_k|Tzr zX{LEmF*`tfG11-A2v5-)Yc`CyJEY5hN){_g)#K3>Z$8+(!9NQ2 zT)udaq~2ZW3vCaLtJ4(fyg1AYiwKJky}r4X*GzmhwBDgsK*~t7YFzCFabd6vNpE7P z$+BM_ddR6DG7_P9`c1=m>>YUGR3|8sO9uHpm~}a$>j@<#!6DiudL{w#QPWb%I%0;3 zs|J?zsO)jbi8dQ<^_LrWOX?j#woyGirgGB`|EzV1p@zGddaSmt^5+GH5Ki`hG%f)M z9Xs#2u7|nOZo8yEQ4ro2sDnaOU4Yk>GV+|Fv`>7F%03=w&L!1jB4Ir64-7~7#%M%~ zmpKM}LkLEE*%7j*G$d$>8E68Bc(vdHYE=<;%2_ZZ&iGAZZ2nz*VzhYt*WeDoD5q!w z-y`pod!0$>|MrPpZ1a|i#R5tPy~>KINl5&0^to3oSAzcKWDs-5{(W6?=o!5}df(?q zLwZ3MG35Q-q7jyF&fur;i(1GsUOdX*q5LkwKr56TMn5TF*~+8=`jqrT>3uSh)}i={ zov2THlJEVt+R~n2Z_dP>oQ-5L0yc7T2*b!CXBv@~k)__38B2-E=o!LC=&-b0LoC+))M-I)PM2#~3fVa}gfK8jE+V{4gpc z2A11VnGheI*G|ycao#wShsWwU(I}*bTmr#>E>ht9yYej|y3avEb_DGK9}xNEc7Pgz zG~K=9t`7hRAtwc(W^h)GTU33Op!dV4NZMlpWY!A5;RBS?Ro2@dJ;Qf()#IcAJW2UJ!8@CMxI(`tJH;_atNJY2_N(I~>WKBjw+Mp>ksm%_|@UmAaBemU_hwMa3gf)fH^ zNDCd%McqP^$)JL=pd?A@99;J+O@nUjZ76~MVWr6^@JrtS8mbG2ze|x>__B)fA<@MH z-p-di;|q`*!*1l)so(@9+ud~r6}DBKw>&(V+xXo~al52Qu zMew@}TnM9<55P5GuBK>FRd20kDZFY3&*4IL&ZkFbc584f`oVI5cD(;OxPxu%e6yAh zXpDI~axLVv1YvdwJGb`Lklx1);lp>I`eeM9XUp^PNBfb-uN9UIEHLy3eB4l*m;W{1 znRrivE;9zHZ_darSf{nxkrYmB_-D3`uUtW$x{YU6bpP`NHkTwDOvQ+wM<|R0y60t- zX9!)TM{~zmN6G6^*(}R3RsrR4>b~E!WZ%9j8wvO>>g=C%{DTK}n>WZE`wDIyHHTeb z1iMU?HF%agQtj~q9dV#(-N-n2Uo0X$W2l9>W*`rw9R3>PaVglFbK!}< zag}sN6QyUYNw{~Lo1=-hrW9gz-X;;a?gzToUhC65M*1+h&RBF9wfr`vvD(g=mIeLY zI;P~+irku7@ad&^aTM?J_?PpsyOpHd2Rg_7ZAsz}N#-&Pdm^k1!Oke%nfOEM<=a+m z%phOv?#zg2qi9rBF^t7ag6gLt`bAStCHAjk`l@6@AVe)ZhzKd(+rPtX#f{EH2z zfM|h&oS!#`2Yl@mC^D+@*_A?eQ1jJTjwX~E^Z8zv-U6MSBbw#)7V3lP&w}wdx&cq zF4C*)Ak1Z`#0?SmF^oh*^wY_FajtIp9do>obIYHVIiW-(VNO)pEB9Izm338? zDho`~T2kMpcmDILBm(a^${PkFrI%oNz%Zn1;;h9V=#iBljpT`Sfgpu}&PBr+@Nzt@ z<+!=&Yd&LmnI)!8E$2xt!lgTog0O%JFH4n9kzNi*i5xAN(iqwjM|Qy zGp~jWC<^qll=y3Mx1vhAzs1YyliNG@n84xTv|65+E%_#tzRti}z7EPlCw&wLdFwFP z{DT}`MgE+D$66PSBXLa074P)GfJpwgEmImCe^1?ci_l&)-$g;V*TTsV!_}6TMom-* zgNYvl_nLwla}d@82b9a-u{ycLeEwYIj~5b158xxk#Blc`!Tjp3LWrr&HP-KPHeTRQ zRj7~bJsFPfbzvhtL{tt&Z_tR2s&=N8Dq~q)F2&6cw`G}5qK{R(Yy$I=@w8m!iSSHOEzYEqQ2v;Qb zv*O+X)e|%w@sQ#;=(d+I#dkj=I$`&})O}7R=B8LiMg{61^pPHV<3*b;mv@JvGnsu> zzQfv~au!Xjz2EKdrYcCnMa0;s%7k0SbwLPLqu)q`*Q0@#GM|Xkpd#;IF3KGS&qx{K z9D89adZIf*+tN}90+rR`!};fT(sa(%NxK60_equ4^;bjcWQ6<ga8IrD-)djds;g{pU`ll z{Ki8&W7C4NESw0a&D=T*1~dPgNv=n_p3CC=e+Dq5hsq zr}SaFe{!a-zP;_t%!NILy#sa00FnL*!%(RvX0GpcfC!HH&Mg3`8L z>TwBI&cdbjgnq4cZckJ(<{OZ#3&!t;6pA46jblbn2iwKcgb5K!K}+V=Nh)M)I1yuV~xufc^Not$Q}HTt`RCk zsC6s3W*ueI*r!_Dri-QzNMK79Qy!&hOmw+aaIggbx{+{0h_3QuHEit%JSZ0%1>{Ag zxxSuW_((xeIw2p)6SDOKb~8?)hhe0Pgy3+h?Xop9s;@|iMEXkGUY|TDygKv`?i%-; zDA(H(rosS9Rmt1^nI(Fl9w z0d>0v>;@7%U&sb(oz|F9jF`fk1_ZWhx5Ps6SnoW{KE6VZ!Pi{nhj5ksH+1ri9vBpt>wA3V0kEoUjB09@JH#}&?@KUzHL^xzlW zllr}G)DaqM@Aj8uN{n&WB-++;AL`>^DX)YQb8|F8v;$(~3N(CFnc^HIeR9`+jAvzv zonO)T^AY^9z{_#IY{srPLV!I33S}`GQp-1ji%@Xb+sWftyp6`Ywv5JOBW_xm4W&EI^tErM7CO>8`^vG3Me-- zZ|GfXo+Z_F?(6-8c?@P`nyX+LXgVAzKwhY5xcl7SCI7X~Egu`ko|h9$k3Xn*$fS#S zG}J+gSx2cbaMHtcf9vlzt@R05Uw7eVeEHVKOc>0{)1G`};HC|4>$rv6^%Sz9@NGY( zn4`ll$_oFaJNaL9sXoD;k;BU@i?9~*68+*?A@X{^pX9pbWI;lAxhsiqj(!+Sab)sf zIi07S|DRn#(K-H&7HH#t#dBWBzlNh&B*)TT!@t{}8NT4)Q1$Kh|N#iZ6XkcAQ z0|q1pywl~A6xYLmh%+lLgyZu-go|2O1d5TE*+`-4JwE}mwRnrjA$*`a>?kS31E)CB z9Di*oQiUcSgQ>xTZ771{RtApqzss2VGO(AkWTcRyEqj2h_VVk8fKB^!r5{sU`u^$d~g9r;3=Y%Wn|__&eKHcNpu=1L+Io5J{z<`jE>) z6a&@O?M^H+@I_4e8GEXu9DM_BOl?N_-9PquX}SQFFpFqDfN&EV*^nc!b=4xu^OrW^ ziD#r0VhEwty&h4=(>GzF$g;2cE)Gurpt{(u`vEn2yVicfuJD^n9j^S2MxF2odg2^r z(4p}!c8yS(L#6ZxCZ-8bG41sHGTWp+gf|D`k-l*F*ta_dBL;15hocN z&*PD;KLJ>TJwgJ1G;{`Z6PjwyG@+_Y*A_Z{;S?jn(6UEt%(5`DTeN}@ht5lyjh@kr zp`otzK4Oq8xFe4*c9K1{hI0_Ea0=HeTYf>&iKR`fX?&T6bV(kBfH@TNbB!)g9wt&rrlwzn~|4-=$FgC8;aY*Q-z{_3N=6^wZ}FJjNI=1v`6(L z^{M9Z&7ivW&$|BQ!bJ=PEGo^72x|Up(*L!L)Yln~vtyPO8D}rvxPH}KzrFKUnz>|u ziI5hsy&c%ccUkA+R%g-WKOzPE{Z@5!efxO&afANG4@EgX?~6Vj-7464 z`Pnf$A724P&YDFs* ze%(%Ae{AtV3-#3>ZH1HRDIsdwH=3*s=+e&lo z89u&xF+bGqlUbWDZ|coSU0yQEyA&AvJ^2q^F28kd@mbMwrhJXLvvM*f_f$Na>m%s2 zPTBYOl|RnqmI7bbs7_osbwkL$wVk>j+inV7W;k{HUc-x-y=OjMnc}&8?@7VV^{av( zXa1YX_D*K&olk|YnL8@oIFC!p=Z( zm(;S%@(#$cpx^>|9O0c}u+zLVQ;LC32l+S% z?%Gn250MNoLo&b_7!f7;MG6|piJ3+D3Wj + + +SVG Picture created as 555-Oscillator-Standard-BuildingBlock_RevB_19Apr2011-1.svg date 2013/04/21 23:14:27 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011-cache.bak b/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011-cache.bak new file mode 100644 index 00000000..a2389067 --- /dev/null +++ b/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011-cache.bak @@ -0,0 +1,184 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 17:03:35 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# NE555 +# +DEF NE555 IC 0 40 Y Y 1 F N +F0 "IC" 0 250 30 H V C CNN +F1 "NE555" 0 -250 30 H V C CNN +DRAW +X GND 1 -300 150 100 R 30 30 0 0 W +X TRIG 2 -300 50 100 R 30 30 0 0 I +X Q 3 -300 -50 100 R 30 30 0 0 O +X RESET 4 -300 -150 100 R 30 30 0 0 I +X CV 5 300 -150 100 L 30 30 0 0 I +X THR 6 300 -50 100 L 30 30 0 0 I +X DIS 7 300 50 100 L 30 30 0 0 O +X VCC 8 300 150 100 L 30 30 0 0 W +S -200 200 200 -200 0 1 0 N +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011-cache.lib b/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011-cache.lib new file mode 100644 index 00000000..6949dd2e --- /dev/null +++ b/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011-cache.lib @@ -0,0 +1,184 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 17:03:38 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# NE555 +# +DEF NE555 IC 0 40 Y Y 1 F N +F0 "IC" 0 250 30 H V C CNN +F1 "NE555" 0 -250 30 H V C CNN +DRAW +X GND 1 -300 150 100 R 30 30 0 0 W +X TRIG 2 -300 50 100 R 30 30 0 0 I +X Q 3 -300 -50 100 R 30 30 0 0 O +X RESET 4 -300 -150 100 R 30 30 0 0 I +X CV 5 300 -150 100 L 30 30 0 0 I +X THR 6 300 -50 100 L 30 30 0 0 I +X DIS 7 300 50 100 L 30 30 0 0 O +X VCC 8 300 150 100 L 30 30 0 0 W +S -200 200 200 -200 0 1 0 N +ENDDRAW +ENDDEF +# +# RESISTOR_RevE_Date15jun2010 +# +DEF RESISTOR_RevE_Date15jun2010 R 0 0 N N 1 F N +F0 "R" 0 100 30 H V C CNN +F1 "RESISTOR_RevE_Date15jun2010" 0 -100 30 H V C CNN +DRAW +X ~ 1 -250 0 150 R 50 50 0 0 P +X ~ 2 250 0 150 L 50 50 0 0 P +S -100 50 100 -50 0 1 0 N +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.bak b/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.bak new file mode 100644 index 00000000..15ea43c2 --- /dev/null +++ b/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.bak @@ -0,0 +1,306 @@ +EESchema Schematic File Version 2 date 19.04.2011 17:03:35 +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +LIBS:555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DADA441 +P 5000 6900 +F 0 "Sym?" H 5026 6696 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4876 7134 60 0001 C CNN + 1 5000 6900 + 1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R7 +U 1 1 4DADA3B5 +P 6900 2700 +F 0 "R7" H 6900 2800 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010" H 6900 2600 30 0000 C CNN + 1 6900 2700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2500 4100 2500 2150 +Connection ~ 3200 2150 +Wire Wire Line + 2200 2150 4650 2150 +Connection ~ 5050 3900 +Wire Wire Line + 4650 3900 5900 3900 +Wire Wire Line + 5900 3900 5900 4400 +Connection ~ 3200 3900 +Wire Wire Line + 3200 3900 3550 3900 +Wire Wire Line + 3550 3900 3550 4150 +Wire Wire Line + 4650 3500 4650 4050 +Wire Wire Line + 4650 2150 4650 2350 +Wire Wire Line + 3200 2150 3200 2350 +Connection ~ 7850 5150 +Connection ~ 4650 5150 +Connection ~ 3550 5150 +Connection ~ 3200 5150 +Wire Wire Line + 9200 4200 6400 4200 +Wire Wire Line + 2500 4500 2500 5150 +Wire Wire Line + 7850 5150 7850 5000 +Wire Wire Line + 8950 5000 8950 5150 +Wire Wire Line + 4650 5150 4650 4550 +Connection ~ 7300 2700 +Wire Wire Line + 7300 2300 7300 3650 +Wire Wire Line + 7100 3850 7250 3850 +Wire Wire Line + 7250 3850 7250 4400 +Wire Wire Line + 7250 4400 5900 4400 +Connection ~ 6350 3350 +Wire Wire Line + 6650 3350 6350 3350 +Wire Wire Line + 6200 3950 6500 3950 +Wire Wire Line + 6400 4200 6400 3850 +Wire Wire Line + 6400 3850 6500 3850 +Connection ~ 7300 3350 +Wire Wire Line + 7300 3050 7050 3050 +Wire Wire Line + 7300 3650 7100 3650 +Wire Wire Line + 7300 3350 7050 3350 +Wire Wire Line + 7300 2700 7150 2700 +Connection ~ 7300 3050 +Wire Wire Line + 6500 3650 6350 3650 +Wire Wire Line + 6350 3650 6350 3050 +Wire Wire Line + 6350 3050 6650 3050 +Wire Wire Line + 7450 4600 7450 3950 +Wire Wire Line + 7450 3950 7100 3950 +Wire Wire Line + 7850 4500 7850 3750 +Wire Wire Line + 5050 5150 5050 4550 +Wire Wire Line + 6200 5150 6200 2700 +Connection ~ 6200 3950 +Wire Wire Line + 5700 2700 6650 2700 +Connection ~ 6200 2700 +Wire Wire Line + 3200 5150 3200 4550 +Wire Wire Line + 3550 5150 3550 4550 +Wire Wire Line + 7850 3750 7100 3750 +Wire Wire Line + 7450 5150 7450 5000 +Wire Wire Line + 10500 4200 9700 4200 +Wire Wire Line + 8950 4600 8950 4200 +Connection ~ 8950 4200 +Connection ~ 5050 5150 +Connection ~ 7450 5150 +Wire Wire Line + 8950 5150 2500 5150 +Connection ~ 6200 5150 +Wire Wire Line + 4650 2850 4650 3000 +Wire Wire Line + 3200 2850 3200 3000 +Wire Wire Line + 3200 4050 3200 3500 +Wire Wire Line + 5050 3900 5050 4150 +Connection ~ 4650 3900 +Wire Wire Line + 6500 3750 3200 3750 +Connection ~ 3200 3750 +Connection ~ 2500 2150 +Text Notes 7500 7550 0 60 Italic 12 +Two Point Regulator with 555 Rev.B 19Apr2011 +Text Notes 1850 7300 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany 19th. April 2011 +$Comp +L RESISTOR_REVE_DATE15JUN2010 R1 +U 1 1 4C30D480 +P 3200 2600 +F 0 "R1" H 3200 2700 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 3200 2500 30 0000 C CNN + 1 3200 2600 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R3 +U 1 1 4C30D47E +P 3200 4300 +F 0 "R3" H 3200 4400 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 3200 4200 30 0000 C CNN + 1 3200 4300 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R2 +U 1 1 4C30D47D +P 3200 3250 +F 0 "R2" H 3200 3350 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 3200 3150 30 0000 C CNN + 1 3200 3250 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R5 +U 1 1 4C30D45B +P 4650 3250 +F 0 "R5" H 4650 3350 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 4650 3150 30 0000 C CNN + 1 4650 3250 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R6 +U 1 1 4C30D45A +P 4650 4300 +F 0 "R6" H 4650 4400 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 4650 4200 30 0000 C CNN + 1 4650 4300 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R8 +U 1 1 4C30D40F +P 7850 4750 +F 0 "R8" H 7850 4850 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 7850 4650 30 0000 C CNN + 1 7850 4750 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C7 +U 1 1 4C30D33B +P 8950 4800 +F 0 "C7" H 8950 4950 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 8950 4650 30 0000 C CNN + 1 8950 4800 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30D332 +P 5050 4350 +F 0 "C3" H 5050 4500 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 5050 4200 30 0000 C CNN + 1 5050 4350 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30D331 +P 3550 4350 +F 0 "C2" H 3550 4500 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 3550 4200 30 0000 C CNN + 1 3550 4350 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C1 +U 1 1 4C30D30E +P 2500 4300 +F 0 "C1" H 2500 4450 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 2500 4150 30 0000 C CNN + 1 2500 4300 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C6 +U 1 1 4C30D11A +P 7450 4800 +F 0 "C6" H 7450 4950 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 7450 4650 30 0000 C CNN + 1 7450 4800 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C5 +U 1 1 4C30D114 +P 6850 3350 +F 0 "C5" H 6850 3500 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6850 3200 30 0000 C CNN + 1 6850 3350 + 1 0 0 -1 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C4 +U 1 1 4C30D0FB +P 6850 3050 +F 0 "C4" H 6850 3200 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 6850 2900 30 0000 C CNN + 1 6850 3050 + -1 0 0 -1 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R4 +U 1 1 4C30D0CE +P 4650 2600 +F 0 "R4" H 4650 2700 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 4650 2500 30 0000 C CNN + 1 4650 2600 + 0 1 1 0 +$EndComp +$Comp +L RESISTOR_REVE_DATE15JUN2010 R9 +U 1 1 4C30D0BB +P 9450 4200 +F 0 "R9" H 9450 4300 30 0000 C CNN +F 1 "RESISTOR_REVE_DATE15JUN2010 " H 9450 4100 30 0000 C CNN + 1 9450 4200 + 1 0 0 -1 +$EndComp +$Comp +L NE555 IC1 +U 1 1 4C30D099 +P 6800 3800 +F 0 "IC1" H 6800 4050 30 0000 C CNN +F 1 "NE555" H 6800 3550 30 0000 C CNN + 1 6800 3800 + 1 0 0 -1 +$EndComp +Text HLabel 10500 4200 2 60 UnSpc ~ 0 +Signal +Text HLabel 7300 2300 1 60 UnSpc ~ 0 ++Ustab +Text HLabel 5700 2700 0 60 UnSpc ~ 0 +Common- +Text HLabel 2200 2150 0 60 UnSpc ~ 0 +VMeasure +$EndSCHEMATC diff --git a/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.pdf b/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.pdf new file mode 100644 index 0000000000000000000000000000000000000000..a8760ea037043584ed50dc1f67e3fbdbac4b531c GIT binary patch literal 44778 zcmV)QK(xOlP((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58vy-ly}JdQ3lU%%pvFOVHuwj_Jn zlM4jMAUDGxgP;<0A=rCxJA?fFm|xFBdF`sU-d>HM@YXr&ITj^Sltht~^xt30S1VgB z=bz>J-+%kBfBwh+WBK#1|IY>YvMfvc^Z&lqKmXJ9-~avk-~RVM%h&Sf|9tI#{^vjc z{m-f;{s;M^p6$=yeqQDHI+pd1|Iv^AYrFoeTmNe3`RCvMuRs6mpZ_Hj{*A`AeI5HB z`~TkeuTr)@wOka+`jEnU)UUSoXvWW<0F3DOBO1%`Ro3&*R=#>GLSt!P>j~f?k^WVd zo{1Px&absyG=%7}AM(4k-GTi${%n_=FTTJ3yio7*RraU#I={8GubTL;ZT;HYIwyhJ z>epVf2m+asK_(N(`gN2fh1$y3RJ3GbmaQdemhy6J zEtPTRK=rvuL-+7=LiM6rS0oA*niWzNg;FsuO+R5{(C}cYd@|9T$NG=?9U$n6SGY1{cGQK zdRtWWYj0o8w6rZU*oEq`Cg3@9p+5LIp+3Qk^y#u^_NL~B;7{t7NsJ7NZs^br1_3-E z+qe29E0@m~{pz;1a+#6edpkMy;{(hNFG0?pW{|$^Hf{-28`@FED+N()#V+QU&nWmE zGfI?*kq?qFH@r}5=nUGG0d0De0do`ci8lmuK@i1U5JWks`AH0X$c)oNG(RKNM{E>B z-9Z_0!+b#?<_i>xAx*k5>|d-r6p{_hh;b3c$mzsv5vKcP^)0Ii(B_Hw{mh^+#ah>k zR#%HcR_`6aQzC0St}u<@(*e$|0iM%mRoH9uYm(tM|l;1AB-3t(7?jkLCR8 z$C)@h)>TLU6pKP8aUfJK$sL(Vp?fTKj!W;uYpzzyJ^C_SHN$GDx>l!VTw-3=5vV=4>)Mf2Ye!J+*8;QWdPAsa*@TosAK6u*k5io` zdUNzO^_J+()@$l6LDbNU?h-(Do2kDDMTeo3cNpnLj|sBqPAIxe)Vk?P?+AhDBQ}`G zYWpel8mo$KLt~=b5}@72BIq~Jjefh!DmQOte3)wQ*Q$T+tBns)q5WFp*V?GcyBZU# z0o%0*5D?`)E`utSv6)k-_Onx|1lYBYd^5OTwuQ_1U3O8XTGi-O!0O$v zRq1f`wzlo+qDid>5d1|MNMK@h2?e9rybCFU&?kyXdh9anvfu<-_blz0k}10AR?1G0 zy6jna88YdyScs3LLw>9t0%RjYU}PjC%4?LOI3{FR`$gs29oKqw{HAv1wOzi}k-Aqi zGHTz`;)oND0+vWY`xzhru>@y%si)cyF<-S3)kT*pJJtO4_FR*vwAj{IX|ir)j0dGNaP`>a?qWMp5{Eh=uJ)d1(|WotpMqFt z!c4yq;Z3V{I~|Z9t21uJCR<~+g&^wq44#B;IkyZ{ov0|8mq2~3gZQfIUl#GT&AGHz zy1^7#kh&a-RGsIvJyl#VfU1tVlfCzdt80L4D}-}#b*yRuQ(RBT92duR50w zF3+#C4N7vAkr^f_$%P!{m{XEtvmH9Sn*N)m3&Acyx_SJN0-5%F{Em?VCxXhrv=IKW4qBVDA6}zb9 zo_q<^mYS!V3lZZN+E*{@Z(VHhuwATtqNDdhwmr!7nwA^^Hl2?kh-Mz)3@qn9EK_3q z^+Y##Of^?b=&m#Ii3Bc4Wf>T_CgLqmmlQ-s#EF!~oJgj(M44&<`!F%5XWXtkxAb03RVlSq+-^tHeoo2a~*tza{U)ZN`oj@de8Ab!^TuIye_1h0iC`%4+qp(!zXWaekt{+HK)yt7gHi4Y0YU4 zgbVSl)`gfMe#Cxb^+guQOCX)!Q?YI#kqeP+w;`Ku>@JJ81+u1Ycmas+WtpnG#7wtp zE{ZkB>Q2&$7E(IFMMjKM$~~kpA;D{Mu7g$t&{R{u70_kjLds%Dv0W4t=OvJ?vVf{Y zvc-l&vANbX&6E+qW)gHBY9bOfMc=&ys=4d@H#!bQy4&=i+F|#j>VVL?iBVVFN=Whz zWM(EwWI`|<3L9c*<|Ro;RX0hNOSSEpj~Dw!6KO7F=_AtIbSG&9_pOh}d0iRsbCsB7B zdRSz9qw`y_N6k6RkWQQjLOxG-B?8%fu}zcG1)2GUnQLF&0fgD@Ld`{Am3&vm45F7MI zO_jyx89^SCiRH?B`7(lTE5=1wQ;FuOtxV&BwSYS7PrIur5s1S0pZ_v|BOJkM-Bgsesq?h#n&{k zX1|40Rn{R^qU~8mX-WK;v zPPhuXq>C-wxL$N6iL2y|E52b(*9Pfa2Pxm^{8H*+YeK!u>mK~fm#|dS`=FCI zI=>ZrxRL68OByIUpfP^37TvPt-}k82x@r79MGP)PV`13BXGEe~hFB>^wSqQWxn4Pa zvavy9$Y5RJkBN{$Y`+*X2tKjPBm!SEO2Nww(r|O5`tWM6;Tr`Id`6jvn>O2`g>yfE zsW2Q0MHp_~;a`vTS2*F#;~ty3h> zJBQ?zu&snf;0P?1!kZNMf^5D-{0|89EQ(R7f^rG~M(yM>T9}HJ+YCws!3b18696o` zo<2wcK=DhR+1rM1D2?5me_0wMonJ~#wFUveXbpoo>6;LRHz$qe8=>EdJ!;Q+6)%{8 z#LQkjH6W&>hh%h4z);d8#f}o3$MW96=+?1vUt_7^Bsciwu!`U zo29VZChgcbv;NpzvyB-sJ|?!?u+d=d3t}O>1LhyU3FbY^Br`t}`mNYhdyI+Po{&%e z#vB4{PmM``bJwhoV-9YUksS-sU%&UmOfr7a zmn|D$_~uULw^9$SG)XTF4t~`8eaIR;$CHQB$y4*ZvNi0E)dSVLCGom%UM)|RraR{~ zOE8d!0fwqOdi2TZsZ*@Tqw#FZwJDkG`pZI-#M7Yqlk7Tgth%uK_}i?*Xoy;M7VAP& zL5m)-)|9Mx;`vsaBNf~HTsA37sz+DE6J&+asiW;zC8*qw90_z}ZpsrocR4c|i69-ZpVr+okcX3xba$fb!1_FA)Qfn%MnkiD zF6}NpUpdtUQ8u5P@X4LbFNGep#iMtLA)ksaM5aued9>vNfjlNO^BI$uUxly_aD!M zx@o#t5JTh##goYK4Mb6jt=UNyH$uM^n`+M*?s>Fl^>ZTphSG@k#5Zq*ek=B954PB} z&+$&Qbg)tmV2+5#{%rmDftNlBPrOd5xFrIISix68F#h`*94K+peSWG>B&c#%BuyrU@ zEnPnmwmmH?e-VNvqZru;(quJiPf{Hv^iZtb>`qG60FLx}+74krG@~;aWfvqHS{iQd zZV2lkBaoMu;d}wYm{g+j)LA_YWdoitDOU%L)}F-9Mr*IFVePrh+iF|Uq&k4mnka=1 z24N@xDu?FCoish0VSZOYF0`3HC=uSU^oQmEg65zYxd_r^G-{{j+~o66s1=rN2(`kR zd_1h945v50yCCffKo{E8s@tU0z#F08ialyiw;eL3H~>?!A(g|t3$6P?%q~96DMT$e z#sPAXv0I^Xq0@~3j1V9*?dz+ZgaDUv^735gy6z&Pi7D*5K)6fkt2yqde!XCI4fR9i zQ!IEo0oAWpSg_BhhB)w=$T&hpinEsq@sprQ|9bP~9!iQ9VhRKjU5-MW13?-+9MyBy zL8E6lJr?hC>_q!%&SI|KaTU#gmA4OQ9GI^YL4&Bm->=D~{No*A%> zO%$4H9y$wkqif`R>S)XQ+0)cls2mHzvECOAAkZ(AqFM%Nc1?jL@4dHSlL;V1{5;$3 z=vhsK?|xIshbLN3x;}n*Jd`>cXF)kLUC6MV(#Du(QNkUwZIp`k&Fl@%#qx4E>s-jP zZv$bEd88&gGG()$n|Xm#Re@kootw`v=-ms^16UlbXSsFn1uU&hc5iBp>$M!O%@RgE z8Ciz?*b7HvDVv1YZ4fLL(*j8sq%L~x0c6?O^z!h4ZCc5HcxrTJH9f1X{#;E1NlZ5A zqbrFVmK|3A7b~9`Fmfr&xDPlFU&~q7VdKrpeQ)d?wglaIB9$;TFj$wy?3BfTgU{l$~4?9`jo zQX6M{%5s`i4<^UVL|{gf*BzcurxHNSOoGHJ9fGg!(@cW5qa{i1ezYW&iuO&crZ}I= zk+#wW{#f6m3?^VfN>4pbvh47~t)Qe8Bc0!hJvt=0`sLPOO7aMgY>Eq_S5vSb0+}%p z=9&UN14V1eRaB0R;pj3Z*!r zK${&A^`rNqi3tc9KOa(CcP)Xv>7%(y_9SQKx8zEQ5ZoQ)9Pl22J+Ii z*+KLyXh%5EqSXmGOt(2aQ5l9X8U&L82uy~JahEU|f;23Ko6J%Rrz{4^p&6H*o$(u& zj~wdjd1C@(YQ5MQzlo|MG{dSk*C%wBoYy;Z2>L|`%7J1K4@g6IcgA>pqS-BJlFGX? z#1n<~uVFXS_K3`I*8vDh7p2Gqqc_25)J>hc$>*U^k0GZ*gnHa!bZzg@cp{A<8 z3uhY|-F!ADUx85Y4fA`<8lX)f_8dn1PEAZeWMVv@HRPaQ8}uoVDYdMt&)(Sg)B?49 z-VrDiiwl}(8Fvq4T}i8i~-yyEvWB5fHw0z%~Yn)M&I+Ih>BJ3u@F>GeMF;YIF*>$k)2e6Xu>u}OuL6MTDyljUILBr z5F%(ZieY$wG$tlgAJRYzS!mPj-AtH>d&+a|k3HqBMOY;ixkZG6taqf(JT`dy=-R*N zUdZVK&wEd@#n+zK_zSUVl50F5OiL(+sSBhr-(JYL5xy9`2S0#NoukzQ(tL9l3Xa;K z;AjyP90(S9l;R=}+E{M-Js`qX&#dy@uZiTe(koVp=Mzgm%<#pGAUB!L`pM)%($V4w zBni2@4YK%w4ynmk=Ec>(t6rZUqi)ACBvD*dAFC&0KnE@)QfggwBowk_^?C_pq2};D z3o>R+5`hk&ROpEk8iU`cpTdxvR?orm1RvDF3#xV4E6Tm8+gSesd&kuarD)B7HW~5y z;Rd9Phy)*;e84S+HzX4EKkBpH71fqe=`+7Da%y3{$k@(+U0*HvB#E`@HgHazkK?xP z1MuD8BoWI^6=bkh+i`kVC16NTe6@4&jza%R+-V&aNFbO!Q7WnTIp!m?at@Q@W~`Ej zDD{N#vkY6Wi*XK8H& zhjt#Zn~M>{ba;;|5~6x}DfU(nW`{=QoW^g0es=6`F=rJAQD2H4z?mo0Y>D9ov(Pk@= z@ZhKP20(qaUMSybW2ZB|d9jP1&dA7mwW&K|g;r$ug7oS~EK&QSA?qUvA~twWhO1xT_$LO)Y$)`{#XpANDlHb0RL z#&JrSh##>_vWTO3lm!qrI*O68AWdmR^^nGX@9+!JEoUMe2&IAAr=(H8Shrs)x)e6% z5sl_MFB>gF#gQbMW^p;lPmr@`{d=+UGLhRTL0_k1sqYf=J&vI&eiGez*lGOSodccU zN=>!K`Yt!e25bHz`Z5|5ljx1eZ-pM6tLtWr9%|_kxMQ1PAHmUz!Z&W2xu7a@L1NS zoARI$zsF|m+eKsh2P8HL8jFW{S$!4|M1x6-y(05{A%1a2LS%_tQR>ouf%MeVQrs92 zL>I}W#OsQ3LQ*t&+2XmmgqXGRVzJ%L9+Ix{)E(-tZVI!FHKqoE&XyrE%VPd=#$w62 z8A&Y)LIFJy>@JJrhT7$6^gtlS-LIHt3xUKpkE*)l(hCYnRe|i9(EhE6-s((7Mnby2<(Fi*`?by>{SrvCiBkQ6%rMsN!Ih+(eYFe6D8nvRe7hm~ zpeK{-Jh%8B|RA+(XoDQBxnmMeH=DL?

o37rFvhoy`qkq0vBWGmNh_*9Dj_OE}2-~a8u{t*l7pH0eJ z^>;QFdt2(Nxi$_Ed(AU_<4RMT&${u=8=>z%|MFix(!OreHVu~MUDKO56fdOex{*Hd zhePEmYH=dqlRKG@HSXGUl=f#oPdyMIt&{O(K+#@HpV(WX)o#$?CvRjv)jByi>!Vh@ z4Oi>ra4i9u4t7kTK8*QEOtUvKA8Vc68}5RU#&sQh0!W*_|L~JTx442d?R^(MoKokwKou7 zWqw6;TYVwbpVNMW?xa6BRccqBb~~cYm4Q^B7{}k&a9W!FjwhA{IzVGAW5~xED+}v> zG)(M=jsK&?v+D^l2cjVBRf!<(k%;v`AoEDvNq?-x5@j)S#uC3XVt01`j!27X4ZPl= zJLwND0K3Hc-Hx;cz~=JRecS`G1`_spJee)ITIX^5D3ktJi*;`(OO5400NCS|@ZSJi z!oqley+b#;A6&xZoV2V@GQs}X`Xs6+&u6y7S1d6%1*zv9v4s2=m$gGhZ%bb${lR6e z95UNi)lXa2)bm$3M)lc}`iEQP@94Y^bORAc4BR(ZSqI5eUnMllha`vs7P$1|W$ z#7m;a;)XvVA@lv`PWXfEm7ydHr=)IMdjm0djUck9j);sTL3)h_2O@O7|J*5mOdFc| z1oxxE$mu!}1@cX+>_Tklz~B-jjGX311V5^_vLr%dWgp|gwzy(n9o>vv+u{n+n(ukb zYL=VrOx_WtBfBese82T8lI!}I-q;RYR#;1n5=8dUg4hU?%+CD=h!h2|`#4|kkhHg- zn=SV}I~$^^4_c$rx@$biSsF7&yA7~nTeAJ|Rl377bLb{bhpxqt1u_-M#Y(gBZ5f=u zBdPKavLv?Ie%d4EKV;$D!Z{EuoSVO+A&A~XExm{iLPM@?pU0r2PJ#1pg?l$@AGisIWrE~a5!neb=_YD~9{@C!Z>WGNR=tpNB z*#?O9X9*Xw_@Dib$i2>LSe7kp25}t|0VM_nsxvn`mM-IR_J z_vdMdZQbSC@Ve)fq}riK9SJ@$hk<`VZjZQq&&5zmtu|KOK)EQJbYkE5)}Rma8U9+I zyuI$^_7cwB{TI$Be+)vN^R6Y@H#mF*2oo@N1yL$&KtaL@AHI%TgFa~1%3Wk)%}HOG zT^oq+&6}OdR2$H289D5*H#HP(sxx0qZ-afgnJts-OBOTx(tSEY*&=dp4f^0pCN3gb zUW(qJu1F{H4WD?LM&+=>l4m>h6Uz=+Aoq96NBxe7O_biful(+N+MK&#OJKtd$+sq4LIA?FkaZ)Bt;m zTdO~~r!Xg&oh-u+;zE4ao)Fu$)3(|p^Gl(gA(~s^ols}mVcqFFXQ{j=z;-8RyOmmX zeP#8Bs>9esGV#F;!E)N_pl|*NAblag7&Xx)#rCsZE_^qChQBr*0U6m}H5jnEC|?L| zJrx!=Wv6;2S;(RhlZus~nD8#d7j4)$)n%?0jVT}Lgk@C2hae3+t${9@THNAJ1A64^V`I#o zB#`xYF>hI0Tmufw^6?E9^3(U_+zi8|1{2#NBm5?!CyMlNPwnfG5Tzs2aQ?{S8dANP zOCAe>VV;0+e8_dFYt38;a@1tR2w&Pazi@i`g62a0=OMOgw<~A&=!iUv)TKf)zl>z^ zBU1mIjQ3w%TI`u_$YU}V&u!r&xgih9tR4j3bgC3GBl3{UZZ@f$25FnyIT?8~5c_!DH%vcGABy(5nZ7c6h!?9tjIy^rZ3G}S3H$U1t@=#0k;797ska2~tvUvEg z#R8oWDgCgj4S8x&RfKS`)lP`-M&6K#E>|0DIU?`i%rV4hjtF_%k6)3=b<4GO)%(|F zlke8UiljByiPf{48h6!qXrk}ZUf07;vcIyx`R|BWQrP6b-jIi#Y4Y6sp(hy)lC;CU zFMRT8ALPy|?-#B74n3cOcD)62b)}Wl1iEKEHW#w2$~1#znbPeDHpo~uHI(1;B1z<7 zbIh@0iCuXRG0GXX)uQQ=$u`C+#L#z(&DkAzXuH&Yn>=j)vH4j%*HH%HPo$BUiNxUe z1-Ua)UjJTfqr3FqfQdOE@@di{N-tEjmMJ%ZM4w=B6G*%l--sC}kMJAvNO+#xaag9Z zrcU%TcVu$V)x0;6dCdm#u6m&~uQz07bdv|p5#brHn(7A)4wpN4A{BDUB_Jd* zRP+n*qE9q`Ga`>zY96PdRT|SJ)jW7O)!j^lhV?6o2_&a!zZvID#hRs0rJ-P!N}g&U zK~8;lR&O4^WY?>wzYo7sOiLC)0=~Ao@~9XZW>*?&doySmHF+%VjaClZ=Gq z=edw&4+Fuh2zy$1Sd8rb;XKuZUUCGk_9{6$J)#N8jUB3pj%-+ZtY{j#Y_$v2*R>W)w3;Ba=JcUJ7zIefRF@-4IJY15^B^zvavlMzj#3A;7lG# z2hbHPD{u2#3?yWx$1M3`cF?NiY;o*^ULsM7X*&@*gZyY-QO5F0)!MVpA>)GI?4Hrk zV7Mb`4EhApm_UOw%yU@enV{&0lX9Rd((Vw82!vf4rLZEUH6g6eIBS4ThW+=CSD)m( zCDhagmb@zWmg=jEv_{HiqO z%zbiw61mc==a|G`dch;bsW#@(G~zZ-v*kCI3qK59H~dp=K5q@yrEcAruZzuGGE*Du zA@5ZnoE3b#K*|n;ZoGb(*lEeS(c+U9<_Q1IBOdaQpZf|oq+{6nMt62(T9C}?D;_gW zk)Q#YN~Xd(I4)2O#!p|dCB!@%AHimlJcPC6^;J?=eLX$LTyM1Tl|OPRr+Geh6|Bs2 z6C)oSrP!jd0hlu$KrEIVU@5IU(sE+ENgYes=u1A@o957qcbzwKf^~f4M)`XxM|4<9 z<#1VG)1d3w%{okrXDp|&!19}}9hE0+SIqPcfM^dBz-bgjVrE!pZ_tCOI8q>2$%S5I zo3+a`d3od@tzoFfc_%hEr<*G<3laIjP$()ozsNl&$*Da zX1L9BJEH_)=$yflgJqfW~0=j z&gi8qEr3jsv8N4kYwYdk#HQQ|zO^izW5cYnTi8J$S@+T$3uM)eNiJ60MMKii zFjNmEL=!U-6JIeh_GAMIzXX!py3JG)PgM5-Ff0~1vpUul&@3o$Gb67q>s9C4tHVTt-NbkW8sR?R*aRwbhF^Cz;n z19?d1)J&8tF-!8DY)#i)c_tGG#eC>CeMDktL-6LD$byd+Qt(7V@KGuW{($Kbf{*$s z_-Nw{tL`sN=83vA_DS=^U4KbpAdGPf1QJ83nZYHFi8Mp~n2%wYGyG8FB;CyyY)V4T zp3Vxwj(}uA1l`=cn`wYjQw_EgAZg5aKzDA;T>Aj=&gT{f!uHvDtc)D0WLeq$KvtSh zebXU`1(73?Pu0$dSpzQ?TP-F_%2+v2eXId!VMzInv4_&I6x^_1ek@kJ_hivLBqMcF zsBy&$MJR~v118$1d8TJg+8^QVDcun=;+x9t3uKDS2K(K{OoZR322JF&=hg(_kPQ*a zFG@|dxVh2Bswzz5iEhwrg^|iIfa5MXjs`)(%Jk$F)*bfhqq_A?@2mk`Wt}VUzNSPk z@EfctnoZ$5cQc;_iA``Iha0C+47CSkZI9`CjNpvewg9DK=Rm??`mu6$c{DI1#)X$6 zqe1wQ08jPgWC;cmV_c9JoHpeMV)Fo7eBMk`LB>7#ljg|f-s_&50|fGuX9#NEdI@4{ z9GY_>TN*|7jbw6;h&Z*Hv z4>QXyC^xe&mM1|ZzLP6&FuV+T#ckucSaTf=3r-N($kKw#$l)*4qZr1`Rln4dS&d>- z%F;C$ot5R#12&nlL*oURi$mSQY0s;Wdn|&DLnA(E^e4pj?kp9$@gi-iX4DTQizbry zU<1pwK$3=-N_7osWWrs;w^=j0h8L4}Aw$7N6eFuan!Ose!=AZ|`dR`JPlD~VAYWJ7Kl9CBBI#%L(_aylX zku0XvI|*?mLn$g5XhRoJf2a$Z2qgm>R5E{oB9&S-wwMXqvlU6nE~NHB$A|d%KNeh(8px_r zmEUquT7JvkC~^ei_unkpK$3a+Nzu84B;vPK^0xt5uQ`S!y2Xo8i~s%`+kr3Gawjpe zB3<*&-UqrSI)X&^Vu8~@o_5VY53JsZvYN}dn5yQ{$YeD{)?s8u_r|bE8W2MZpV-I) zh4>jglK|;EjLhgAtt4V(=I(#z?F0Qn_93uZeMo$;`|m_kHRtiUYM{)L zAZ*7X13AO%{lRK}na0b2_<-$p3L_&jXx^Fo#5%Lt zl1-vSj9>Y!i-pT0^dpH(AZa!~*=#8QiTI6mCLy*+1PPZ2YJtem4t&9uJBg7MU1#3d z`^3y#n=W1?O2inJ-zCW`W{4!SqDkvDeX{GyOA_%Lb8|wJVUTc%pccps?Z6joxsw=K z(aHIZy$`NtNoGKtVP8lf5T78j%zQb*C$?IFLj1g~X2C61Gl(OqX!q5OU$EK3YBmV$ zjkgcXnDQ>Y9J|`Y0c^=+&BzDxk%?J06kCat_xh`UaIxh4$_Y8DMEw#e`JsP?BYrOXR#VY>(3vZv$vS?Ye3GfGPEv;eEuqyK}OPmWD4wEy@3z$dkteG`bhP^<4kyB-xw8Up|RJF7E3acu<&|Lv6| zeO~RR0g{kVlRQ2How46ZpYcVs93)0o27Ly5ADL);T7jZrcK)QI-HE1ZJ~?deM0sH4 zv!ipfwPxEeY_@Ur`Dis<(l7~pw3^t!VAm8#=^xzEgrhy}H3FI3Ydo?8SWO@woon{J zyTcde1`yki$ZvCI+kS-x3br)+Ufr1;pHy>J2L=BbyA1%gABo~G0ZOjH_cLsQ|9iAB z%8xv})CPQG>=T``E<+dmc986Yosy~nGImOABB>j1ox)F4=%nC3Z=DjGM0AR8B3Z+& zQ}FN6!m(4Lf}vABy2+$JiXJRSJ~<%iD!hjmK3dIv&PtQzCucEC{q+9?@=5dM@3mK5fYX0fCY5AJx5WJbz1 zeQ%gwR8t^Oh~Eg5B}Djj0`SLkZa|zYT7fV6;E#{rgFpVAxlfLL5dAC8@<;1&w#CLy ziN!EPPU{Vw5(_FnsX0c1pCPUUh(NI(w>zrY z4Evoki{cZ{9105jDOE7DNbd{jDYK~A{jsRRf2YhMeBq4@638qWAd!vy?~;*w(f8ud zgvj6Y)$BPc_H0<)PJcdD5WNi434dRIt9l-}?u%cqRd>6+gWp_)>`Iv+^sx3*^OrLd zr@iN)%vfEA-YP4IT|6#`~bi6!xq~8M}pY+7exL|8fe!h3395v6-|ECYPTH` z5=4%Vrebo9T=HtgpWdjnx*S!HtYtM%<8JAMzaRpB=k_M``5M) zQr-0k#oXwY8zT2TPjo`2oJq&>H?CrGgH$~SJ-iY6t=OaXG@Ca#*EP8?a8@==&*Vq% z85NyphdxNXe}C=WKaIw+vrgmfOWJlM5^NdvoGd@wgXbbI{iIE-?H}@#=xOB9OgpBQmhi; z;)cZ%55Z~^i?zqDoqHsWW&?*~K<>L+TenM#t0Q773St-QUxaqIEH=Lh zQV_WjBqMe;B8xq0q1{BE`>XmQ$==z0s>z5|p889#D^1G+niDHWd;tYJ?83*amxnzl zo;%0x$j$xdB20*0{?0;A7}pC$TjjO+l@`7v`BqjP@hhj@MA_b9m?Wyg8_`ouAnV-azqGZmz-x5T18@eNv z%at5S*E1tyrA%lydOC_v1V!9|IQG8kQLS45%SwfK5T z0j}F^1O2G>U9SdC0+ee3dYL6teMyLsrDT?pEV=xR8FGTC5ld_DPUyE{kJ{5ORSktEMlGaK4alml>+dP$DBo z#Rrdoeskuvrj-Tzs!;B-V0Q5N0_{ODNx|BB8a9tw=#S4*%BAZi-bo2cbAy^~Zt#q` zAtl91AGkO$w21U2H&75W*@Ch*$iJ}#ir>TxcL6bd`3zSMn_#(yN^|6z&NPfm8`VI7 zTJtVW5V@&h%HC<)rZ3JV32y#SBPF;kSespf7h+~GC3qDZ_r+~1`U>HQ*o*-YUezxH zX>F02e~=+Q3K?E>%*z8AUPvw5Aj7S=FApLU5Bt#u#Og>w+-#bh6k;FDKM`Wp+jO!J z!$C_SN=88+h3GF#C6T$$c0kPfq!7D%4wDeOsc#Zud;}pjbH*egwwqD$5=d_7$|AEz zPcpK*8;nBS_1>{LA@1Q@#B8%Sz42#iM=d!Q*~x9$Bs!Sb7vlBahe<*z?}PI|pzyW& znj^1lxX;0y2ZDs9?GsxNN}YPub_@<8L1HK8Yx32`FvOm-THTQelU)!SDd?Q)iOEFv z6E5xOJCWPW+B|6s;=?ro2aU}X-hHR2>n*3B+^$?KX~$|gAAJ2=ofT88-0gipva4uePsRgi66tO4PHsB zO5IgyyhU?3-o!7yZh5=~7gzt;+ZQAh8m5Hw$R8)jo5ZY%v6IZt%KdY}%iZfqpG5HI z%`x$aj6Ix@$Vj%zGAz0A&35>k?}BVT+=@N^Ahm1vKpKx~-_2x7wbx ztfuNW+qhCSAP#OyJN<0I#_q#3JQ0)!k!GQSG>vuhvvF7k_fs}*x6439&NkYQ#qEam z>$&Q7MAM?zPuC}iR9v>(>@NCn?-*xYNmeRseGOZ zc?mSe8U-1HhJu7a=i~aM*UH~S0-?lxcS8f+buOBpJE!rIieDI&m*ndm;q_o_$21pQ z>}JxWiBBB2{T$fX(ed6t@eSvhAWgTPI8$?=T-&n_yPB)bV?u%;d2g?D3XG8`R&L`$ zkUftaaeUX@zE^ybcyPLj&kfUgbm<0TJErrSoF8`1VTbWKn8c^Px7j}KZY`0_VUup^ z;|`VgCHk~X(Y!=owX1P^u=?7S+p@K85+CgWJS4K&eCmfNg-X?oFS$X|SP;5ZMxTH4jPNeutle)z z)Vn}7i5BgXWJFzMq$M&E&f1hq+e-?G*D@Nz_$1hnF$s@=vTLm;n5Yj=wNQt6d76gj(g2`8GKMC*>YhQlf_uhGb+aL4_@#+XQddxy%7L1p$jru0a@=EJu*L6oci zU^0HEHsMv+HkHdF2L1FqmU~D4lOxYBc}toy59fFbCa}z5Nu}$oc&olK{GatiYbI%0 zx2HfRxu0L(A+jFB7>eZ18q>SDadF>unDW)spy$&yT&Tah2 zuZ3QGHiLP|yR25)sUMb+4>$p{@v}<9yZgw}7nF#7P6GnxupM=ZgtN@q{D~v=ezaJ| zn0^+$G**!!s)Icd0Wt%6fdxK{CWF`Hj77sqba5JlXPO& z)!6uM@SyB6K}e_LA4Q?64UfY2EFh}DbdJxnhTk4)*C|J7|dQh05(ZYt{%TzhcCggSg39AWy3 z>C$<-fH&pt+FGkO)t6AAFR`faJE>Vv`B#eR;q!7YQGSIn0){`7x0-?hZzXT?b05;g zrPn0*d_Bwvednx=gC-BUdd5ZR+P5CQ)<|(~J|>~EMz225DHT-z&qCGHIRD+gGeY@FM*HUEK;=bICA*J>bt~noRnycyc z6zgjN7ZBM|kC=MWX4+4S`IPL!*tgI`Yxc)K)_I1g0!%SO-NYHN`sTw^3`5Pr;un9B z@L*d=kb(M2)Y0=qe+0-Bq1Rmyz&3P4d5ahp#y2SPzll&husyTf5w$Glq1*Fp0kf}4 zgR*G?f@|v|32*z;$Oh=e9B!(+SYFL#t6#4ls~8sEd*kezg9qk3d5W_p&;&>;+(y5e z9ie}S7uWd#a8gX)B`USPlr#ymamEkCcM0^XWr@Qrn}KORhjNJLHc(CqZ0 zrRxN%ukoE(?DaXvR|)>qxe-^n7-;?nJoetsqm)B-yEhV?*EI$ks`AC^*A{nCHC)jy zwsB8zmc0@-iW~C~ySBS&pj!Ec2v`W`lXb9q?GM>b>#p=W-GPtnJGCyjP2sU_ z{d;#yt@|I@o1$@yKJN>xcTsgMyoS1ZCWc;0RNb2?Xd{m|afL4LfURe;>^2eHo;2Dk zZ{aKWFS>S>btM8nq!>8vl@<)2oQU$^Nj_>N8NPW)Z0ja@F6!VVs2vbGS$b^oAybngb{*VOsOXi~hx-z^ z_KTfTb^CMN-CjC~(!uTRt^C*xaW|WY$5~&Cf(1uqBe9LvjDzq^9n{PsO@q_I125L zP}2j}j9Z4+Tx-+!smEW;91;-PjkPSF?lIhJ_wl56CrSajv9yE%`i9qH-^M10{0h5S z61Ie)$$1>D!B`9K0F{+0{Dx z1uqv3=#RdQRBQ4eJiXTwk-e+dI9f5D1o91zS89fKXC6bv!FI-9*glSz zM9T$oxJ;H%6dJB0(4)WQ+r}C;yPkR|tC+(jYFErWBUfY-Db6i6xx0E!ps?oE@9zlB zTRf^GxxRj=U`@2Fi?lYFMSt%)peSP9q3vw&i>%Q%Lb%Q}jD$5946G)jS5q^oiv)O3 zTD`Tw#f1hfpw@ppJLnfLBQRTrMO_%dn!_w4jy7^QFps))>N%`y23g>T`q1;u=wZSQ zmSDcrB=rll(c%1RZ#MsanaYEFVZTH?m+Bw15PYeDiIITQ zTA5%BSwNW#|B~Cst90rj0_9|-Myxo*w$Q7MKmJnLL1DlDH#E{0KzrGewnP4M?Mh}w zogA2#r`}xr{kDQO`Mni|(0rGx=~eh{#ftr(0nR;Jj&zsz#c~meAJf;(YHx)JRq3Je zfi$(Izh`$n(`y76K~Z-}QU)2*zij5mu~iC09K4tCgr1iVJp8&28hQ}(*9g7BU%t!{ zd8kZX(XzgA)A0Y!o$$1WO}0}V+52gXBYsfpr|!u~))~8MUApgQ%5gP4<&grWX4M_H z+FPqz0c`3tssA}pn_|_Mwk-LxmSh+%P68#T9^y=l%k?m0dvHGwhJhzd(2hJqJNHDr z&G_c{q?t{ba9Xg^hNa_*$<0nOFpLbJc91cuWf<3{gZ443EE^eWW_}c(w@tmctl+(` z(E8%7etJa&KEw8%% z%`hD3Kv7BjX+-VrH=ZzO@uC~W=2Fx~7=eymEaTgnW^ED^ClLPIw9qA)fv;Jp|IN6e zv0TfnT}KNXq5RSLca(US^ZYkn{^adWNSuYv1lOuGaP$~ORjdGEW=GPeN0rXEx2tA>^HqqWdY z0nFg1m>!dzv(Kc9Sry&#+k(7}4FdlXXB26!RYOy;eZN<#27hMo{)@710DOfJJ$H;d zE!A(D){3x9Qb@fW2xqt2Q@9$n-#MC_LL~6GM6C`-?SUi4^bQxZI>Xw=Xpgw+mQb6m zb%8IV7v){{CDp1N<;656No_0$Ilv#kqjhI35~oNN3lU5kTDnkWrdAKdg^JYMX#a9) zImoSmA~Xpc4%>7A zm81wWQi(TND>{p`B#{gJ8fh}J{!Dd%XM!7GTA&Je`Z8`&hRO>J^q26Fqh*~~EU_U{ zB5Nm6u93tjS&dc@{KcZGIIegd!*KXcEswrn`s&U$*pK8NmW3kcL+kJ({=hc|$tyb| z8q#rt$;MyPwKs~V({x@u_rh#TrMkR64qxqJTmJr>wWOVxEzenua{1y^x z_eD|!zmnKzJj1hbJ+(HdbG^9#pu7lI=pke-o$*jY%J0z9tE6SkTy8O|%+9O z4DE$j8Ko)FxEp!iVZLFoi1S2U`FhVyk6*;KlJWVvRE!Q!Wo-^^Qk-ESdw|cv9zzlv za201WF)UFes~?Cx&~MO~?{c$~bLY2`LBoLFr3UEq5>TbLxN_;>Lyx{xea=R$1>M)*fm6@k-yHdzHP^@@d<@5g2>c zbkoaf>GXEUJbGuYT?D$r9u!iKF}uZtC+_enfGD+UI#}=W!3$$ zD{g*@oTN_Kdd72jo#Rscy&!9hR!H1Vii07pLbooCqFnkcukc^kuCAf}hI3<&Gc1z26}X)i;r2#| zpv{J>UViYSxVq?ZR%FX!k>;P5Vne-O;KsX2wM z>Ne{LkzM4Jf*B+42P@VA2dh`gjx3iNuQ!^;i1FSo2V)o0YxX%zkGQ%n^wr>Se^ODt z)~RK;nc}9Qjn@t8B>MQQ3VSRb%xGZ5-12DG>DmaF&M3|^Iaz2rBB9{|FIl5SUS=UT z^DdzvnT#>UGIWsgkiT;l)vzlk*^c6Sh3P7DY`Z>H7F{RhSL~7d4D74?(E<(QQ*-M= z1X&AvL^U%K8z^BIv|#vFi&IKic6=f^(LQ%|<+k2hDZQmNhBXQIDVywQn>*8+Usd1KBm|!L zihb^>-hkKl?P!S&@-^fs>mhHg;|`nUz(oFMW~BmCg=TKy8tBjj(Bt=xM|pVP=FE*# zYq*-d=P||7vk0!cyYw6U-R)u55U%-nB)&5YMD2(CdMB;S;fU=i0JJe!>-zI3Lgukz z6ht3QmMz~$(K5tv1mXo7>7$Y8;%!mK#8#JuZ{X@C!H+#n@mYCOr(Kjz!XX6AphHdb zObrtmP}fxxQ-y>xxNHROG65i35EG1*Iw&8W*tCml`|v5esV`Gre)l=SD_)rHK159N zW$iQ^peL9m8PdXTz3t^xl@%+PeQZbmGpF$E!=3r|YJtapMt#`vdA>F#9@#?$Tk5)5 ze8AWmj`;jE#e{g-b7mwF-U=)i7ztlKlY}V5c zPn&T0D#hVt=!~C;3H0SM<_aMVAQ4ZK z{YaM-Jy!flVIt00=ebh%2Be7;C;AfM-<(e8(Pm|pcy1h1m9wi>do?Pfcaavnf;eB> zKUnZ4HpuwZw2nV#e0HKo3C)fW*^xG2RvklNdrDe6DJy6n>W(qwEhfyp-ScEgPg=QN zjL@|EywV$?>M3&K4qjq3Ds<{EYFOXyU=@ZN)( zt3)U=wXj{9lmO4|N(xrGUQIb{M#)(nlD`*wy2}$XwKGNyB~$=S)DA3sefNnsUZndXSC(^(U~^?AC0khp$Kq!zgxkulDABon`Rst zN1>|CnLBpG=qS5jS5?t-iyK+4Ox&?K7(_ z(2X@_w3caG`c2k>Nm9-~gx0RB$4-#EOTx2P+ENKQYJ%`aI*-YU@45~*o-A@m*Jmua zVY^V-cCI%%w)?eo)*!O3xfGnkFE`jY(MrE^7pl`2k_7N-u`XvyWqT`>KD^S0xonki1BBUFLGO zP>d-xENWezF374raK|X)@zTY=(*S43$=19D&i2vXs{GQ zd;M+AolPjchvPs*l>S5b4t3TVQvwn~s~P;6Z1D;r1|FBsKJbU={raLVa64%XUV;q_ zlWCla$Mw8)&#LI@=eDVh;TO)8{9)I5=njAdnw-*R+e=LFa(yJHNUL}U{X;+#iY}HE z8qiR-ueuDchL%u1qOlWEX)H>;uZe?v#A0>l8^P>hnX2fmmfPJhrO>{u@Uq0fG}7g+ zK%}F+g6iJpm+XsJ>Um8Uz)EA`-&WZDIz7CYr8?hR6j!}v`c85`gwBS`UK%K2?6+Xx zcFHO9qc?GDbzTjf;-K+N%?_*8X3MnSUx+JEkZq}7mzT#RwW_M=o^&}>qZn5V8>Hy>--n}mPeuCDwkB2C_SZV*o*^o7m7~OHmDeS<%xovx|5}V#ncB=l zE(n1~1`Rt!r9wm2J`@(c`FBqg(2U%8$9m745^h1HYfoYGMhP7wr5A%m-{<~~19$3K z)G??xkWiB}Uy}@z!eMlU-PE@%dIL8i367azf)9Q%$7xevT>qM$S-HPHdd-+YRhaXm z>T9%evzH6ulm*`M&E(U8q|dE$!mi0<;)=(C7r--q>O$)e7Pjp1Q83J+F zo>UG*j86i6kQK|_TDOk9-v7Cws<%qey{ijIC+BwiO9c+pS!K*kF#6-6}zaJ*t}3?@wdE1_=rEMU=U;)`gDH=&dI9Lw|xpiHwhfM%^E zy&8RumfQw?(|6uZ)8)-t-)Vc{THogaD32Cgr3Z!3muk#D+B5`xq}+O;wtq;}z z)ia%we9?IF@?oq!Y`@}G6S-b*rLy`=C5|3l&FA#G-#SxcLgS|LO1R%fMMIRcq%OX`sHSs z;DtIhF)4k^OmQv3+31MnYKj8mJ>2D1xGfo0O4IwmLD0LSU&eVgpkKy&d12T(bT_|~ zH1ldzaE@KEKAT^w;4CTB++%<>bvSk;qk=j|EWCbfIo{Ir*JI3)s?%0i}f{&QO%^S z0?I(JLXv`^BHjd_VLhr1eqs7BmR86Q7nZy_;gud}4LVyU9#=~W0&2`ssjGiqz-#7w zoO~hTRNy|>Z~ROpdt|hOY%B=BmZ%v_pQZO%%O11YJa8Ec(VX47A_CdmV)m>GnczgZNm4H|rNq zB{ets!At#+n7V9L+hE09qKisX+ z5Fsy@IB;hQ0TlVg2<0Yls!(D`=cog&o$Z%4=tS&?SAG#Mt`ksR=Gw`X&kKYi(E%}6 znrupQXt$KGxQG3gS5x!*@}LJ$Mw!U;rU{MnJBq6-3k@;@qKZG^%{)R!A)ohEUt7fR z<$L>_bHOhTg^atu?Pinj(nGX*$0hL+X?V`N*or=^rK~9_`yOfaEjsqJ;#nl>88q(} z;UnBq^*k!~$ZEE88~q=7cucyMc%0-sjGj{-%Mie9wXjY@xA3~pPv7%@kS#iZ(*IMC z)|eJZ>}O&TKIf-YkS0KCO7l%K?<_GW_MGJ7mYHy4?IfiRwf~6wcipk{am`w4r2XUf zKLzp52+g5(u@&)e48lNi4-PQQDt+tq+He;?TA~gfkbtz@B*K3vKFl05b>KBR45`xF5!Pu=w`cJgomqrL;^_{}iQs_glj7dJ}mP%D1wQbF=$+9i$LUqSe$uHBs} zDv;+?b|5hO3A{p|rsc2v@(8!GQRLOl!d3Gx<3|1c|? zY_vXMoyRq4P9YOa@De8s!7D4ZiyEz#1%Rj&za+-fw(&SDF{@%unm7U9D1%kLBt~(e z+Z`9;<{;cLC*i-Dj<}=401qMxR=?)qw9VRa{>4D^5m}<~WBnzj5V~{T8=e8%<9T>+ zvo@RLi0l;nnrF-QxUl3Exb?3*&~ikN;#?@r^sIG5vnTP1>bynsk4Fz-G|*C+1>NW; zxo*Qtr>rg896K_m`L}Z4&?LP5n`jLZ(S(0z9+C`28u9x;s|d!)Uf7(FG20NtVbWX1 z7XYEY=`-fvSfJR~MR7R@O~~YO4qS!IF^4e{2Qpc51`oB?WKmE)fI(vEZR}{%OJ0qv zhWHl6 zL&!InFP!Z5hmLe6xo#khybg?-pvo<>Rwz#1tU`JtO zL0tw()uvS!FP&mQ5!C=hTs6Q91q9;UV9xgaM<7alL{aMVX*`F%`@+rFg@A< z!aUvDdRbC2P&@)v_W7lQvWL=F!bk?Nu%;w#Ub7SC)N-Ffok-Z7ArV*@>DEsyl4Jhn zO39g2*k+eW7=sifOsOzQ7zGec7$;*;NW>DQj}dHgaMlBgxs-vrC5!jOO*G!GpoQq|eI(u^?im z@ntWUJkzsACr%aqk_=DygIUlmg#B0|CId;jUwsd!Wo=l`v*{>5&qt2q=4GQ_D07ca z$a>H~hamnmPza*xQ~?vP9!;yu{zF8S{ViLfKj2Iu(H-C>`rl3V%6$b_)ttv7nl_x{ zzxZc2T+=)nm+82+e~9z#$us?qG7QbJua+8ZZgswkB`Jk((7%x>uRBB7Uk>YifWJAv zC%NH1;)34d?dYBM;W&OaK(vnzCy?b%z%?ZBdy&x;$=q)m^Ko}6q95_8^s0i(ZZ7Yx zu|ap6o+IuAuPmljd9TdxpR(znBEpBT;y|;N695~r9m52W&6e26?@*$!AE>wsU^5CH z&uw6wZ)c_WCYWqTC<=a#OB-rk;+gSGuX%7kgN2}M#55wFCfFyF%dUY%oh6_VfS?1WkQbPZ zJ%)g8HZP8VPL1QaLsW*x6Dy(vNaneZm6R&=+$?I{gOzPZmc^GuqR}C09V~T8b&VtB zp~QwaN|glRY;>p?qMIy`4-}fzgq|UXsFL_8jYV2&8Qm&J#VL$M(#|`|5dVQ)Ud2IT zpM8Ykn_T`t>>G=ChSl@vI3h3k=w>C&XW<`z+6^WyU&nsEZu<;h$;cV(w)XW_XTtQ3W$0o5kKv;+gM`kS<3)!iJL zpPa5I@X?t{e2b8Tai%+) zN?c^1Ca;!LfuuGm@B(nAp}GE5^=_Cb33qBUMmcKFU6Cz6k)Cd4praHe6`~{;Z4Ifn zRBr~Ki(oFF9^mzqATo_B$SFFbAs%2hHRHSl%aC5w(!c}2^e)+&5w;bo9IF3ztsVu~ zq!@il{2hn7RnRvcCGwMfh3gSyWtQ}?yLAWdczgg3u%NIF+NpKX7j)56BZsh(97LWw zOd>rA&!4TQ;y(8Wvn_wINT7Y}NCBZ!;=LID`IPvTNhc-*l$CgltY$BTD zx^V0b{Om|}GI)}>!^(0Ir@)#ZTzQb!GhI-XKP>(JcSq5GZ#b^=tH37pH({W3>=A&h zDB%cDn(5`E^6GleZR>k1<+o(%7*d`_`-)}1il55gjwL)k5r*BKO}lJ-4KLA@SHvjR%?V`D=&P55a!4oH;^{&6xtAiE+GqkfLL%;E`* zsieQ*X43E<c;wPPBTgx5RAG6}ZLK?Va?6tlRr~ zO5Xc`?ntsrgl)A_m)*yaw5q@~fF(hS(uDVA$O4U)ax!I#elhOp0Z7jK+x2_F%edd$ zFNq0!E<-8c@Avz6ukGDfxN7 zxlUh3saxqAqmWx04Hu}g5f^M2>73f9;n3pcn?k49?Hm&NH3e4771{z?q>zg{&Y_Qt zm6`jbxLGNoGGjA9pHrDm#^}O5JWNf0V~0@JT6ZuJ!*?w9&Rd`iS(u4tuT4#C&Pv zyww>96wQ6MgmMPo6=6q|dQi34ghIwIb76lrUUmWc@Ar?fhRY_t{WI;QVfTx|Y3f7m50 zvx^guIj?@|BqCs1Uxkn@F5%yrThV@HzYffgXMq>Bp$dYpk zOa=Y>mLvZ{Ix1X}IvJ|&-H4@2%>@CIFC|TMl6SC|9{%DZ2Ll|Mamocm60g-#Vu`7R z9*-d(1(*LAISiIL(Nw0w{8h+IH~YCx2c7JYnPf_AN{U{Ljfx(KgUc= zo(dREOt#_70VXo3;o(-)Qgg8lf!LZHWrT&#N;G$&yZv1BszW4qyU?tG9FzlV@(%W* zB@Rm$w~36@)D{Haj%ueRztj))1J5{i!}#wD$m^dMHA^e)^|;+Is1Zy=FZ0Op^QZX) zFgaT1)=4=X`iaj+n*`zvwcmW$)kM$Su+{l+eeWsP8n`J!Bk3mJ7O5MLPhC;UT`v_i zyhnCE)Mh@;pQic8WJ2emy`eh9VUsLQ9LC1dG7e2u)~V`w%-FD){ESKd@5Jh#CN}k2 zADasdU{I`oC`VAN7Ez`bpHlE|G+QMT@@W;K=suxEGr>=o2vU^vQo0*@K-8MjJ9lGyBf%cYDp9Hkzrxa@Q1%OCc!5CLc{} zfVLV<`hAOAvUflZfEXP-#7|YRFo=87#Y9S8ad=Za>`O3?xHtuOR$CnKi+%#J_2VR9 zeaOz7V@*~`7d6?&`^lsgq70#;z+w#b@#n+l2i%?)8=HCTH9i_yTOWWdRT&}K14wc7*TIJ8+}C7u(T1GPCLC6hy)cPu}K zazh7O14yGz--)&NruZnSy0tuSJ_EOXIoeyaVomciuR67k?Xk z)19h!X`=W@ZIjfj>-$Qc*10ApMO2S?7(Ons@O3EBozmD|)`ZAsHF#3XN2@=I$}lsR zj6Ry5DQAqo$Vz%KZ&-WZRw!krtdtZz2ts_DEFTK(g`x_$nZp@%1FWy&zzMT{$oq6s zV=Br>$#4;X#x)LksWP>cOh2MR8+E|yex9-21&H`LsDW5ydE_kZ{6RKoeZp&0Y%s+8 z?l6iY_pd0bxCahi+lSs1evxATmqF^z$tEG*H&-{do2am!TixRZ^JLO!ff;#;TlKp} zC4I+tm#s=$@n&C_EWXbFUYNc$M4)xs{B&1fFmG3tx?9yZH874_uF`UyK%<66JF;X! z7^;_|r*;U=cPS0LxO=G`>1}+gOJN!ur8(w23%X3lK0f5c-Mvocmz}e-tNZ?3Roie( zrdP-HVee01t)k{*bkx@eji)m>n4C7ivv;W5R zkXWtj6nPtV{LnWF5i8YYjSS-Efce^9wjO0* zr*b_)8P!|1AAjVkHLo)An$|nNZa&W6y~}k=GlhO;K>7yD$ZSQ`e$p3S6wbW7Gc`&? zoP3>fp%YyjYM5|II*z+6`ER=@-LFDUc2d1-QCpZIZlaQFjKLXDVLTtI9XqJBoFzyY zOVBTNp7*#tp0nSsV&R+X-6*s5?l|VDul6T>>zC_+bX<1w6={#;VX;q|YLcfdq1L2U z@8Vk?h<|pVbv?=R5L)YKdD>owH^u2D_Fx}8-I+4PyIl7`3B985Kquz#ByFRq_l}f57Fx} z^r^YwQ0sw;8Zz-68W|#r2iVq}v&m~;wQ&*}ZZzzF8a3`z6z7U|{D#f-n49=m-V}|; z?g@Xmu?MDHd8re{Hh9S6pu&M=r9=E*;p}O#@IQ#yj;VVk&aG)@!jJ&@J2+qv!y2ta z>t~S*ua-`gXYZ{JWlEk#F3 zjXc=OJi=VBEYRz{bYt?UqDt9n-lEnEn8_}~^xH}2*>~qDP+!Hsl$h=7FpcTLf(N%4 zAS0p_ExERE#X;}b+3i(m;w@pb$3yQ}j_B;UHd3`Q>&Ez)qMuor8}1s4uX(Wu{QkZdf)kkj3sil3v>2gxL@gNVEpx{k zmTQXczz~wKc7eXU%}zi5XYoRzZJFk;H00BK-@#ll0a=g=sfKMRUWLlsGuM#$&4L71 z*~ru@yKHs;UDUDHS5p}B*k!l@>&K*J37e?AsuD91`}u=aj=$Ah znt~=Pzr)gD4eAMcNp~`I1P7!~G?e9%i;wHbl`3#O3az+es0JiF+UPoXe zG~_&+7oSJJsxa=Rq+a}t=_J|?!s!2RN4kzKQy=hoAbYv0rBe1}n3TnJi&zo&8otJk zN{ta*+{_pAxYgrjH;dGK>AM1P-{gzZ-&Ur>wND{%*s)zIudvzO3}GZY(a8wxyrs%8 zB)Vdkku$IlFH=CAJ&zkI<>bLhJpJ6yyW#^>}#7Wwg0OA+LkK zPJ5@kf2ukK1Ans#@xwegf!_soYnB*1_T`WaGcp1EQ3)y(Teo7-kI$pnuC5ZqWH?9$ zN!EObein$!`(Ra;XqOcHfnGx-vB8wBJCbRq9oC@QGml}$*Q)HxaJ}5c)?_*{A2Pnx zo?-nY&*6uc zkOUAjvH7p=R3nZ5TjuUwXM8tWCF0Fz!rUbmVWLB=wtwNYN!>X9hu>8eG(ytta^G^j z7QrNyAKG|_my07LI$#m-tvxVg9BU@^oBhsYX^O|FDKg`|^TquT|B(AbgSH)O%vu0_ z_S-X3KdG7>-=;=iuykqwOOp3R@26k6(%SNb2-XjyhTlGmLet$mzPXW_r!wX?To}1g zMZY$=pSt;*k?iY+93g(gs9L0IVYCwfY++UMoIQ=JKNIA0_n*{IHjHiyKaN{)Q}%Ii z5@wsy2XA?@1xeOf1$%H7nmXZcc=2(1_{_(RYrL_R-$|&8Rmjp0vM!vL+clO_7$un> zS@O6Im0@bYlh}xL;0cP4Hyv&;s*bU$7bei^>4US2;fUQsZZy+hR9I0?*19OGJM7Zt zekAOnepAAwn7wEmVEdJQV-D+GWc`_94|Ki9&YdcHTuae~UtD{?N9m!Lo3VwZfmV4i zX~$^2sKIKq+Ceq%xTiJVPD`(?|M9WlHj(QDLy6Hh#KWX_)5`LR08=W-|VbuS=7A3-~; z(9zxid*K5%R0W=dFro9vuu;j9*@;(DP1>sSRcZD;aR_s=pG8U$=(9n8g{PwLd+7{IY;q|0~~Sj@9`#{WovOey+A8|9lzsdpe&dVquimlkib4AIC;U5@VHY@t9oJ^(^# z3@ma+aYr_G#g6W#zq@-e)r-x4lu!0jtQZp*oA~%s>pG?PzggQ%|YB} za(xlQAJ0;dWvq3!*oLs#64{pJn-elqk%|<4x;Z6kqF=xSOxTwjI6ob9F(($70Cc+B zu}aikk7`--`#^w^PzHX~4kiPN40$yOB|9KjRH%1l5sYy9ky0r%(%xpz?@V9?#e0@g z*7rCJq!x9mH)MLO>iY%VD9A)xrh}E)H~ZADC91{Dkwz8HI>Dx1O)N^v zQ6WdmQBjTHw&sZEE=>*RE^Py6=(u2)MFABunt)_g<^O0WZ05Puk>{f&C63EVcq_)L z!+$HdOOMn1S#fZ{%p8=?%6L3XAh07hEC7O6R|DV(4s>YpA?4WV5hCZH%+I{UZv&Gy z0zK~8*ho2G@9cY0D7bARJhhdWbQQEp-dIdUc(Z;OjB@?XY^}hGVXbhVV6EUNOv;(D zM#h5)kHr^A93fIJb{lMbz6(h`?ol>9ZcGnw z;ITMTz5*rM3Y{`io&`5-V6+fJDGGJ7f@7pfDJcZIE>s)FVuS<@n4nlTDZNsx*I8v5 zGD5%yo4fgpkarnqGNFrrEB$?CSn34EFn(tYH*Af~2$}K>W#oj6{)o7`h>rfxSXNtM zBULUTqv{R9A^c(N=Kq;xOu4>ED3p(5joA!7xQ!!(5De4KqI$7* zt1rCECllE5f76z_9X_j|7*igdmNBb`fv zczf1X^dAKtOYRYZnTGHemfS5PBoh~K8YtlX3+54zI2!1VflyAoKT3rDr5VaSI=B%Y zE$C=cs3f4$N%>zS+g6+44V8AB-*B4Re_`$SIYWbbHMmjd3J6vx*Ao0tO&0jh0CBF6 zi>6u??-VdZuq!-5P~6CLbe%|p4}dQliWz?rH|`-VrX40YkK;L4xS0YSq(-C2cfW6y z-ir3F-HN`FR($8e7=tq#!HAlsg)8;{*`zRh)e!=v7t1Cm`cfiuZvK1&!3BDHCr8$vH>24(CVCJIJJ!;ZOJ&ZS{KdZeg8DA* zF>z1XEjrS|tU*hQh-cl&OMo7*RHDHzjYYnIKK@!MF6O|A)$oS1?R z+QWy>@jEu()u}fe?Z0QWtO>)$Xqpgg&L7>T$W%F>M7VE?!w7RP>0HvjU&4-U##Ytm z_Uy#7@vw)JY1NI`iFja+#{dAsW~#A1=&7IlTA}f_n1ie^S0jOrOHcDN!enMnMx1=Z z_15~>RJr0ze4o!UQfZ{`u1R%mQ6pN7?qBUgUOLrw@KnP zf(*VyI`9Tku*t9`r3XNrwvX8qsUc>2v{Ws9Gaz19Qi56+OO@AcPdb?q#ZdmTM7+V4+#cD$I=>JVsl>3*LaT-PHpiv()&dh;OwYd0USRvFpgo#Op=w6Z5Z12XFQ}0dX^TpP_We4# zmWP&nalY87d2rF;*goS=S+1mDt!bh70o&c9x7`s{Po`G>2rIlJ)(8U<44w9sPY)!*cpn9-g0Tee>k zhsvurdK2JRWec1LE5??_?_7VBg?hQ)&pOWNbBB(*v0p^BxY5u32K^RALkK=+z&F)8 zf+p1zU(_+?T3$J>F5@SM^RZ&gvXA``?yWB9*mNG=s6U%eh@XnLkU-o>_Etj#b1e%iyonkP>#S(EDO+aX)1Y=1VEPzjOpv2)ASp2iKCGM(B2V2$D za6NJRsB-hgqClC&9%H(esY(?Mm8V@4_0${Hf2Ol;&~q6a5#0m%0AhvCekTv{L8ikJZ!hnC0Ysdln?Dxc+f2JbWL2_Biz7o=?~e;Q{FQ z1V+U=+t#PgX}kbD=jo_YO4-f=3GA;>Uy)7nEMfX=@`Y`th#*auOz*o+sOgtKJ>xIN z{56>3cV1Et6uOp{73#mGh)Z>;C@&cG+n>2aUKI*$BAwfWtW_d(SHpgykM?1yE%O|E zSE%%8bGr-_DJ~sbkZViTK*4_+uyxmkDYx0q0P6SWQ#IU_(g+&^qi1EM>=A-P#(&2t z%X`yDCGR`!Dol|#vQzdPhrU60zb2qxK9=^M2r8l!I&cT>68~Cg*gfX9Je=8r~;JRo{ z)4kR9)N%g5KHm5iUg-|Wjy=b_woC1$rw1I2cO|DAz2_kdPisq;y0OpSD6TNR^OnU8PoUeImbRS4eMX`C37ZTLr2i#Q1RzLAw$QP^Q3wKdl-*kx9Y75~weC1cTC^#-J*cn^(_ zxf-VAi?{&-Y5|f*jX7nkA)w&~*BNL$_DZAvS36MTe=sI%!TN9ZAf-su0F?j5lHPpe z4^k4Y7pzi+RpgYd$3x>6a)v4I@)6K@;5amXD?bw-t0afjq8u83MKl4VgEHz>QZ(G5 zhP&uc>5EhgR{dfu<*VY}2>~eu$RzOK({fjFyOrjY^+ZDBQH~)kL-_0i)C=Gk4H9FLF1k1qB`C zusc1TXRZmzj5`j9ClnZ@V5+33EF)b;7zkw!Qx-GPIWwYYs}R!D)OATd>l-cA zD}6>OoPoz*g*=^ZffvY_O6pG6AR{6Yxad0tt4yXMf?3WIc=8HS%(7w(Q|>;e)Xxw? zLE;JGdB(@M4Y}Ft0OLm}vObjam-Qsi#a#p{QB#^r@BKLXDbUJo>Dx!_7cUskgAYLQ z^6nMjlrjR<=Np$$uN);OSptt9WdhHkI11t1TWWnuAr#Z&D27Qhv@!yVktkUvll))s zJxaoDV4{Kedgoh4BaqT`1!{@nOrj3h;@s*Dq`~H`LM|$@t}zc&N<8U@wzHKNYR`{k zd7vo!PlB-WoU($K*@8>%Af;w7NC}AIg(q*Aa@e*c2RMq0dW-+j=h~7}_AEoIURf4# zi)y18ri>=c5UzItuJYJ^Y}rVlWI?73Y?cr-%ig6>>u2y&>QhFbM>@q^-x=zHlw!M} z@d`RqIxy}4?j@8!!IP_TvN1C_Ki5d~JaFq!ubKB;z5F!Uac!{#cP;J?p}2kYch0@{-230RSJo@fyJyclGkayN?Cf`@_3YjR7$z)N ze^v((k9q?_>nD$(?0xo;xi<7Yb5+kjbPMypSv*L*jD)<{dM-~G{bm4_P=0gF_DFU` zydHb**^|?*U4~i86Xr#Hguie+p0&ipYlZ&e%|)-b5G;k!Vd(X>axpF4?|w~Yav_tYw`G;cuJUT`KD~tCKH@Dfu7*Q z1^*^=QGHW^A*kWp)wAUun0Y?g^-);(=aXWlTRR<Zm;D zm2rz0KYXi)M8`3up|mbf=C_vCI7L{Sv2LY)fhCgJ`Re-0F3X#$5Q-IOY~f1R5NF6? z-H`^yAbYgKQ)d8ixicVBu@SQ+rT+Q{UA#`R)%`6jq zVxbQF+xXs7bD4o1+SBca-r8zG-B%Hql%bq>@OspP1?q^(xpIj(;Ah$$()IJz*dAYf z=9)Cwj8WUf9CtVwT+^0D;WH z?FPO1gPU51?wjRSyqKXs%dvBx6Uny+zxS8WPk_#ucs%hT@(Q;J{vMLM26#r0*+-JJ zs-6YaI)YxhoCq6aaB28wk3IADxMiW4hn+uXtjV$BBEhWJ*_v;BXxx21EYfW_9Z8xf?pMf(9 zY&zafr9Rb?0`N#Mt%gRC3f-2A!`@|zV*2gHE+HmZZ+XhutkfniTBkLLsb(j|S zrz1~`G!G1a4eAYZsX4e#X(&tWLP-3WZsGRzCuDx3&+W>3SHSK@i!OT$Gzx%iVTv$Lws&bX1W&Q8&$0a(ps; zB1YMo3LZ`NRG({(kLUP1?Q++@3Q3ONzKaSwcH4&P3WSBNqB79i%W{3`lug;#p0Pdf z<+YQ)9iSv@R5DBBt#htr(UvAASnmr_agiq`FXiuHjNti$fuAdcpKInH`Bvn0Z(X>i z+&ng~dp;CB&g3A(abHNmW_yBthZ!t`)yeXbRgzITv6h?=(j4X^d~1D>8OU1adA&q$ z9un&>+Tblc1@+7?I@ZQYD=xo-Xxu_mtvl9b+uUoPGsS}gZ!nS;dO14h^;-=xo>Sm- zx&01jiPZ+jJgSKrS&$$)c)W_xH*0QsB~KDURv2w!0FEA5CcDUdh_u|ZU?P6pHGehs zQ!*bLxntj)B<14peS1a_ftCN+!jUPS4|F4287@D7_+l|=urEJIkA|aDQVjmYm;qd} z&aT;2tr)!@yo~@0+L2 zI%t1vYJd8S$aUz4p)OrN_)m`XhmBV{I7knmi>a@fhGl0?yti7$=tZy zfpnY_s)3Dpe5P^tED^{z1UH@h&?oOXnIw~_UAf-3kpfUKGa*7*{<*im*W+6r!8Ebr zm7oX4+YM*!Ec4qv)jEv_@_THb=FD=3t`@ZllSOr-pb*KaS*Aar_qusOj|J0d?F!=C zM=KAz$>KiMj`F=u%dE$PV1wVki)9+uBKZA#PpbGqpLnqI7mW5W&qfC|P- zN(Rc0cf=?I^ahO|bVlOvRl0;`kR$cwRaFI%zsCCMEDlkb&B zC>_8iB7K)_&DgBMJ?zs4Ap-J!^u`ry?1fHcV&iKb~VDjba1<|p$5v>=aRwhAX zf(U}T{B9+4=)1Q1{)r-QWz;rCI$!0AwMwvQX8oBE^iv(3swY)V@e1!7y{x!EM-q?X za*8US_Qr2uAX$}^j{Lz5sh1hp1lgf%mezoO^FwdIA&;>$)ESL%FOm=|F=m4()8XJ^ z*(V^`n}b)Sd7qv2Qw&evHI(slVgGR2{zJlQjN`p-C-D{I^tNrZ`YAMO)wp>9dF3t2 zGBECkakGB)GW;GP2XAi=PxMxLBTF++N(KkrewT>BPmcE27;A;wu2fqebuu-?eXzHI zP1UNx=x~1CQnsgkHPG(kbHWam;AB4e6`##dn=)^eGne*__+N~#u&8dU@G5Bj|A4lM!sm);lhw{i z;RUhLA4N-43OazQkmGrKQO`icQL|a6;D?&Si)80cV1HrAwAQzs+QRPoUU=)Z zd-P7AOr4Am13JG$<3wD(MrX@BZQytn|L_bBDoY8sHaHUn121PN>h1D$k$0>EiOSUYmiOr*IAt)eL>qnY;;N>;rolT zXvp#_SNbD{QiqOu!guP@KEe)#Q^<#|IrdZU!~4LlIggFN1I2<5sk124-KXD8z7PBHxXaabsF>5VsNoo!YijxxF$6Dz^Z zV!A|Qk|kBb{h&5VTsY!9`|a*xLzzE?wO~ILN}6%dIz;G7Mjl>u5%IbRELm(;hk@G^|{AzfS2V(FpUA|uP>WmsP8G3Zr(UvEi?slN_&sTcTj zfGZ#^Lml?j>V3^Yw}OB_{^~CO`%xnI5&rXRUP(>wAV(ITIR6SJs6baa?4Qz)GK`BEuQJ==ZE9Tkn*~D8+EfCX`jLVbV)Y>b z%OiQHOSeVIX0^;yPHn}$hgf~InMOvw)LxE3k4=UK^(wi*qVt;0;X*k=A0;HJ_mVH) zzj$##+amrZG5?n^x?EDAU47blOgTeFpVYSmYjz)lqM_rFca`ZAgG$?L`>986r>neo z{za~oj@u8lOblA-K5-82_bpbC+FOs$r@7V9k>BpbJ$v!%P&?@qRQ4?O+b&oG4x=i# zdyn+PRHpeNlf+YW>f;y>Ch)ZU_t;4Ef9_h^$sbuV>2Co<#8w=w18lri0uJT3pBGC? zNE57j=d3ElJwduX;xnzcaoI`++nUj1qdQ5d`Vm%m>-r=aK&vgR2XRy||3`X9Iz|2I z*YKPdH;fE&4;F9 z>GR%&4)CmyK(C+$`5G5M6WIZ3nOHn}f5%Dd_PRK!Up)RzqEiRqmRXY@&4+BZyu;f` zqL|QY5_MVIlp>Hgb^F%RYZ*uChNF}0r#ob$t~HndaP9cq%^Gga3Ut3sOs$*i(B7O^ z6z?cW*C?BF!&z(;A7(QtmTB{g-;d4$x9x4x(L^k%&)Lt07SgMVQ{BX9`^Q~XOHK2L z6shqncQ#gWH~N2KPLCyEGIASaif|mpnqSX)xoZ*k(qZJ3=nvLAO4&4 zl(%dw_y@1agmxe>T(gQ5;P&a(rhRxB!QyXDH*}c$D+cYSmj@Opn;4NpEp!fUq&rJ1UP^c3FqHQ74XF|u3WQRg49)^Kx*Kl_>zK4I7SFlyL|O}zMCRu|c2WFT z6_{;sxzcQDppA{*v*e6mwNf>LS@?2hZeRfRATP-SNiP>D8oXAm=qD|cFqR*6QVNpWr#8Zw^(+g-yyy=>f|P4K zl~0gTg{D{bdRz>uMrTO>EZ+<85FwF2ZQm)$m!Kw}iq;duDAP}&r}DGrceir~FW%%3 z`OVhlGTqr)ue7nwoD6((huX(!zm{1iD!dP^(1X7Lm8U#fYpz!wm_bvAkqN=7nCTPU zU4|xd6KmXd%nWrNx{zo$+Zt2Idy-xw$(93i4D?+Xsw9t&&S}{59tPU`?(rbP(1jDS zcGZ5f!7t%McTPb^vZkSNm%LRnMEtWiD2`ego>@0Zlrf+0Z{u9a)?)3MBje!aVfeDI>AYtCfn-(^MT`tb*QD zEvP_TusMvi7eLQ|iJ*Cgl~Lxsev#n0qPNpFq^;-9+f!k9`0#WOim!EcMKGCX;E{X0 zG#l|=tU|F!Cx`STQ-)NK2ySL**?)NRqUz#v50AbMNr&}%fHkcyEZls;6*{$B``)o= z0rvDxw%vk&bGEEM!IiG_3t=21QM`U0+k%^*C1*{ub8(POSpoC)IDJ1P7%E17UP9~I zL=gy2RYU7c0iS*t#upPi-*UccwF(>$w^CTjzk> z%@43E{#}~n4&T{b7M6M-2A@GRXN@qe4-G|*tC}Yuv)A%tUQvoZK1-0+ zHn3u^=~pOGzmV5Z^Db^74+%z$q-&>HqZcjR_HIf?)FI@UxTB*5`E4EO7>2AWGoAes zBBYqV&0x#V^ri0Xa=zM0?^W1WzMbV3!;yCuYnyyynS)*j!g4PyRgOdN$4emr&RL=H z2z4#i8}9hMtIiy?B`k@ocvh*j^Oeq-u3(QnBaXH|9b;7pti`PjDadM*aPe_Rn6 zmn<+%7)J(iYHcsDTxmU$KJYDso$iXqN-7Of3eoFrir%Vk9G@Hxq~f8c z)B;{DE9UX^br~X_jrnI<5|Lw*`QBb2@$yOky5kPmSy=bDcav}|)o%9~>NudD?1fWg znk>GEkFG>sIoz*sdM(vnriJWrxqai(j}97MZ3pE&d=%tX|U zuZfQ?`-KtsEcdfD1Di2ZSUZ3+`MqVyM<%T=;sb3N7%PB>QuY#Ys=zeakAwUQ4*f#h z{I2^mM3JM9sn9BB7-rwCj-=pDz^(#G(6@^TlHq(0gVJxD#MROT^@Ga%4Y6e$A4jdP zhv)R~EpIFDAvUv(8f!dru7`3D^NrqgmX7=!Q*LdUex4igXCQgagfdm0nNPXQ&77ru zd>s}6da_h2J1$JM^leU`5Z~-)8L(NfWg4s7484Lha|F=eMcKO4BVH>vv)tYuOAuU# ziZcqN3JEkc%Xhq%;M|ROsIp4H8WG4B5^fy(l5MmVEiFB1v{)FHM6iXv*#w0}JTSung;(a>S}*}{Si z-f=oBq_>U~?`-8Q%ox46P7(^1DMW-$uqPsI78>K(b@jBi+uLZw{z>Srf(&~EeSl7v zX@(Pt?_$$n+PO6rdhGs=!V9bL7$X5RY~@MiT+`WJQYtCzS+9E+>WmEF+?(X3;R48=K0tlw|wBO`yROcL$0qj*ebZT;I{CU zrKcLPp;sIb=I~BFCP6okJ-BHEXVakTbxDdl85!r^2Q)JXcLCs}qz09T*6UM`1ug1O^hlQp*1iO2fgp$13)5)6w!bhNiTNsa59toL{d?)OD~R9 zgHgh#e1SqmGps=0XdOo}kj=TK=;CLVvK!yl$LX#c0TU7Xu|>o4W1%JRE>FA{bwlE} zJ=klOG*h--@qUMR3Ro0WVEv@{3hm;8ApowrUH(Y`<>_;3Jmr1APC3E-+Uh8SzkqsO z0rn&BN58E+N^lB4pUD?m;a|wQjlE&r+wk*^+5AzHmD$7=U03k@P2lR5N1hJ-w#d6>nobQvdLZ*W z8zEQp#z?TcDeF!Fo>^l@Yr5QGsMfI{e|{mZ-YtaGM9jsV(EUUHQR2DSt4&;8R0p1_ zUX`gYoh_XaF?wDWRa&Q3W5`scZozJ%|EK$L*)Q=y*UR)pxT9PU8kKsX{&NUbs+O3H z1M4UC50AeqHR=x)e+q$#B_d;PZi5#2U0$gEHnVM6S?pu;#9~2^(VmVEb>-8gy2!oB zQPuU7==Jx`G&GA{v6sp7n%}fGi``h|^OuW?pigMoK@p3uuo<2|@P7I+(){HRc9LKB z4j7-snudte(7YgYsnt3uR6KI( z8W@qyYJqTyc3IP*g_K6f$as8V&B(^nctt?((IRD(<{#WTg+PZxu>VO*Z|pTwR0Mtp zF(kyz&W2Q6FtHEGKw&|v??gWoKBx>q@+pG(n2#6(ckb1R=H2Y%<+#}S5o}rMZ&h2( z^wk!27gI*26HmsUH~#S5(EQOJgziP7Eppy!V-J$<`vuF=g)_Gp8=sCjr{8shR8`Yb zfBhO$7^KOnL{$44`8~ni#WS=`{B9mjsRK=@<&I~EzVrh*Zk5w0^?yhVCPMrB&qk}= z`4VtFFob@O>5?MF;C3QdSc|$}E5I)&J^_|puLSr|XUE)V(gg$Tex{qkTx4p)ND zdW}zCdj=?Z|MV@C0r#j}HWfWuFk)ZnL7vzn$-@Iy=;;UPp@ zs%=-L^-@Y5ws0SuLDNw!R~~>D1CP7tKs-5m-=B3u|I*mllZHkV$o84#x2?(G9o#Lu zd>euTfdfQ45_{y30f^D;4bs;l?<^*>8x3XKk&+RUkwW(Q5&ZtKMNOtciU?FWIe1To ztRRuTtIH)IGCbdKp;6|yj@H9C<~OuPIGB$o>n<;|zld^`K0J-G6te|X@F+VrO>JKp zHIc0Q`Pb)$-%ZH*pAT2@#@J|VkQNUYhQ@^z6)10#PbvB#Q0zW!-mkWn^P}-(2OB!S zyx&Xl*Z^7jh+t{83QGE)5`=l86h&C@8%Egn(SwFgCTB}6e?{K+O;X%{pS12!{YIlU zew4VHeK}ZuiAVsPJq7xj91gkMADKx2g>M%HF<P|@E(p?PcCWw=rUHQ-k3{)EfDV&6TnpkA@K>3BPNp)Kb5bfO3cVlYBkW!x z(weF^@%Lrh6Qfl?5XJC-*3IYshI2{|2a6?Owt?yVS-eI!ZVrE>_eXXKK@#2HT)Y7O z$FOUq5$bOZuly%EKDWWla+~nqlqGu^clq?jQlOi;Iw-MJwaLEZWx-DgR#`S!u`RZk zcCPPv+bgT|)Mm9|D;tW1YwvjVJ+3g_$>Ya@;qPP# zUjI4GN=}4>{|QBk0VlXt6m<M0|#>f)##i?$_!kc;v?$#AMi!j&wl(-uc{gU1SR^MBRYQ{wj0agDZ1tSaR-}|OX zSV?FsfUQl+MgEQ`p6-G=grgjd3dEK;h+|)Z zPWp;cKiV-?3`v}OdN1@abKAgZKDAjT_L?{N0gXFgBhSBx@+^`+dSFIs2NF2(exk)g zW4`?%+RM>(==E0a?FKk3^N7|bB|q5PPk=h+I~_Rn*wyeL*(a%V)R)#%c2jnb33sWT zr+YU=;4B-C6@|&5QzPSeA2sxDO3!rjUN?SnR?G3?Vqj6DWDz;54!AglzJ4(xs{5Wc zx?d%^9(P6+gYLuiKHUP`iDtQ}ET3!m5 zGvUEbnTE_Tang3sLp#IO*POTXkB@_&T5ptlBj}yJ7zRYlb1IUqhY>W-_m~VR5nuhl zj6A8N&CXu=WgXwWqBUTk-tOJ2G8Vkq$>0F3Rh=15j6%U*r|`tq0*zFcVd5n;1S>6n zIB6t_UeXcLYw7m)z83PjcrNa&DTdQJ#TTBlVP%4P92o`dK-fTnCA^_bJ;1Ep@S_{V zE7W8e-@@r-*(h0}TQdvRlF=MT=1NHeoA}C~WO;FjZQQ81zKOrmA7D{+#Kt@mQmd-5 zO~2D?^N_F4Ue{=4a-5W*eRt0Q0~>T>kU=1}=Z$rd3DLSqRCXi}GrM(KoC#)n>BABx zx?%vCeX7wwRjUF#*${cbdwyA6!Toq5dUa0?gT2u(R@Eu_a`~5JJP=#UeUrc#eK`}u z9F+Ze#g*|gU(bmZfy{8kGG7GwAFb z=SOB1D|Y9$PMb$f_0QaRr|$6<=yWcfvJ~im*>PDK<3EIAu``x66<$YE>Eog<+yoZ(k>{IBrPg!85(HT(1y z+R^U3vbnp0mtb;yC0OLIiBIdvw6u_si`Sn6~r|r4! z(9#zoGB){2AvWs}BHHCE6!_uCj@fc~vG2{6A&s_!0^Qol%FP{QZT|7^m9vFCIv;=w@b?S= z0Qh(Xg#`W!=lM4-D*E>kr<}LDg0{Q4yEWinz+cRq>-h%2DI*2o25?%MKOe=#|8{;p zK7al_{@ec^2f(T0<6;fq)G)WT{%1@LbC9)@`?DAqKe~JGO9k+i> zQ?|2mdp7+qW3B(T*5fb3{}_P(KL(I_4urci2*7M;6K#=%YGSicXLN)+kXxH92&QO z2jqWbyg}AB=+EIme~!h!8-V}qTi&+-8^FIXK0Y3yXV3g?0H^=PxVWFa_rI{`8}4WK z{4b1;S4dFs|6+Vxg3lB4fA{0#ef#XZ|AX-fKF@>y!rVdTc8=Dde}bcJ=WG3J^55WV rIXk-p{u2M!EK_u{aR&Si!#{C#b2kUM|HF`v@0k)hBcq(UJo^6w>q(+Y literal 0 HcmV?d00001 diff --git a/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.png b/library/Buildingblocks/555-BuildingBlocks_RevB/555-TwoPointRegulator-BuildingBlock_RevB/555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011.png new file mode 100644 index 0000000000000000000000000000000000000000..9f95d4bf71bb502e79371d858ae296bf9a9cb464 GIT binary patch literal 52713 zcmd42byQUC8#an!03sluQUW902uMmeB3&Y#N=OdP&>#YWqzox3B@If~5CYO5okMqb z$9abL{e9oo4v<$nTk9qt5S>OvTepfLuzY{gq$=g7&PtS; zqqM~b%F5K%FAm`9f32Qx**UC+=mU={k%(d6t zwqRb{4Mle;-yJffo#J~*32(jV@WmFl{)`7vy(`@(4 z>+)r#s3VAmiVXaC*%gQ$OA<5uSnF4;=7ZtrQy%17H!m(C+P>@@9hK*4yzlI!4UFhL z->iN%IvTw*_hr@ycYVqlRrz+7DkkFZ<(8MW(ybsLlN)0a&sU{j+5M^=F)31owmBG7os~|_5x;aS;V&x>1)L~ zb+F|YR!YEl6m+U0#vEFJ0Zsjq)WOk5ZB6Q9KGoW%tWUf(MHC zM$1g2cuf>0yZBfJ%v0-Im8F$0VddD6a}STzSdyC!twkGSgO#qO7sWj_YAj~&Xw{qB zWuny9%q_;9hjr7v!w2_=wBt8x&yvl|#yxk5`U3O@z*jo$b(#BkQxIU!zq3K*m$#?< z6~GsfA|ug^ww<#IH})_$->cq2+>uafoVuFS*Vn&jA}VWduZ<(S*??7LIbOB~E3+;w z-SFIh1HRBQ_lAu!)blEi+&5a;C_3MOwl4X2ZhtY(Pn~phiwH!LeEcW9LJ>MY@DACO zv*FfTMQljmBM$nI<&3Y!C;OrngMAsbwY9JCqD1K`-IY`R*` zONm;=-+*Vk<4JCC_e8R3LWxOiJ=~5CEyp6khKqamuXnVZmaD7gSI&GssfLHNu6_BQ z4@-E6LGzo-DuJH8+oYW6wuH{=`luaa(^$X*h7LRMIxjHb)>s99M}=)(2!EHvp~h9X z-uNw(+vqqYxKRqb6?WjIK_N|u{{Jai>3#I`KN;u0#VW@CsuKdxcKz>Y*tK2%q~rg# zI3LIEpwIZh4zF^-p)Xass&2+Z`9 z84rc8&PVmewWhrEv3hIV1z2u@BOe21*Vc%jJjk6t;8@(Gr;x|y1ZE-fk081xQ>T{X zX@qlGsP+7izx4JlE-$++oHTSaDO)}Lse3S2SXemkx|BbaMA`R%Lh)yQe&Aw(!^9e9 zaW-7%@Tfz~Fb%?%zjH9G$%eK=JmC8{su~v0>dOmBS?S`FJZ#RA+^#%3+T!q-_MWZY zvU1r%9$uY^zS1INxr;VWiqmFVtlecP@vKi4T+>mHu#=H~r<4_p;XR zeio6_<+=}@$JpK&_3wY7-8`5i*;)+ineFV+VvpBLtZ!l%*WviIW3fx|Glw(sVr__x zjsSz76^;OoNki>kwXwM9IMWZidvi1Ut(O?3xiZ(ZIk(e0^4qx;d0noh%IV zW8>^sS`(qLmQ4pZ4g`(x{kY}e3y0vJxW!(+F)}c z0~&xpCx3tWsh zX2afII!iV@$!o(%?fw%Ic67M;M9YC6&wVdmk>DP{<~Ah2N6KMtRW;|;4d2x>gC0Uj zLfUZr><(6w)m2!>e$5F$nrc0^x?*=eHhleQx1g(FVj?HEI#DURafqp1ewm$?#wCob zsdPad3>PXficC3M6b}Mac=^&lO&Y5gr3>RS_L?IP!-C`ujosro*VP`6mXE(AD1iBI z`=7g=9gdOfi~4OnqrUsdT5tW{sV_kg9|x}~uK8<+!jl=N`TMSZ1mNn%zw?^bE^egq z)78A(@*{mrFWV-50}Jv){tN=asDEa_&{muXP2nVq$j!M-iWf3jZf}d&%FcFSVS_=P ze`T8VFeIPX{Mw1Q$9^m?Cui>EwZ>AYGd5W(pQ6M}%nWI2`ZA?kdp=Zmc|h)2ch;f! zfG7fy>?M6{XS{G_HF=&swN*8b5KS5?XJYAIhZNCE`U!^$TT~ArKtko$vxNCDve%xT zEMj)6q zvJB;G4~O)c!~-^V+2eR0SkJgoXyXWJsVCtFR{-{Tos#*bDN9;bm%Z_g-o zJ%>}}gM0}T6+KSJE2l&-e=K4RPTSf2zx$KNj=fq;*q?UM@~d+&kQGvu+Ob zV^>$S-HCz*z{ZnSHuB$OJM?E>X<&gA=|Sb`oD5CrY>#924G5!R^QG{t2WVZZ_WV(l zj1WlD5{5+t1{bHs2qQ|@KaOkBswxvM?Nf6bx62t;iTnumiWief-&Q}rQVTK?h?sov zu{e5Ih?Dd0OFVQQ=w&1h?BMXSGW}I?oRiW4vdujR51GfY2F+dT!t+JGE6(!=A37_% zjtykm1@@NeI7?z9RbDt$W|>}Y(}+BNq_?@l|Jgb+H%r60TY%4UZJSx)IWv^ZzEhxwVsWpP0?J3B& zgm~BW0OLVOK4`$-(bCaBYHl_Ln@@3D8#LkdQp(vpt{yKyj(GF)I*)%$N?IKod+4vD zsl>@4P#I~1F->3z!+aXa+Eg|*3)+zNuLyBK$_n z_n+H#VJ$N|BS-k_kDnby{rqsPuG1rF{$zq$Z!mkHDULDI6PZKcl^q?atNE@Ll7R&(92{ zXqZ_=_>0IT!{|X#wZXBif>A^1WD(N27lXdoccKD$QlSXi6_p%)`B>^_Txbu#?7qXI ztTGj%h(A7#?}jE<3A@86e}ysbS{qX?U5&T zH%@-fl3>q)WMbJVxVwKDbstv`mZJw}+SD9df~~w+RFjvJ<6^@p%D(xN@2d(S(`#a+ zR#z)Ln3!)BEzPS(PdiL&a({m1AtAjca{~Cw3-tBpZ1~-4LxhFX8_;Ku1}D;b zV>!wzD5Ui>-3w>^WunUyB8L_Jy+0hlA%KQWYGsRy+*7`CkhmKiZMLvJy7?tK{Nz=N zWKK>y5g``vb+A_46YWDon3$N|V(-kA3C+ZZTbT)o06w`srXNVlI@2o7u zfm@G=ze!cO5zU} z1DBcoTLB^Fd-@Z3F*-IjzPpE+LV)(ANh_;bVNrehdthN@09*=CD(p$xxaU_Qvw$e# z>I*0c7go}e0k#0llyLjW_g~N4-|MOm6TSUGfc1~>V}u)X5-i(QKMp1voPqlnVpr&( zKrTkrYiTn1Dy=%a8m3iDF5o2zu(~BVv;y~9tB~M@#f_QL3SRGeYTrajiCo$nEL&AL z{5TGPdvbj*Vf)FNZ38)l(67eHC`vs`-Abwn+sqozjm#_!@xu}i_G!F29Bd%?jo7o(x86=(I%QR zOQnvP17Dx|z64lI(3U^#9!pB{76W*ZO~6G>2FIK8A5SLGyyS7rkx6mRF6e%O^;Lp6 zWc~F&AF0=zT`aZ8*V}6H^>ve#k)jEdP$PJJX)|^=O8`mw+i9>YNh2{w*0Nv*s*pD zc-N7(c9#}x_Gd9SRhqTe=?XHQk0Uqd)a@0fbP{i_prBe=nU;d7k>Unwd~N6WRZ2oE z38HD`$jH$#rp3Nzu?vc2LcRUBK-cId#sfd#^HLia8yj_ioTKf)-xyO(`f8(dc*H1h*jyS|n$};LlDUNF>?ABc>F@5= z52aG1M~D3OU|`px=fXLO$dU z%8>OwFX~z!4h(-cWbQ|REr|MibptE}I#rAfPbE`5&Ll8MXtt|4(si~e#+NWMT54+H z{x^-eb8Jl&s^`{myY5FPB?WPFVbKOkl$DkrAv)!5zPyD~p_9*|p0thP=BN~h@etBr zBzS_nDxQVw)3Ca84!gP}0=<>Q9SXIj)j zGv-N3j*}q^Ugx<`n9{6-Z@glTVrOSJ1bCR{fXmf2$HGFL!p`_*L(TlWvRZKjAMkiU zTPhgc=OdBAM|*GW^lWf&xlh9|898vsBUu?xay(EsZ}j+6O*+kmP-UrT`KOuP(wBy9 zROhM*^V=_Cz8cQcF7KSP?Mytg5vNx8nVUF-T>)I{2Q77 zD<<(&T4Bw%dl7v-NB1rCOJ!WPMA12I z@mc?^_L-GJcV1Bu=wUBoWU7tyd z0+-Uv%nx00Q@C}WQ?*gUx<_#8X^*`wYkjv3ZILN4my;*P##4H==g~fjTB0>!8R?OJ zZgY@xh*w&%t?F40RvR<*-OVwF7{29)P}a#B{>Io8wcwGu%$J2ua!5-hsTQ7vcA2+t zda*^{=*x%fjws+yMnC6i>+*iPQk^LdG7YvBK=e)zqf+R&ycrKZ}@ewfJV%5v{i zKu`zpQz7#KmtVik?%&T&@ck`Lr+I2nisP#tU4AaYBFGAI_*|;8d zJt?KOo3%U94dP`}#%iX@yxckM9S+;h6w3&nY zDZLO`v6ZIVi9qLSF&>xPY$iVX#UG#@jpz9S5aGDId|IlDkxYhb!>3`;kdG{pw{}!( zV7<}czv@@?I{AH%TSm5!jlEV2D?DCE60G))rY2b5>}(=hrc1`*p16bJ7(GEzVUO7w z7cW9+Q%l$*C}q{fn>_tG)*53DOH`Hd!-q~1DmvwlCz7%hBaKf2*I6`96#wL(lxxx6 z127wShm2N+U3ue!+};6vTq9f0aYoY7Bt|MtOUqNbnDd^$snC>I;j_oZCRYZ_aiID3 zBM{WJ1Wgt+pr$4$)D!So!CRhAdw)?8h(Q0TODP;2_C03`${sKHpMSdo@rkp4Bgx)T+3*<tD9zM$c_8?0EK$^!Lh=;XA z&`M)^URn7YYAF-$-Tr8pPJ5G@>)`Byx6sL2Uq4vl6xVYHS+E5h`NQT3iiE$uJ z{6VjyjpK*44S1KDn_X^t-%gVd22E@A#-XB+5I!z0t#ooSHq2UoD*0$59uY~5Ma6TaV_|}Vo=VEQ(k4q9#V!WW-|sjp`pxB| z)6ZmOgXHKT;$qSIBjsBigKP1mq*vy!`|Z0Y+1W(|{SgRPe`_9_wnXDYWkCv_hmt!SrCLVYd=+96M)4Pj{;)zx+ z_V&lFX)@0tkd*Pc?5(ZBt*x!2v%2TzDpd{B`)B%Dx#SOt=JwC7T&BgSi3y<-amiQZ z7iV7OZbx@vumx;vGuI2y@dnBN0F4mX7ZjzUf5(9XjLmBry!WJ}3K`ejMU1^1i2X!y?RtC`!yNmfYtyqyS_OoiD; z>teg<3pBvb&+kM2p?6WyN7iH_Dp7iNg?uH;<(2Fbttgyk{FYF#K~`Z)F>@_^xVWv* z7eXGc2@-R7cgpwoOR9AWd3XTNMayvaRSIcan?jxF8-%4^p+q)M*5FZeq_0ymk2z1w z2V-o=A5B)nu0?1B6K&J2pytFxWaTyH{m9y4QA(xn@Wy{!c+w!;uOhR;*?&-wO#n3N zSW`!Q3k&iJCL(vYZh&r|U?W-~VPx&#k10=G0;e}ms)bPL%!(@D$tHXx ztJ^q9J{M33O1}d-uP@`Xcz+JP$Rv?-5`i{EB&#$?Vg8#1Zm!J`QgmaBIp)7T+=Kbl;NXtpVn2eFk|r$gN5Bt23}nITzZn?Ic7W*87POuH zYZimI;dn>-eIypMoqONti34n-kX~-YBQl)yKb>44XqynUW^$J6Q33+#4<2qZGD^KS z3ZRVQ9sfAR;hVL##c&@Zz=j6Mc(Me|vQ}wBV5r?uTLfr*pKSILFgQ@hlky}s3 z^v_N;WIz725Ivc1Pe`yTce`w%vaa4&zWF6EysM|X%=Lx3`}s~;2RjXcc#&M%PIFb2 zbK+*w>}(Vp8_*W?vtXbd5V`zXW>A=8?s~RSoC_Kx84z%% z5_z@5+r-JV(qaIBy6_4Ks;azS3Tv=S_6iJsd`?e*~0?S+AFi$w!7(M3V60g(+ymY-crnmPE&5WG$tt&j&7tzuYw0Pk-Xl2Gf zHXoTN)XaiFm?W8F*~yWWhG4o5_y^hOsTS*<(owa24IM@5BCTPO5BK}-H-X0k+{CtZuylDsT*8BLae zgQ@FXd9i*!(0o3X%r21HcxQ+1!yZik8P~V(1Z;MNNcgFJPdFxq_)VZvJyj8lj!{*8 zj*qw4(){G`4npQ>Mu34*E-7humi~j_Kb^Adkh>TGx6tJ1039jm4NV0;l1*k-TEKE7 zG~BeKroP*H1C3SpPrAoS!Dig3^DIBQ(D>=vU|+ia6&pjc2~8YT z@$!|otwj1sAx4VX+a;pW>=<6}+6ro*cx7$mjT+poWuT+wxb@#w%N?&}d(8t+@+?R7 zmA-Ja|Lz^oycqe-q))yP!($yQX{Fk|2l4!QaF=fZmHu{Tpa6u^^eY-G*HHx-_&NDR&xxaJe}l7+_~^&H94B*oAwnHGc-K@T~$!P@Iwwl0DJ}tCT9q)j~U&+a}#9SPjAu$ zCpNdA--yX|Yy6x-uEE0MMbBov5=(n6<1{vkX`j2n&75dj+K~=Q!0bN|vj36Q+ zs(qQGXWhG!r)Rx4J^gV?l!cD&yhaFCb}Ny6+>`?M7BSSJ3NK7viK%#j@|2W^74wt%l8l2b8`rxHN$;`hy^Mb>QwD*KUfiloLo^$Yp={o zfpb%@tOEG$n@85;hig3|7L*h<)@ws1<`!q}B9JCyDvy$~+_kpVRlM@EXvejr?0nSl z)YTPyJ!@;r+P-qq)SM9dLR0r5rmD(~7pB!ecyK1{c6c8*&lHAqK~;VB_TIivi9%LS zZK2^W_*wtDAn-^vR+?K@Xlr~-(j?yTZjYEGcz4=v@w|mMgYDX zbVoe{LuLGlU#^Z@s@d2E@md%X6G1;@X(zZfUUhJymB~%ba5+ciAu?rEeeq>=^=j;w zkK-M5`XtsE@84S*8v}Hw)#?i5zQkwKnD1}9rDK4K(I8g;7zY6C+_CYNKP~D)6hS!m zrp(QEZ~2rjEcusPgoK841qB5Sn=>%bT~w4fWDZuso_ap4K)@3pnl`cDizE`sNcAmTM@VEZ?0`Oj07syiO$_BNUFJj#b_ z+3kXcXjEbj%){}KlfR_{qVc9(vndZKDSbIP9YsY6o^^aA6t0h7)As|G5U!D5fHv1p z-=wT}8Rr(XC?Rk7%Jugxh_6ic`k^ykw)^`%F7Cz_9i;94vPQ^<`mH(_qr3k_+{&UFs}dHwx61lGjKnrDpaCX zIUk7*z=v0I-*(%*G3oQ+@=i{95_>(EcP|HrcpYNEuV`Cu*`FQCOG#A-i(b_X45VD# z$)u+f7IE3_a&dt`^eimbcbpSEuW~{|0pTf}qI6MTuTgxWKG$Lq)xKS~Z{Oc9T0BY& zO()02^zFpEK%n9 zJ_4?luegPZH|Bv?p>!z~*QVbcyZUJ5t1!N3cn8SuM)SmkSbl+Lwt%cJ8qZxnBq?=O zm25HLjaSQv`-UjWFE8(y`*uF*Hr3hM`sIrz3M4+f)yPz18ygF2Yfbm_slzRNUuYgT z1}BA3DCUDzA&Vg(OYJr_xdjGEqeX;wT&RxLZ92ZC<`UdHpb_bji~pgq0*@_BR9zr91ZVVXTYztf;ngl~9ekLe*!v6{9eZ!R%-wou89QB#?3YSM{_AoAR{ zD%XZ|P&qg-`UMP{>nA*Wm7x+>I<|d(mM5;v+Cvs==K_JaQFm4P=MU)n0I{C<`t^#d zhn3~JbI|JymCsZ%SUZgfLQO146JYTGpERNoKYX^FF1^7%{Pt}o_$sQfCoH~Fy=z;n ztTi;EQ{UA@MO=y$GPtq^@ssSkqbVhiYoC$HgEhOKCi$3RqTsq9JlH@9*aL66a=g0q zDlgZMP4d(^x{!R;$eVjmzOWGQ=GrYQPuJNmP!t1H5db>neSEC>o_nx>sQ?K$ec&LY zOefh~LznTXPyA0p5F!smh+Ba0kuvTd#({wGd>{{b6}0ptJ;7~znfg5-&*0`c1WzT> zzPZ`t7gN08d-uEH-m4bk%IWC`H1}p_eF?a0ON#EJs5KG31VpUSjkSr}@R}4pE{D8G z7QCd3r|0~(cgNM+8j9WgrveCOfpW6#XAqJ7UW~~%Hs<8SgN2Yt^F2_suW_X6lP6c^ z7Tji~Gx|xP9pH*24O>Kh!p1>rqID6JJoMDou2GBUT=yMoSq}N}19S+Ait&dpEm+W! zI_No{sFjtRgRCO0IjVoOB|3Sgmk=Iq#d)5d*SUCrm|vk7y~xOrZEwGv{A*KlB>#8C z6{%;<$v+n~uKcm^%UO_DzwI6a<#pY?(fnaA*}@`us+?oxm=CpHG;Xa`4Y$^(a+8wi zXw~ulsFpqPzSx%bP6DO2*M3?W>rITO_mctgR5|v!ga%}&sO2Q9C*SSqo4{XeE{6 z)BmK^OgspkhZEn!w>oSH2wqP(cD@$eAYgp#!Ro@rS&W)$DIT8H$_gZ8nQT<8EcRM@ zx8ZH&B*~-f0l_B!&uHz|yt<25l*PjKO?ViQ%QMhapoNMG+Z4ckWh+hrp~Bl8 zl5qO?u@yDNHQkf_XGRGpoKaeFXy81(gvZ?&;Ny0mOglVRBs5wT!Ly&SUuoaQ)+b5t zyE^@pMo9J;TI*IeF^5)Vzz9z_=ZCC^nY&c_qZ?1&a>z2TXjr}2w{Kjsai4iDNU@Qt z_GI_%!g9?^>kAUi_g@tjoA1uor-lUmo|&j#m?UqR$a=e?VSMeE~ongqs47(RT9y5lP>e{vR^JRvW!KQA>QO+ zlbEO$&2cbYeteMf?ypHXl{#$iHWHqkrwtsUxIIYi{TGxUeWe5mnzD+8ub2njgxXb7b&VIdV2cyilVv2 zBW!V@!=FP28@q9M(9l7qn|B$n;<1%Z7C~SOgRp1tI4+tnq*gu$SzxxJA|BA|mVLC> zUE=eQz%P~WyW&LUlH|xpHV;3I6CD5oSU=q3wi(6j(784n!Vip)Eb}912~t?KHYP7G zH9~%PzWd0N`U&{-oK0-0mc(a{w=4sh@F}U^H$E1xNuuT46{ov=MZ#}%Jv_~sujOHz zAvCOBnb3b>hw-05gGl`gA(RzF{G|>BKvY0n=QTuwb5!MfAA+ZPnbn-aiD+z|^p3OZ z9oh^3h32Oho`AaSAAX!R6LI>6`Z@%+GzCkH#aKY8GhZ3v+zUBBMEWa@@62Q$RUL#$1hI;ep2D-OxNuOHkXO8+s z7UJJXDDj0iMmARkuv0$X7-rPJSN=~3O)tx!Fp#a%hS=ZRnFFmes}O&YzCx@R8UK5L zOhu9vv3fUlupnjohiYS%P^{{nC44_$g8P`5AhCa(vOYSQG_#s~UkW&5CvB}yMH7p5 z9s|A4_RA^t8B21INJkq^_xD%zAG_8bLP6yNtbDwy>*pi~S!?TN{qYV(Mu+0_C;la{h)$u?|=q3aqXt}(pH;h6pJ0$IO3qb>>wnq1i z;}-;#dw*A z-XB-jAx9@K>&DO9w>6`wP{^~7{U@%5bAZ$i_ZQxxQB@TkuPg@kiv|%Gyq1-%>v=pm zSN@}rV`WesVAHM9e*2$3Y`HmLGNU@b{0I=|^qKbSy9qYL71e&XM?ezmm)E;#FgVit?AeUu+R@pRr6ulGh4$1`GPSfcVjo~&z?GxC#iTA3 zZF8mMYCa5PAw4`spFOKyKO`&`;9zH$MqHPQ&X6xI-}AtD4Xre2p?ZdfD0hnnWflO| z0PMUY^B^BLcS}=qDK6e5AKVf_ODEk|$RI}mU5E2iCh_++vp1nRr0y(hg$!k;rqmGf ztHB}o2>AeUo7=rMrcaE-I8o4FrgMLe!d?``J^l9GhWMMroc`+Sq=nh&g3Yo@4wx0$ zWMbD7aI&f%haI`b-@OYIA1^R7_N&0^7C zVoB1p5+6S{*>f%IY&((F)djOogXU4tXZu(HY5;esXXt2N znj1F3!@*<+FSW;SWZHM%rRwZyCwoGEw(5~G=0uKy zK3a)pJV1YW=6Md!J$O9VQti{=oDaXMuP@30#B|=kz+QhJ7-W(s3t=FMG#23FEwj6H zhcw~K%0Qtn>a3Fo*SbPNbTu?IEV<~G9r_Un5SHo6Y^fxV_y9X)(GgQRyFjWsnlhV?9zvMIB({^ky@+-XEMH_zO3F;j~s zm<5{3GxLL63SsEXH7#dnEiJ9) z=rP5nYZUWD;%6&@!a*E}!SlG$>})8OGyu-QUqbG0^^^Qi&;C^O;yIIap_=u|23xs? z5oC96mQ@f{(zx-L+%tNc1|J!lB1+jMElUJVAflquOR(8)ZZhKm?w}-1ektg`DP%vQ zjd>k;FXKA~7vNwRC(hj$Uj}kha%f`*w9$+0l?AyL)q{fF2I0mhrGF%HhtK*tzk%7j z-cLOsVdrqNZ!#Dg+mgw@2UP-0e#vRE(Y!L^${FMfA?2oHT4~A1cuh}qJ$+x_c4}fc z2Si4?sMVPqX0#-RoEpn{%Nzr^Oj>Ksb>EUC9L7yoY3z|%b zaRSB4Ox#aAakH_k3=H0ME6UQ`7mvjCnd!^R)0d0=YRnT_t`SVu1t5A+r>*=AYV++; zP;=UpL22G7AGog zpz|C6KfXfRFM-A|fAIs_+KXT?bM>+C2Kwl8K4E0<%EX$D4t(2m?48j^f~V7V;EpoR zr;3R$U~zF9SLi6`oCkA7RhO4*l3|-Rm0+{;HkW}GaHXI}Nz<4;i1b88A_!w*9_y{^ zAgjQg9^6Y^LR}nbjtlKyhlTcQR6C-XBHR1UwoJsXbB5lek}1HTl24x0F>x@2WKG8` z-0SNDQ&!wu30m4)b#(@#MJZ$Sz9gmZ8deHntM94CaBtr%?Rh~%$FMX7k7tK?lisKw z4ngnS>hFCAq?T^d*T3@)h&wcmqj|I8lD<&eNOI>-4KsKBaNCQ7NM6ij?)gFeb&k0N zNCq-9fHB{PJ3eAe^Snb3_0@h0e~A^0OHeeiyIBoM<}lke%1}F7D69jDE07wWr%8Z% z;98P8JJ+q?-!r@c2c!)UM)?0QxRjM0<|HJTSXjt*E-DHNF)+}R4Xkeak+%e&>~2Jv zmqtdWD`oQ}=un~sc8mm400`yvLlm-we*9QGTpYZYxVlH4sqdUZkZRu*x1E|_)Tg5J z!eI^+;45KI_lSN08i5nPsw!fnUarbzSe2$bHE7vzbMhSB~KL7&EwqFW)7A+HiUuhIL8DF1ag7yFd!~Gi=eVrNk^(qK( zBZ}*`>|O0(Hp7%hl%4IqKDWaS49-~qEkQ4FZ3uWm-|*2bR#daJ({l9UP(vKanB4fL z)N=Gka}cWLk4nB#M3Hj>^s7n3_8NBOrQV*19BQDd}2J zVC81L#pdF|F)--p_5ut|cR*`K2hxdWYkwKO-9I(-Dj*-q>&;g2ihXgCd>7BxsM5@4 z8;qd^adF|f%^iAOxpm&>bI`u@mokQvf40aZqN&n!Me%e}KR5HsahAu}6 zroQ_w$xw|nTs2;q&dxQdyR7=ZxTp$`!(6Vtoic43IZkOcN=Eb09}2}n$~eA;A8yN= zv>1Sz4N6I}1H8(oK|GONBELKI3+bu(-?fe0>^G|-UUkZLMIJqrXGy{{udV-Ti%O`G zq;oq?On!G#?n^gf`u3a(EjMAGdooz+#Y<#EzO*jk^7|3MlM@+9meo~n751>RsQMR* zd1mf)z&g`=-OsLyou+J+qnxH%3RjAYkw+ND(8S_clGcSH|=FG^;6= z@k=F9$d_KzU{uzxv`-q#uYLV=HQEm+O-xMSr=2dBB%?Mot?Zqg#-dxI&KsRc0t=@} znu~l(2~%xdgX5M-{zzVJKoF`IUhTv_Yt+vhU745|RDDD~{*FucTg>nW-m8?H)fLU+ z(DTx83i=3Luk(%4YD?E@+P9xAN6T`ZVg`+ov`d7C?ii6UjL)yx)I~)OcH+xXtVBf& zCNEr!C!>O;mHsk0f_9x$mK5iva3zB1swcny$pz<|+{CCz;uMuf5|VuP@jm$b%R(nq zG%CvSH~d{(XtaLl(D%14a1#<44y-m}Vp5fsOsHxJ?rZz0#lJ97_|r#ZWj(_fK#svU zjuBk%czc-6mre>%!fHX9b|d)1dz{zZ;crQaO2<;DWDE@@1#_cf^Pf@vXBL2n!PS#7 zF!T{rE+ELz`Nqeh`}HeGH)9!yAqj{kC>0+%wlFZ-L0H+ytY2J2<*LDI54FtZkKXTP z4?VXq*Z2JP5d(Y-<72PF6QYPx%Ws}jBHy47_$}xvQPrDBzVln<4xogCJI*+(#OLSJ zJCm*Ra)o+t(fiNwJf4ZBMWRHO9q@$bY^u*T4vFNqILTlh9wF9su)KUaY7Bl%Q$V+f zO&7tP)Guc6|5R1|oS&al*xqmOxr_UmGh^3jVIi|75-(`sN4|DpYu84R))pg^i~H53 zTCrxPiVR|ZO**1h7|Hzfsnu`ah9R{L>>(TsvC%siVm52P4lUOve+W0+FQp4&tCJu* zg^eNTpaBK>+QRr}%*?4lfuHFMxXG?~U}GpDr=;%F^c9C<1fl>;mU-?iy47A*O<8Rf zj~WcD0%FpXET-#2Rzb7{Pm=%Z@=0dj<;k+Hy}ye^gY&XnsGnBlF#FLT^a}EQ^uHJ| zFpR>*7KTpJf_Qw)I~7)tCnr7qKq9iIoc|Hg>RsJ0Ru~k%d0O6_L4kIKt#NxE}F5acml8Xyz;dkjZyf% zV8XA3JCVA`YF74+41t(CTl5ZA6D0b21-SV5S*2rpe_y>fGrK*k-$PD;UO@_ftpZQ? z)j2-vYE610MFp?j_8JzCD*m{;&d<0YP5PNv2Z0kT-6N@YpZJtpRyP;tCbTWw2_+cu z))u|gC{U*Bj(s3DC0>DIOLphlxjb`m!UP+?tvhLInl-SdizuOGgT0h#HyuA;!zqx( zlKo0+ra8m~|4bbyhh=NK*lc1~Is8~BnIyWkVD_HLkCxzhc)7=+=I~Jdy(t$-Fz2*^ zO<{HPy`MBqO=!X@qMtNhxstAJ5$?|tMem=-qFSs9D4amb?_>l^S##ZwnC-nLW#pxlL>^6)QF#WNW%}O@F{D&e*4bl zMad0qFUI@i=a0=B!SA5AQ(?Ke(tawdaG066E}4stmQRUrb}flAQCZXOQ;#_{*Kx;6 z`R$zLTmq=+eB9iY>?3W@kaXcy`1rHAmhybkFbNGU0^mFdh%L5`G`>�}@6y$6%pUb6a634q3L&-Eb9F_w?VIbOdh6^)EkCcC zS1!gocGnymK*W#AU`hVGG5PfRh?UQd)f<76TVv;25@Dnu`-^e5eF->Tsj!6xcnuHh zC&iPyl2@DuajjrE5sqOaKklDk)Y;5dO2}7x9m`iy0;Kc==G_#1^l=iKP_3dqDuKJA@`VtammzNWTg}I4{k_DW%bI#9u#>U?^{%$ug z94;+I>gjuVyliOJ)NIuGmAAbOY}Q30-x3if#fo^eziC+})`IOO2!M1|(e0-333A(|_BN^``2F*Vc{>j>5*Q*lYJj2C_v0*GaAl3JagU z^tzW&CYEFke{nE4TfzhMa7kC+bIXZ}v`o?;#**eWK(oRoLw|Aqu73`5TH_2N{Zm#J zRTwFeEBSG}u$ziqrCfku+72l8HT+ANcofc#m+nFRC)MpTBKvN=uiOr^Jcvf0T}phq zu`9}m)WZOKUhi~PlD`q1`n0;Ew78s)me1yc!EA%KH_P6xh^hJRW`cgK&{4?gDX3Us zlA(AFEQ@puVDzu<_ucSt>d@XYE0mJOSMJKcCCx#k?r67{nD%)cROaXeVmZcd)(-{^ zD5|JHSAQNpFHxcKYJ1An#a)S4jm823>#~!|ghclB?~cD$p14hh2H&zRiM)L;;lth+ zEuUkBzC6vgy(R8Tbkz&4PZWalqc-Dl?j?`ZU4CKpzn0vd5~h!~x$tKkNLa zm$298xaJ_U5P5v8l@^YFq35t;l8k&|oz%Q?o>5LC^r!d2S;~gzvgZk9Qn9PQ;Jvl-|xorc=!?xHxrFvFqtr^DMrW_-xMi*h}+`%6?%S zSvjrl%p6TU)mQ4znZqA~hD}d54n^YgyG;`!5^6FF6$uR_3}!!fcVlJPL3JihLqj8f za$50XVcbyYCZLwO@N6eWqCB;2cTgD_kV@|_TChB=i+JgX-^4gu-6vtHs#h=Ek0*7j zMPL?&lDnyqKYw!i&8C3kQMDHm>t072G%s-_$Lg+hGls7&rW@|EFoT(Vg1UmNpH(_2 zwKe^m+$UB}8G2W)9!OaP2@85-+-K*8hNd=9@yBBpROMx#=kIv_ov5J|zL+em)3g5+ zwuoq^|CXQ0x%3x0-jj+iEfV|^*Z%imw z<~WLFRn*f2;Gw?dXYljE+V^;b(+Bw6uIrpAB&i^;Y|AvF{?fs4Y?su=X-nnKx&zy5f1bxU`W}V{M z*sw`p6wOjkip`EZ`hbm&lexJ|vOkoJ za(69k;m&3l5%&YdC*2B^E)<)dCa8p|N!|Hjb9GHat-^c#YLS_7tNk}MRrVrcD0~X<-#W}7O?)Ghr4}uU?bW0E^a&`h;F00h zCvbI^vP%IM1cBQtk5b{*Ch`GQX)N^~mcRprvG8QE9)tirtMugsDU|!r+ z)5~_n*h6x~D&{lz*&hu20xWlS1^Jku!SFq&FgrgG_T&kCdK$sOrpUsOKtvY7uu5z>5N1%R!zDL&&(+l~dKZKug|qBzG8dbpUx1Xqv@>!cd%-T@3_)ZhixBb3;;|s@n zeBbx31UG0^n{VtEa}k(ZIK~SffGqK;xO+n7xKz1Hw7W0fAaG;8GN}U8QN|Z#kvCr)*Z4=FD?*IQwMfExLI)0dozyRUX=2d+D0_ zjaQ|qAU*g+tZ~d$l~u%Us|iCI)qYu-zz;r8y>^q)oQW?eDRw^vFXQJ29ymHV zs4e_5K*Brvku__>j>+I?ucx<>du`U;JwR+4`VKwYg4rXau*0Yi9sA5huQPXjM?l;g z;pJmu9k(Ii%srQiOKCOLP%d$RndO|S`+7kRk7hYxptaZYMtzOzjhQ?@K;ta?thtf>0!g7FGE@E^ zPh@qMd~3MlD0eot7`_63f#65lLZXmoa`IebXrCtmVSV8^*qqj9{mB^aGe=f-G3J87 z+xV6ooDNZBUS!8^&?7F(Mm5xfi4D)j;@`Z;l_&DeQ<=chO4SA zgzC(;c8mK5bYA#^0*lbiO^6n=p_y5KBvts>XyI(b#hJXkF&f%C+qF3c-}A*_6ik27 zsJx@Y-g)J`R(!o4PNXv6hw0=MBodI$o0Msid_6B6Yw zLYw_s5)}rRYj4B2L$mXn=T~i@SVI=fx1Vjed5gw-MW0O3X)TeI44LiiQn&mtu${`s z93!}(Z9LL`r{jmSILdivr@d8T-@)bim}-ql!?LUvUUvf`#f{+)He(xo@5?6-O*22G zpvFcXBUF~wDpcz0f-&yXZ-CWJ{ z+O7LoFEKCeh)nsDRoe___U&6G;PCpr0N$UqiHWFF4bI|~np%osSZxyT3qB3;NUf5) z)xFhHmUv)DUKny6=2wgi%aSDEdOS#oHXw?J%Y=vo7rvV#5v~f=!`70iWhBb z>QuoS2f2u9`>i_?_ZRwa-}c+nTVB! zk{S;=r!uI^esus?2;dsVZEZ$YPWRf{>J%3c)+ulDG3SunJ{XZ2FUC}){VD=U3?`+J z8a27=1olc}xI`^OkzPvtWjvJ;ZgEeu$*4t>Y#y#KBUIJJUkCo|JcSndnBcJ_wVr3f zX&l2*@o_6raKKH(8T<5CTK4bDJhuLKOd1PDJ$8yxMQhPrmK9UT(LFEygaJwUiiUUd zBcXdJY>7uLCMyj}8KOCD{laD{BczJ`%F;N9oR##mFO9_a> z2Hk9X^y*z*`50sEEV)WAKMtkeAh%`K)ZEUr@7N$cWBVhcxCyVVVNChI5q}X2X z?V*#ViAzMp2#(A{`t&AdnfY-_zEG5E}N?D5A=1d(8S{VjCLt zr-SHAE?@R+KShAg)x|^5<9g-91+DHhC1H#bzTUTsT#A)R_P zXKImxL%0>0DcRV>{p9KZ0d*xjg;l?6&f5M1J!3XCyv8cq zUZbc=Gy~^zZi#gGccTOMcN-?W)+R1|oHXfW!Rm7%Gdr$SdFGeOdTzO}W4 z`p#eg+(SlBD1@1WD&z?oo6BHf^ghHc+1*QxjR!7~8M6xb)y8@aco3E@6~MKeJ)d$$5a~tGc`{xvSWCG$ z##+mXV#_#lky%X-HFaJ-x*+#%jqxf-$=-V4gwdiX$&}^U?o(lY4%<~Z$8B!oDbDEM zEFIygZNv~n-*gB80SG3Ac3}{Hn$C}qXK%%H0B?4;yjuMo3cQ(U;6mBe>$4^Z2Vy5C z+P-*k+u+$OOns$fyn%al2AkOh_BG-;3A67xG(}+L;NYpdyGC7IF$?YF+$?d1vggb+ zJ?>`(x9h=0lKEyKtsD*5xPzgD#3iBS!|UHDAWR3q&sC25Rlkf}9OcH>lPyCksvEbN znix<<92bjDt`1$5NluP&xe>_9@)UB~54yP$0)cqAh6YV%r=vy@0|R{smRRsq;kRzE z;dd)lwUhW9+pEnT;<*DVosmW%!x}S6`&$b0Kb3u&2&T@={?Gc^W zY4E{P0j9qxmt_;})-qStMQ)ZK5~6Q4N#agbU0p+$c*RKV{6}##jCx8wS4EY$HAt|c zq6T!8IanXW=kd9;QEdlN-rfK`8~MoYF*>{$nK?;eKh!@r=a~3Co0?Kpi@6b64Wi|Y zapf#&&UKre-5nWz8^m|MlJ@%ADIl>5l4tx*eu&)Xlk|ttN?)XkUZt^l5cCLXYNrsu zo!%VE8|S;*6w4d=n@=9MLfE8u!b!=oEkSRE*6(E@sPfQbKmJ55A_qM3eg|L4zePK%kD zSz`N!y(5w&?t_4f3n5Z2i<+ECiI(yH_W1}Zkoj`<{rlky3QJnrbqGw3_n=Wxuhv7N(oYIZM{`eJaW;} zGI;w74vb3cWh&f4#b%@`Dp&@fV{|d!8-?Jabx-+%9oo>Kc9%kNehT3QmAsFo0> zG^zs60u*vTSPB zW0sJ4p-;l~?Js=Kt%lMwOH0$&*MXX|7hO6Ez{VhF?(0ziy>C34h8DyJOq{FRoEQ}y{TR=|-opdn!I|ghMOs<_NdVh0L|s6TvwBF6 zm4_%2=PWuFKswn}l-s*o^<->{k(oMj-`O7c&hK0$gYtZ~^KOwFqPt0m` zKkJF1`93!i`8M&JRXUo#dgP3T@@dyxqh#&%7c^XNJ1ZbaV9%k(n25;@Tw7|vI7gvb z(;v?b)*C=zmo+^NK+^ zKSMl560}tU`8ar_#)r|Q&*^;kHSw$WyYzpi1T2NSg>E@Jy)nqnv5yi<5(NU{gk?(= z;D<&YS*bo?CeS{K6DmyZi(i+|Pr(oVG*Mq2b!Gil40HRo-^V1G#Y-dLZd9B1=@a-9 zu}zHqM&=v;{>4LYpp&rK6*JMiRs2E+DAZ-58GQ z=MiE(Yze}>Y5Ed?jLff+EfW|_xM6C^Ycsi4<6l>MUEg$@n0BPV6$fI=W+I{6-DEyp zj_>lS)d0l6CwxkU`+2d8qW*x7f=?F>7#M)MH18(ahQazkAE0v#I9#Qb6k~7n@#AFx zsf+r4a&)hOEin)CvnSfcMedp9<)APiV1T)-fGeSaukC1fWW=tnUP=nZ-K}}UD|8z$ zY_TmZJBFM2;w3arV4v36_VdWI0jBOZ3z4}<)<)_vZEV zU+1SH0PBLboqjm8%r#J2?g;%zkghpa;NdFRtgr zVL*A93kYEQuTN=e;q?;U+<>4U=FqbEjZBKryfG*2#ATojV8y;T2P-Qn0U%hVBHh5a zn+1Z&NVH0&s!&>Gix%IdfXBEmsW&fMI;TeCWUpE^JSbs?B1 zpkX_3dn%~nzDZ2e-Njnm+N!!%vFF(6HNdM`?}6oU>fC8>H#exD{H5I}$nqq-S}QuH zDyL-6-`l%~g7i}9(IcMTxVy92f{~zw#nXnL&MJ8F+yIx09&<>R#i3!834p% zIJwNAQ1lOQr7G72Jn@T`1*u_btz^$CmeoSVO}F&tk-M zcDfetI9HalzNhNA{Z}pkz&VQi{bvFL3%D$fWfF=F|r> z#0%+ah>iw}q_Vo=J~;Z8mf~=GdkXMLfOhmeHuZkpwd4RpRW33(iX0skV_=BWH}F4= z{hlmaGEl+I>tgD7HblJq=ywEh(YysIDPes5=4|PG#Bvq;oeArHW?%uKVhFsXmv6@!hQBa;`WrA*rmn5AB z4V%Tue90D$iBs3W%nNG0FPL(3P@hiC&yS5D^PWcu#%s?X11v@J*#RbYGi+vgd2xMx z3j>|t-z*O9!~t#ynimpc4=35{M%ZX&ez}d3{>n3A;mP(d0l=4A%_m0v%Vu#BPFVWpT_WW;OT2myFNR0s|rYyi9rsPpD# zBhZg5j?a-9wcVA*R~zi%inN7UK#PduwCpyOvjXnV)Y=;Q(~DGPDL<63ExHG=%0Pgm z8fKdv*(qyU=h5x~X3}eVcD^_jjwB$;qWU3GPGW25IZWDi)Qyt&<<>~1Q{D`TV=^xxil zzk=&I)c5w(iay&=wf>lm0T>KGVFA=Kyr1+I=AKJDeoI^HdhIljdY~HG5nfPMmz6bV z#Lkm!nOBSs*tCrL^ z{rHJHbyi;CUPlIQJ2gD3WywB0V-c$9;b@%KtW2R+5QCN$+dI(PMHa0n^`0qieyJJh z%QrC^38aFv#P4;5%H&b{0qev8AfyB#10WkyXLo0L2#LagN7TH#W6s~Q6J+&0;$+&* zmFYR!i|8kB-^ql9r{X`GFs{0^=J=YP0ix#|U%%O-lL=oN6Euel4zQ1{D=}L(#}^kx zqv#l3r1QPKOQj9@s-6;c)YszUH`gTSk(<~{5fhW974SZ{xYNA+T8tEmo z)WN4~#v=e;0Gu1#E4_k3)%_L?!6+P|lVvHS+PQhp`;XQAFDUSvAwAwuw+*K z=vY5ybHUOPN)a7Ft!l~II5AquPZKbN;1&=|iK-mud9mWRH4ayjFP~snp^01H}+1gtQG{&()V#?(fj+vVIaB?5Hjos#3g_-1kEjlSOf%)IjyYK z)qT3{el&Y>$DxOG5mQkChv^SY$@K0WNLq1ti{uW&xd-Sw_nRBeI8#}ha#EGHZ94~5 z#$H>SX(qe@Hra|=)85!LG#7aG!C}U3T?D&r_Aty)1j*PNAbWs#^89PMPf|NN3J#Cr z^z|E6H2|?$1GZ|n-sQn$8L^n4h*Ph9BA20Gz`W*%C;4EyDf z35UkHqf-4769|;^SmW^%;Vyg(pz_YEOI%z$;#2oxGkuUn#4UbEG7IrB?En=Iy5qV! z_RG^NLB3}j_)$}`%wB8Wwp-` zw{HV#ew1CEEp~hD?M2Q)`qi4Q-})sCcgB?>N7#-Rk0HJG1|o;^1D=9uxF$>ftEjy_ z?LwZKsc6hBg@|s5`uz2-jayZ{ehFI2d;7M7b`zT5+387_7$pai@$j^^{QC4d2Zc` zGQdwjz?Ql#?Bf|=>3;oT>2|gl!op4`OxB-#1!dm?LO7BysZl{3g~|bjGG1Nfr^gld z?F@L7X|v%d89iGkCjgd{2@1F5NMt#i7eD}B-}7WJoseI@E|Oe{eBlZnK^Urmi77p)aM2H`5+SoojjY0`m(IOe~gf8)4Up(UP!?D$uM~;d;!QtugO^UfakK3 z27^*9YsI9>fiJ>olxghmL|DKOxnexC*w};zo2Ibo*vzcZ^(ug+HI9rvDH+m?jjDLb z5rc#XX1(wI94w`9T_OhBy*HFfIafP#jT-g!dn7_0#do_C*Uqk2YsAE$CZ|=NYm_7# zfYu=RtYp;j*elk`C^f_Q2KReF*0ByiAG2U4_7V!pPUlwHj-bUd;-77qcT$;MJ`YND|bY`Vb>qHzq$RJUc8pdjbf z-*3o&(Gi&!a1n%Jbv|`=CdR`+!(tFQb^W-!{1B8d@5cd`St+U;Sh(Ls9UTkOC4(k0 z>O~VOOC7DP058~MW{T<7qi{C|*d7bd1z;&s`C5Y)h1&oKZA2vRO%TfR7)h8!45Z+C z&D*In!nuR=y5{+#kU#7Jc8ZaUsa!Zt`o_(f9D7S<3`3iOq8|>Ej}IVu;G}Y7a${Fq zT+)izJ0-%05%goHDJc&!N@6}k#?;pmkt|_NHx0+|#tSPHC0TzLs>Ip+)@=aWlAYbj z164{Q;pBKEM`#To%>;gnmTU&scAa5SvF3og^C0$)hwOdr#+-!~RDL6cA+T7p~ zqVVCqM-Eb#UtuUH;90S7zea7T0@W~NI7z}R-}b7rt4?}t2iyTNE!lXRiJP-!*QmTD zRaFJp2fasRXq?zs=;WG_$8YU9+A!ex;Rwynr-Nw#?81V;wruALCSbD`8EvnUGG0s8 zYEs1+`UkKOxN~V^EF%GIqNd*2wS-YOi_C=ok)8dXr)K9BL0okzkN(;)erIXt3*F($($6u5a!F&~`8zo63`? zQ|?xyfk*>?G!*!12>`;Jn)5cYnKYRDd+H#C+nFQvW}FK?gcd4iXT5#^iA>EcZZZX) zSCskIcz3vF$%Vi#uT^dBfB{`?*d+_eCu$trWXB_$jLq|7Wrrtz5fsemBwm8+hf zov9q3(yz>Go~GK2tI1YOd1NL_fGs2(cz$Rz(3YuI5gE?jMAH&MMT_(f9yKE%cuRPE z7(J_~0I(|9b->Y<khX<=gxZ9HP1gPTaLfT5v@UUnc%0&*nJ;J`jHXwgM2w;e-jl!!j8RgeTZ{ z1WZn%g%bvR1Hg|b4~M}|5A>w}8ZXMdEa zFaIBr%9!XDU|05D+b?>k;HDV(f^E;v9Epm+6_r10x2?vwrNAuF`D zf&@SRKfyoneC}ffh%#fVhikY2y6HJz!Utx`vQRB*7C za{>4OY=Vau5fSrz+ED+Wa&YGtD6FSGZPt@UPlQ84zkUr3KAJG8jE8C|Z{_#&7zYLU zJ`RCP(A6I;Gc`Wj2xwSWH8tQ0Ui}Fg_UM^H$BfW3(ot7NA72B`Yv@k}#cNa(5+bvg z30U|KL699@aNy#8)5*kyo?xb=Pn8xTUNp2WG59OF2T^B{QUWYFmt#z9)BI?rJgtYa z!eZxGpkjdvr1Dz-^7!o9Wsn8`22}c;T)GrBYg#>xh_Q%Qi`1_T%6-H=W;x|V3)IVj?$A?d;~s=uQE zt{vxh>8VGLw3G`-RdoO5q29IjN&h2CL%_WL=9(~{yB_%d9RymM(jg#(J~}-G!C{Pz zb3nT=Erra!4&t|e$r5nqfZqm^qnp;(_m@mSBB-{_q2bOB(EObrfWc6dl^MssTZGUEG((k<%cxnpD6ySK?E~6fXXHbtP_pGPSOq{NMpFTCpp*^q&3*{x z)$^+;!fiB*P4>+l8q4)a;s^l-YrG|7U?Mx?3Z66L_@~1ka5Vq6C`DU%VhZ2wfKNQY6PAz!(^TsGgF@i6YU0}1K{j%SBSbTrQ$axTEK zB>kNb>hI|8+bj2l=N~TCGaP(A9a4lR9ZMk4e0GF^nemwbBk!@|91Hw1^7Q+{>MW7>+b(to)I<7BE>O2?qD&kS6C!)Dbe=j zJ}4r=1?^c85QJ>vrL(iMSy<|~*J*Z;eX8nRLDC(_XBc|-4q)}9H+m!WdnS(`PfEeB zK98X1*CfWZm9Ao)9M#J&Ak@-NWaYnx=_i&|k6XMfje}pbPm>@`{M25$D!rtz(0Sp3 zXVIexxPn4>{W|0C-2P7VkD>hcME{QbpQZR`EVKxG z@c+}Z_-~8*ACK<8&FG);{^t|3A&{ zzYX_4XZQc~dHuhh-G39W{|_F<|K{wxelWrwqF|}k*TWldA-w)k=3tKrkc-W7sD7DS zxzz$+dwU5D)mZZ_?-hs%5WIfMA8hF~rzBm=ep>J+y&Y^eO+Q{LhY4PpmF8`jBtqxr zSrqB91&$uN0(S|)>-Fzy7=v>0_1u^Hk9c@ifzg`U?u~oA1rz_dM_?D!9%cqFlVuf}7kv4x+Nq3Gr6W}g_E}2GQ0PqaWGNg{ ztVI|b*_r}Z3}jYG&Ca$1DmqF6r}4}jWAGX6Ep}?V?o67N=>PMppL=^^-7fRi4BY{j zHsSlnYyRIHCcUCCohrX3y?u{L4h|Pjk(gyD*{-|04GamH`-*O^pr9(*Gb(iZ%KFmI z|JffM{?qss5r56ixW<^ZwFSr}8!Gc?#1U>b1flW44uo*t!}ZD>^6u#q%~IV?Yn|J@ z(a{=-s2NU;tF=@Na_N&3R=-wN4F(>fR4uDFtL@JQJWkb+!5LIQXZ)liFf*RApLYj= z)y>%eM43=gIhNbqW%a?c(Z{DKzMPiZb zl>@nNUY-Q1JUExM;_e_kiX;y_a-b5tmP$clpP~XFKLSz`&bM@)m8hl#0WMowqkK7& zjm~pD4ee}>L8U<7rWU7ldgN#&E6xRLDvMF|V{Wm9(-AbOmsz(G5drmeB{)vyHDpVr zdAOs~P#g4#5C+zwzs??))ahd`=w`|dvcrUK53<22C~OQ{MM+6qY^oMh_~{l8pg|M2D)z%>v(K9voxjeT1_UOC=LFeNOyveV?}P@8@PXd|SKAbL5s9w* z35Km~tmz$LPlYG1t}s!s0SdthTZ_~Dyt9iZ{BU&iq0D@vesEQeSlUpo?q?!uMLmmR zoK|CYdVAh_ZPM89mj~Vtm=k4ycpUbQ_xGng!>X=)ISuv*bul==(+e*pA+NWKyDod$ zX-w(a_T<4ux&Dokk}#^$hiHYI;I3|oc-Ab_5o#IX)<`)ad)Q7)xZw2RK_}QsakI8^ zQ}?min@*HxrC99EVc8EcTysj_XMa$yuTM5O8Z_(Fb|eO?^cr5Sgn-1Jph=?mfztQ= zJ5hAF1c35*>>Syhf?OP7->fXq+@!9d(S2jQNSIlr{THKlqdF?NN&yZ3{?Fke4VJv3 z6mf?{6Ro#_YP5cbUel?!0mNJZH_I+^=5aQ~Q0UQ7&w{BuVrgLaws5HbSU zOL%a5Z?@0#dP%6Z7Ar%ZsFna~>zBw$x8YsVgf1s_Bt3rGO=DQ1w&cL;IAp5G;oRtsG=h8fh=Oifr zKZzoBF}f`%VeS9xX~}AJCp*T@I2*QLa)n$Bg-h<%w7u6#b{SU#*&!mh+uF6|$Hn!W z05_8k%yyjTfK+BOYS!%UKoaL%S@h=`ZhIsXq_e+%-JGkIL4CC&=NU=&Bxocjwb^*2 zHq~=CZxNV~pYW&=6>G`!AULI@Y1(e5s=AmtZfvvGA1VTBPywvc8+$Xk!^y$35+jjX!LL0+9MK6S9IL%XH848`jQjRd`R`Q z?S{q^E$422-UkA8O?p?eA#`W#w8bR)6eyHVxI$q?56#}by$T@laOvj}4&0CvtX=UZ z%)Yr8nEv&4;0P@8tTAW73krSo_K%8+9T#gF(=fO_yK8diU}^YWJ@weI?Bqg2c0rLY7!0S>EkD^1X`m7(O}K#!!*9)F^?<=!4k(~ScJnjIEK!fi*J z|HG>V34u)2${cLED3JkvT?^A-78W_3^5yGL!i>X1yMzR-L@rkkLLq0H&GdKmd(HEz zDmh^x(R5Ghfc?{|@7HM7rjBb?QNL`7rXwcg%1=+n2Z#Y&DG6GSd)~6`WyL+tma$bU zx=U^33lC1ey+gR2UJ;CyVn*b7%ls6n{Ysf%K}tqmO7Tb|)_CQhVt7oBaG;&&UmR4n@Q2OEs)0$BLVyZcd z>9I8nFZ6qi>uyp*zT}bo8A~%`lLs;V*!sTJ9VQ`?x;nzPXb8UT&iC@{j(kVUO#x8& zB8TYT+p!?dOkXD>&CQ9uOTN9g(kYsky)2sOXWlqqAOkou65z$NpyTV;<3?8$ zQl3^!Z^ZWuPcGt^$G*0UhJ}?M+cC>uZs~>FKMv{|tFjk7%@8vr7TDx8#PSLo$$t9o z?c2uN%ikGdwQ`_?llES7Np4<{Mnlc^skwly?R{5Qkj-akUgVsqVXv&*GFIRdPHdX5 zp{51_${wFjd2AB@=5k9!F4cmOAwxzKED*mf`!sm}*0zCk7RB z%#a`c2z>_@`28eUUX~XDWx9Lj)1#`|7Te3232%|S-ivkK>_A35&%d~8Bu7z{vKaJ7*NmFl5mYwAMS#*!Vcc4%4A!PVb&?RttN^P>ro0>)n)ew%03i}!x z5hp{5Hh6WBA*y@kuthu;Wrim}(g>$vLey=yu4e`$yzKjfn@ePQ=#TD9QM)RO&_;N{8q*Ru`c*))DTlj|fu(*~w@wI&?0DE8(BKM*%lbW72E7pKy>U2W-Q%~N@>G~*d(vTPmy13w=oErK0-C+Ni3Axr zyl|4&@0;h-w86pg>a|rUQ#d3quj=9gq4N0H3>k~D(RpOFO=M!GftqU~R|MtDzJz5D zZCIFc_X{~m%qC8! z({b^^Cfgr`JVkmi)qFg>7u#+xh@eYDgUiK*&@-*BZ(ekZdtEJ%9V%D;<$D1GW1-7F zf>$jBf_Nq}T$j(mA)PkJ!L=4X2fD6ycZ0nH=5?@!P*wGH)8xJeY`(~OY6o_hPcIXN z_+h3|BPwd-(+szalExF1AH_d@{&2q%s+8G6$2gg;8gc0}M!E?8Kux1UNiC>j|9L=o z`0@k-P92hX+J-Yw zgd2dV>w3o7cyg?Fb$0ZCgR@%GX-$^%^RE#HbvOQs(%(AaB+#atQ?9rM_r!$6y9bF9 zd3rv`W!6jT*@pVBVn(d63$=ptp>SA>Av|w0df4A+JuyHO!{U zX?jLRqXoLZgfaxa;&fy-b^o1LY@n@u&5DO7O|2VJ<~YBi*mM=9v%RT=TzY(*{S*b; zU|_vevTHu`1>Hsx#Bu95V|*MW`1stv*V+-TLigm#D>Wqaxo#W^CVj2*5gJ=bfBzf` z5(*Odqbycy!y5uKbkD#@$G+?cTe504?s zh6BoXYdW>9Pwg_b>W@4;q>C)dUW=$r3I3j&(1B>5t$IH&u6KCVLBBV<#L6?9HzLo# zptMCALz64I&QMZ$F+{rAjdzN;R_EX@U37|g<+W-)#J#btsqy|>x$TrPy8nFOdFTFm=I(|x&M6PPm9vtT)2vwik)nM>ZAdEAZ@=NJqf?yE^i?-R zX|A~$o3_be2iv@-^kC_TMJN0I5b)S<#u4}T-Y7#t*D;^M#K)|BaJUpt&y;zdXKTpYFFySZ6yvHg9oS{_NsZ!r&cAJbUW2ezA= zJqrQ_ue2;;pB5+t-Yd1|n2NHD41fDR9ep42r6$ZJBtvXr3&V?4t1$Pc>)xkcJlZEX z=++mk7IVJpp&<|1*~w^7(BCQ2HDBEIvx;ZA=^{qQEsYmtS4B%k$5D_YVq&V+<1KX{ z8oAO84Yw|LgJ068qNleyc;Ru5IPV#rODmle=!1gzu@^6dsC!R-hIXEzgpB?x*5l;| z`kT9g$JQ{ zYWiAQpTxyK8Ra&ldou`+O?F|LSdV?Ae=2k@HUj)W&scSF>3eN?d7C9~x<9j|N(9|H;Ed(+MM&vCpE;0|zEKJtp(nxINc8%6;KBgaS%I3J0My?w}U_4_tkM)T_` zO{VsXAv-#RY~^R~-T|*Ucf|%eH~e0i8l3yW(>G{#U&+uwQc)4{12vVg4bavf#wJXw zOTxrQ4cGe1xGd|M4n$WM+KN!bS&r8ce-=tTW2V~bis;9978V>#=(;3|>==zw!^s&P zgZNEF84u}!L~CpG%z)7QsAR3jgc^yd^PpdCPE2fASRlULa@P$s5i#>_U*xXQ)iCb^ zD0)4guJRuq#+a?=Vkv&fer$7hJF_pbW&KXV$?3>CC2~?#rq(1&;dK9#{M%o;O=8PB zu0{9l5uI(&&}y7G2K*f`o*X z-P^O>(h3nXMueK$mKH=r#PfBE+w>m1*0zY0u(5)OXe3fn1S<#saBMfXm$uXhec#)2 zGIQF79%Q#z9*wD1ZIPP)k{0^yi?F)IXA{HK&z=QkK zPo4EO16FC1-V6gXa8n9gs!e#vACx zQ8>u4o2x;Do52YQN`!tF7wuHOvj&J`e-Q3iLc&utG(VLR5G5eJ)>fhd3}TI|%ISXE zmr$A8EGa_)0ZSGwm*MWb%@!XZ=4~&ASV-M)p5xmf*|{g3$+03XGkc9qmA=% z?OUF_E>caZc0F6Uy#e|TPf!rS+aJ4xfic=QVBTp@0HJ+o$oUxyr_Rc4ER!abP*M_{ zk(&J0X0Q2fSfA`*X?_YN<)>*KaIcMgaZ|wbvy(uAJT0GBuJMVnZ*!Kky z&V+$pYPOO8&+!n{XrTP?*gVBp&t&rxe|_Td`XB9|Q!dsAxp|5}793II%GvA_6vTA4 z-KmW^{ixZeSX*&oVml!|dvC^}NwbaO4Aj)4am*W z-SyL`m-8Bxo27Yq;E{c#$c<<;?&;#3aFB^$MF+WF!x~OY^9;}na#m&>!yu3E{ z2;SvvV-sz?Pb9)XD;k|gEqRJlbU zE^^Vy@z&u8%%}e7<|voDyEZpG7M5P@+@g2$-yIxADl0*=x7ymtG0AMBu>i%W#GP7Q zpX_F@iRE?(361pT_3b$$TiMp)qSx=PX|vNBP+)^mBP*iUwNR6r@|6h})T+XmrdS=m z1=K^Lef*f6n0QTLtKH)oLS3lka+~bL;r00SYsPXIDO(l=4-c4N=cow2>FIOm8epc& z_70_LD2>T!X?bph?Jl>2on5xJ&M%B`^uC)sl!WBS^RD*TpS&p{X2S5#N+Fd5gawvB z8x0|VMpcx%7V7BW5}GdW%r39RHVR#&ZRC77%Fmx^Xnu`94a>ipw^D2x*@snJ_&)z z1kAjIQ4?TeeL|iM;N(8FwZ+yAkuHZ6s%5m7mnp~V<5JYz>jR_qouMwrW?qd%Spq^$ zt5*(?7u;6f#1o2ioL}6eK@ou~sU)}Uu{5wUym;Q)GANTXX9Tx80LE z%t1kBuV^E``pZVX^Ggx3G%-d>OD_z`Q~EY6$8=r{jg05_UsLpOSdwbBnYS6E!2_;=C@|yy^BoUK-hlglgx=JTtShLn?GV zmr;Y!xn9{@;bMpk_C^8l79e=*NjxApGFL6Z6e39dZoqD%yC2VPR-2Tkq!=8O^h0_% zMs^lPf87zdFk5YRn+G*O5RsCVRac#?unm494?h)C zn6R%QT68o4H%4vkO#}Y)^i&1X)z#)G66I4ARJg-0?DdX%lf^NHtccp$U;Q&dlyMMt zXyb_(`4yqz1pA{$v~ZtJEjHjAoO=SE1_b(O4eB`7SP&i^T~HMHVvt6pV0d_Na4hX> zYt^(=cTN-P>ABNpN*rh7;)C#FVq$n?JVV+y}{ z4F@UIdIDKnJ3RG`e7d`L`IH3;jf>YCd!^MFwA`Lv5-yW0ryh9a+Hk`*nEV@l5)Qu9 ziJ44bN%N2MfY?hN9TL8;$^5Oi*p268VWhu>85w;=eafJpu1R}UO7kXHd3 z0nJxYPQqE>#+S-FWG2wjtK~00p^3Rd&*f@+8bQs-8{WD{JaVREK7t?py+8%)H z>~iah@@>)r3zRlKfg>7aw8*G>@_q;Ld|pe*)d>Ba`|15rEM zH8nA&Dap5u=L)^Ybu7Bts_o`|0URD=Q3WHzEh|Cww;Godjbnaiz8J%Fk!@PpLriZY6j$n)98M-^%Mm{$Ov`yu1ReNeNzAAZK|_ z3Q)=cXR1j&%g9{q^ZgI!G{^{bIXPOX0F>(M`Net{CEH;<#P_M$Cj12!%4`zh<3~O* zO+pYRV`Z@~de>(IKUi2#Pfn7!O8|l{oz0h4G+;^9B=rmhhne{SOC9-+3sW%NT^(~5 z4V$@f2V}$SM3%xF;?ZIatI4KZu{B760D=@*u#-DHd?x142CGwHkkP8>^7{Q2a+div z-o?#rFi|!ByM4Ox5U?2vH#HWs8x!$^(%#O)YVxh8+aNcIc5r|I{$$SKTS7wasx2}C z;s8-fmAUFjokM1O`{f5<*A-XzCSGk-esY4r+r+sIOcQZ*DFO&a>f>u+=SA?4SkrQNx= zV4;3R+d5Y(m$$Tz7>FP*&*MQfJq=z!NC*aR65nIU+_(shOyw_!&d#Z7Ox2Q=d8g-C zBAUcsklOoDrDF9vka>90(&4(pe{U;ybhmf;H5;6Cle6k0c%3WBU}$MYB!@cgQDFaJ z1eGuc~|QB8yEmPMA~@N3m6R>%!~NH=NZuIq#%bhge-t z+eWgnP*~l0w6x?DKIgtNFcM|(lS4*A@(fp?dyaM3o<5LBP84K1TB--1^Fd7f@wce- zY~L}Z{zN2hZWD7V;naI!QBzto^X1+CMQ>Hb(Q?-U6}=m01VsH2YEMFAWA{7WiIVPg zF=VtiV(=@!0Wu>d=J|7UMZcq)iX8`~^I&f0T@Pw@dL;++-g6(;6dm1=pU=nskfl)M zj+=<7Yt6x?E5PRR{$jVHu1(Z~z5r>qYX0ux_vf^QGYqx112C+PD_o(H~PyP#=21G?y8dG z4j#0>K`Qoy+_Oi(xs->muDCQJ_~aY6#k<9dA7$m(-Xfa(_aoT}ySuJ|9W7m59plPO z(YCB#>o zz`kd=)dyAlVa9Z&i*bf87#0_n$lfm_fkhxCS{ckDUK*KKSqct2Qu?0R)=))NHD?gB zgVm0M8w*dTUIlwa~ zbd=o@HAKdN|)}A3e++T!Zc%&SJ zWiR<>_4)~zQoeun!}If7xI9s`A~=j3R%K#FLytBz7+YO^*Vb0!^M=vGBR%C~FU&j{ zpQ%tN?g~>lPT-2XV^%75!TDS}b*-ZspJghHiTQb>7i-&{ z4vyC1{V@dR>SM!bnE4Xke-lXehMfHlzcKgna@@esY)XQYmJN5<`#BVAV$jjwAE(w& zLK3IY%VlLC6~odsz?Y~HfDy0J;EWFX(ZabPW>&%l$z#)J{uuDlaY2ko42|~ z`R7L}Izzj=YU+g0LfUFV_Nmq{A*@yQw9TN)6EQk1qamcP-$3-aW_=9n#COeLh5Ul} z6f)tm<>`tLRy>malT*T$kPx-^Px$Tpm|w9(aB`G3x)HX}(QC#K5cV=K61Frns*65? zhTNXuG_PDA9OKYAZnZ&jGTUWR3^+M0S|CkxZs!Eh{`N1~S)~zJcvy$;q!MRKec}@< z`ui7Ck(FK32wpn@O`SHzwqk2P};C2v#Uy?32o&-M@d}jE(uQ#<=ZkfyDq2 z!mq)Aq8&N0x5{bF*fi;iV_;`jW|!J6VMl)p$aEo!PXk2JqOAn=75cvA#bKhv^wrXR(;VXhd8LccZekGe#tQxB|AT z@q@`F&DTuOLM~xp+nLIUPrvnzhSpUYs$J~PdZT{U)#(V`u<%eU9eKme_4_F2<$?M5 zV|R`=wwDQ$pcM1n<(VdvY_U-IQ%;Yowbx%h!#~>hynHbkGg4CnzFyl)=_R9G2IMEb zm?$eVwsx(L)Qyb9)CpCOrQi`?nVUzSo;s-%t>D#Muf@(81ZFqiv=Pt;hlj@rTyCTY zf<{ty^R|Pt{5%vQ6;H<{4FTnf@8_4ldXx(ujgzUowDi>1CjbwbQ&~F8n5!^m#~=wn zMzgpB?y^p63fR>dO)7_q68PyDOeQA5vavokx?6otxiYcRIkyu6k2L7CgGYje#cr;m zt+yO{d7QWr12_&341*z?5$ zm_tD~|G^C^5m*qh6!S(L_prCOn@;dQ{3tN9=x?@vZ|9aJBGsqYANQk{q4X77d3vSN z@kF^QGju=2{|CR*3+9=!{b@4D)&iI49a|!oU_}OSuAc3(hfPB)W)bb|EatDYEHFq( zo!v%1zDITmLx#Z!p&+5Y26niEfN97k%=}z;mdlQu{Jx~=s^DmBWYp~&@nK5RUc__R zcrkvjd%TKWEKxu`uVPk|wN8}{H4*7}2I|nDI-kWbW#4|rI-1+6(ig9OMt}E#(UySS zuReKqG1Se1;=iRVe`)T2*zncGP*$rukpZns#++B~0{gV%vNfd)R8;5vgxj|NL#=y> z*ocVq>|*0J*w1Bg$@0g`teezzw4^;`kX_P;8~>8> zE_CSG*+74@H9nrO@d$_o%%UZ+@r*W?bhnq`L>Oc7#U;$Qwly#bD6mlCHMB`^;9&`F z_T?Oc+UlB`jyo}iB_G3?=^eT;kP%W2nxy9cp87$Uf+d;~^s(L%k&CNZ=t9KKH%lY0 zkeQ)@)p|i)R`$>3F=Vi&zPmr}6G)@u;@RO4Fv-cdth7!-2*ExDu+dIdRuF>Z>`uws zto`)#Nh@n;82D^fUUA_0M;ze&&#~mHasCl80V#~aqx8eI3ujBJ%(Qf|Ay-$fm{?R8 z?6jyz=Y#Ay2#v~hJmKX2H}EBhl1e-0gSoJ~^B+b<-A`2{L}kkQ+A|GC#8>5U#BV}UVgz-7<`!Me z72YDASCy5I7!9Wd($Wqbvu=%T!H#Ux9f`R=3EcdV5O;8h6%Wp>e)61|qOKY!E0CYd z`sOLU*YPyn-pVsGiO9(z;^nKVjcbCz{>FcbtK0e6CDs7C=%R~?0v!t--edrsl_LSS zOm2Z&nQF-vTRfmy+~?~)f4(s6d+Y0uT3MOf{&0RGOQ7@;?NNMcVrm-v=DgZ!K)~I3 zsr5^hnBS(Rrc(khjyHIS;4cUPDcMKdr}9`Nh1O8`rR=ykfc7LQ{y?*^gtK=&{_vwW zYV_}1TbYckTes>@+<@u&xJ(w0&3=u*#|izb-B>ksP_N9rTnpB?y7Dxid}MOJ1BF=l zW57uHlq$Tlo9|02j1y%f(9o}Ur&=3RZg<3^iN2MVCd|z}=t_ifL_ezi6{38N*hm;? z@nII1s3!YN1(UaeHTM1G@D5cT7&@xlk z_w7Y_NXRfN^y3HFd!6r|_d*72J!@EjOM9Wht~gpys{MI*h@ztX_ShNQ`}c=`OsN_( z9=&{Na+_594SRJB&O4K;u<%YgQ&LLL^odWJVV%O0cr-jb*;!zAJUm@1FtbF5uo2oj zq?at79X)&2Gq_Ia)D%@wAukUH>FPQ>gg|TD@adjGcz9a6)<0#_N57Nt(?Ay!10aEq znH?!TR+<$4=9b_uy3* zzh^}amm=~dj)^4pgDgjYG1aiI&g(MUa43aJOcVf7F1$i_**!g7M@L7q)jNGZvuJ4@ z9zRCho3%q#eMtkh!HH+MH!s9hmD43KPj>vDi!B|5n@`@^VC+n`FOuKg+1RyLnp!fH zdI{G#v@f??x`QocFxi}{QIlIp*4*6v{x;QAwc4u2voZ8U&|?bU3~PD-aWWRrno??JM3n*$s=8EY2?MlM7ud{w4YuM@3TAD-3TKCmQ+|^6S4^O3|L*0P(yR=+Z$!op6mdmBOJ7E}bY+8fzeb0a@LM1V?N zf-_|52*1nz04if1GTYilB!#G8;x7ri9tg7drFypg`F*oJ5DPYTS62cy1j!fW6;H{- z>-dC@cP-vg-=rzpp*&{NL4*@lH=Qd$i`kpxFAB~NH*MDNlvoT8%p#q(nA zFLD8u9ItSD2E?^V+1O|IH^!8-8j!ovRG^!3Ku4fMZp%vm@?m>>EMib^wv~XOJ(8-q zV!T6NIu6ohvx8?fx3tsm5TJ4UE?Ki5rPaa0LvVY01|u%<`U1+Jg$zb8#O9K%>7Fby zGD1>b&O7%N1iV(3P!sJw9i#Ybxblkh%rEyhNT;XZqZqxknrlhmtZ@g$ zDGtxOe`Q+Ys;UR-waaOsU}EwK0BGnzWOkJF)zZZU$Uq-hQZfGevv&WTgaiy;m-5-5 zXD}C+uCOr32q)Yn~610{K>30%tgb*B;T_yq6+^v zglMIlRGwd7A!&z`EF~rZ#PH!N=dD+tWm|pdPk~T5% z_D6Dezc}0iSGp4*A!W59@jTa8`;fZW@C1^RL%{a+AR>|qF3nsOe6&mn^4hQ8cBU#h zq!T)-cUZqmuCD3LaMaX@yh89UWN>!XKhzZ}92pn?fPS{?6NN)wWjO=zJMUR(qSJ&wT9|q!1-)YJic!j*p3Ph|Sq`y_HjiqS)9{nob%7 zY{WcbV&2Jg<;rg^kY1m)b|Z<4tHDrcWR?b#b9|E5DJc(oX|Rw>UD(6OC0zMH!RE-d zigF5UI1v}#I)^XPK*M%(gbE;GNFHt?x9~ST1#F13TYC#+e0-`F=Z6ej%M1(x$h9!C z&aKfJJ0xN*@5F3h`iv&B$B(fBDe&5Rkn!+_C%QDP0k@}X85)ewYJ!D`-sW{5d}Pa@ ziRc62?^!Ww8V;X=9wur)MZ%B0hrChd#yr{9G&|5==r9bLco7V6|aHo}O~A zz!NeWdMheAn|w3C9mk8Khnfrqbc47Wg4Ov1m}q{3PUjqvj`dYdim#gT3vcY;NC zcpnJ4yLXYMo>f@xD>Pz1z{}ww=HaHK1O&F8?Zt5xm@-=FH;V^@X-K{zWS$Aj{YY^Yah?bocZw+ku%_VWiiE zHZ@DdPdo>|`V57DxLQ6b7C(%{CsJM!<@vusE#asZVqQ!iv7{9G-kvf#x>>CNfGw0k zZ+JcvA=pw%i`A{aJM?u4qsba;|jR)sRqJ>hA;in zQ&SWy5vk5!>W@k<>mXHDoqMydn7Y2x_VjocF+PI7G^ImBk4#24HiqODh>1X&Gm=R= z^yA`NJF*NtYNU3XE)i#DkTLv%QOTDcKi1pVa=&~*Ce#tfdU!1MiFIxsPK3d)f}Na< zho4(K9o*<4q4wUW;Zd;Bgd^mtt6y9|2?*0=$>ZWg=zKLo#|viTIYO3A!0kIRhEGcqm`S8i@f-FfNg%j{{7jsXH(MzF8|w528FA)%Lv zi$b^Gbv*7prQ^Jrn_AMvAj88gIzxm+GaS@Y4K;Z8auok){l5yhf#<=#@lq2PMQ~#X zs6b7L2~QU*XpUQauG&Nwl?%`ci^fqpfrLIgFYj?{>lGIl1VL1L$XBt+ME5_ffV z^{_Qs1cHzMJtiYRh&4h zAHmE>Kf$@*@ERZo1+yAsRKgN9l(a(9C{{Pb82qB2p`k^@PrrQ$){TM^EPQ`nyEK*d zQ5<^JmEq@a-s&%lUR;S%wjz;JaNrsb=}$6whW%X3P$_n@NQkPufG z;k7>4C{n?GVrQc(`Qjc=chRH!=61SNFN9S^wcFi;u!Vt9{mmQVotas7-wfL9(<64H z(l1B=ADd#qBOBbGhicccbg8M}f%ik`89k_nQa$xcng@-otOij~)NEKrM*%X%6RA^X zt%Jyt9#N#xNdTo+Ve#JDDjQ4&fZDPcz{0}FEH&gM{7Hoe382=^1CT0H9DcVLI5b`B z#?IBF91U2NB{963BNsfl5Hy5Q`{&)YP8R{ceZUh0iykaI>^7%KV(U_PB#m{OiKiTKooosAzN{_o-_nTK_J=`5oZM(9ea7{p!=ZaJ^Q_*fbgwc`v+~we>M0n2#>UJqPS$Y zf=aX%IXMXU`4VdCzm(n3tCH08zPQ76QGTXaaLT|?Q~j-!1sMUXH`h``;JbqitVPFJ zUjv{GS}$=Nc3-U+xmf^)L}_+duD+8YCcIlMRLx>{wWC?FKuRA9c9W_vNW!ZU_Zom*&KnB;y0&hEthmzg4CuvFJB^?}AdwN=05)T@k<_2Yo zlVEN_l$E<=pf6MCGV!l92p}+$Vy1c23>K~qFe8pG z$3tBRNO?J@r$K-Vkt-;=Ival)NVmUW`HGSA{ETZUC)+w$BVDe?03}`;w9U)@@x#od zr{1O&h*J`FEui~bV?$9IWm6pkmb<4rK-&TYaq}w0xF)9WM@P(#kE8_!0fW1Zf=a(X z&wl*d`ZC!csV7@AI@`SAWHRrqFIF9%3cMV3nOxGPxOeKFR1tL6ZeagZ}fG|XY~b!cW%$!ET<{Q z#vA|a*NaC+0{6mO+0;8p(a&YUeNXM|V4|Q+1nKFFIb`N^v$e?fJeV0<_!_OH*|Wj7 za&Cy-4;{-DnD3*;!DB0EqELzp1 z0yQku2qFue!7+kvL{l>|*f~T%9-7HB^j^RgDd`H-TFC&q@?2-TKZ?6LUP4*H~>`y*(RyARCzF zAp${or0mhCMaKzx`gYPq`uKd3iqYDhFRA6qFZ>~S0Ca<@-#IkzvDYBqo9a}Qk zYW@+E4wna0_2WzO{S98;nXay*pFcBlU%h|xHP2sS+i5ZRV1f~t&=h54K;HYsa+b_u zIs;Voei+4t7Iup-|6N_;pCbD*cXyi;6Cj|Ix=!>J0P$pz91D!dySx4%3>k&3wCvB_ z1vC*39z{${oRnYVbh~qGJ0A(t0udd%wyvs4k$$7&$wrIFMoY__;t$B&Y>oV1@ud=D z?1QJndZ6T4a44Vs(#L`|+w`ic;=DXyRa0qnGbbeY-r313o6@h84+JwndSnQ^fk2}K zlsA?iQ}WZ(&(HRlMsr|;6~E0(XtNZ4>rlOr?+%Mc!UUtvbE*TuySUaRcuo7G+d#Ro ztRiMO;6osFMn?0KMTv3W^e1ZVwgwW{o;-OZ*f{y~C(t~ZYpXQAu{9i~sOGf;v|(=S zJ83}yAivT8j=Y4pI9KN>3_^v(*dL})|5zQwG#9ClSkv8M%G58(VC5*OEa~?tf+`+Y z0(13~W22+_vgA1`eQazDZYQs5KT++~AMk-T=Eb$O$E&>_iP~yX|KbxB#wON_p!Z=* zg8(uDutsv;Kd30_Y8$SBcrW7XS0!UZLeO%Dj-wLjxxneAfA*L}Mr)+^@Hih%wE1%5 zGxYG;z8Rk~0W@!Nyo+@^A_Uw4Atyb}k7V4~%79G$E(r+b;WB72B^9IF*%&J;>&^_U zDeF!5n39xEDykv6g#~z4331;tEDcs+x~(8uBu{08bU(rQ7!*`a$RoG9J+mCGs=*NN zb$JA6?hkYG19grtLKXCIE?R9;_nZ|*26CB0x z1Rk<4u?1o)fF>JOTZ>Wj2zk0Uiwx_3Mt^UT3)0aF!o-0% zhh|UT=g-AtQb^o>BRVO57#c`StzHLW`reVzC~k{@IF7vgg~o@?33#n0x!As`DiJtr zrWNLyzM}0F^w5x3gm1~$X$HhUOO6U10FVHgR^3xt44U9_R0=##FU$B$tgY0y2A(P( zeL-19TgiAK4Nw+8IHNp0In~v{1_1eaFh^7z)CYl}1LwhOrO2EgiyAeh;Kd#7nZP%8 zF**H#EbyF3n{Q{$5KMu3GQpo+TpHykA*jLUbe%UYP3it*`x+=HWK`Tr5sBy)X|h&Dydkzhsh& zh2{LLIQAW2r(yc6r^Nr@tS(kEFDqd%@eDvX8Qq+T-o=B@JjhWG-bHE$n0?_ zGTA1YTZEo=8fpes;&U#G2V;U(ZQF;}A88_iKXnH{*Q{k_;N~*MI3@FrKDb>ir_umc z&u)T6V9vnIjEn-*hlFm&IpA7({{FYQTx1d|pg9c|$_^?jcx^;E6bW)IpLqqOEv;*P zjm?)`K&$~%aPjJk*@bo!K~qiiVRZzQCT*e&WG@H{sXFZDpeK7|#_P`MhIz{$;3)s%ap74|gDi8SbrOP$ zAuB}aKNi_h#1~n<8f9t;30L9wVfW8&<)Wg+|J%O#H*}o%Vb57x3(&f`<1}J=I<&pL z7kYXV@Q5}zLEtjL-T~B)vq?jxv{4{h!H>lY3|(6TtL~h6!9ru=OI}@R?5C|`W~k)k z9_Bj}wZN(pq@`^d{Pf5lnEs4Oc!t-V=748%{}Kx_W(z`rt>5?O>A88Jll)FM8n82u zk@E8~YhA<{rbKx<7w3b1@egj*RnyM?Bz4!FnDSyKpzf}muLmSBAmhd=O(8*)lws-` zU5p>yCq|EfgC@L}+T`M}uB{C(5EE3h=F{&0ky}kX8t0ZWCK+JBJdLNze>*zH&#gKP z;C6Q;?T@{G9`!5d`D)2kSZrsf>4IciyLH3)0yIXI9@k$y0}yALUiQ|YhV8K202}b# zy`I0O1_H6a;s1vs6(v>wFhVL@htu0#b|1MZuY-@VlON%+xIAyX;gKFT2`xHhP7X+f zR5qyJ%10Tge6TP#0|L951_1{smD>rS6(mk$4`hc$Gzms#u-fYLnwpxGxVX|-9mjaC z54o9{mrCyYB;G(#Q?v01M>OS*e^BtiCvP0EcL1N+ttP)8meU{-aOZ(kFllSJQ-Ap2 zQEJ%N_vcT7VSl}2_Cof9s~8xSk#baY5_v}*dm=oAZ(6k9Mt@rJR*z)@@*6B*E6V(9PgBnTgVZmBkyrzq4HYn;mS8jLKjE!E`Yof+ki^3TCGIx_yaREGk> za{Y@Y$dr)a0@Pb#04%5KdCv^pIE>fsW}=wW)?R6Btg;sElF>er`tR6}VW1KNdr@&I zRzd|gOruDyCtCqHx{whD@b}AY(tS%xz)iixXSRh~7#{th^In>xwBmP)$b1q2x|(ux z8HAcnPoszc;|NTu1G6KV)j%HHD12A*itSr*6!j`IxMfAXz3~ci!%iDAIGC6a?O3M0 zt6vg~c%!Ubj`4i3O9&5*VK)Y&wsyGFf=fT!zj)0}Nr0Bt)%`_gOw(QRGx~%diGX*K z)0k2FSo}VZnXZn{?0Z>oF!*e5N%r+B{8``P;Xsob9e7%j%$qMB*LqO`un zY8Foe9bW(P_6`cbdT0N{r{l#_)|Yti8Su3>eTkT)^W~!!oWHzoG5At%tA|#M`U0!U zI|vo^F`i{2CAnpKw&B%l;T(|34PfIZJf7XG+&R{gp@~}O-bhZ-*$})xp6n(om}|OC z%*ecH_T&OK5bPH8=l4F@=X>S)c9KSyyK!DV0c1uQ`-lFkj6~7X0|n z#d53;lk@E<@v*j>3x*jn5h7HJZL3+^}*Rqdw%PD!Cb&o;O6%H$AXtIHpV?@N#|s~6OxfZ zJXHHp({0r5>rJ!o%DuS*fiOkr%PA3R_qoL`alZEy{Cg!b|7QdULwC9~H^Xa;Aprhm4d-ivH zru_toD_2dqnZInrJEyirK`X+n+SBEeAiofTUoNNH{nZOZZ?4G^)2K=sY!|Oisk*}P#TT_e zgJ$|4G7g;FPFx%}cLUt>ZLlFeVxnyfvZTu{;zs4Vi`8r?MH<%Av->jumk z)IoPOuDfcW%cWC^#)}}KYt{F?YY#bcGT+(VwRNh2fRJhLw@6-ITdBUjhfge^_nFgW z!%_Ik1PehO6%V;6m9E-)C_z}*_|jJXL|#DXZrOhn6{TsurYy5*0dAUHg4^<^dXxLA zwo_n+_5Md#enH-rEFqzb`Q+eCgF1!BgyY>!?}~n3tqytUug%EQoddVi@xiXH!pq~w zBj<|aV`Di-ppdYztb-Bmvw4`l_{7a6mp$4?t_U<0J7C6GXcOg)a88$Vef>Hsdu>Ej zCwpz9?@PzFnbkh8glTbZ1mY9>+CmF^D=Tvc2bWJ}9PbK)>zz_*X{VcQ*HV6@#&j(i zQupdcv)UQ;44@EF(EOY%-qWt*xbCI#;+w+9)*t&$%iQt%TD7=vzIy2X>AN&?r#-Wa z{d#LIt6fl<8$@>fymkhn88>Blw6u(j3-gW84rJ3@%bS@5dOE)Co!P+QCz2syG^m6G|i7mHBJ>poty}d+q0P`)e#PcEfS;WEM-G zd(HF3N4(0Lp1z-JsI4zzbUNKOWp1R#!ZvYsy{hpiBZ^5N+S}zYjO{={Cw9!qq9zMv zy1KD5MO!&%Wv!m|+(`CnI7=vyB1C2)=lkwBBuI-x6ZH9OJpe1GQSpiJW!l7NXU)$K zhSaO1ajCW3w6*P&l_wfpGANc4!19!zKk>D_IelhAUPs4i$@@G7?$7zs(b`i&La}e( z-n?#uX*Zaxv%!BQy+Fe%dzV#F#`=O@3KtE*)Wrp`LbrZk83T!2&)@2=V-L*${vKct zfB(-x + + +SVG Picture created as 555-TwoPointRegulator-BuildingBlock_RevB_19Apr2011-1.svg date 2013/04/21 23:24:23 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA-cache.bak b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA-cache.bak new file mode 100644 index 00000000..cc0cf1f1 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA-cache.bak @@ -0,0 +1,201 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 15:01:13 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM78xxTYPE +# +DEF LM78xxTYPE IC 0 0 Y Y 1 F N +F0 "IC" 300 -300 30 H V C CNN +F1 "LM78xxTYPE" 50 250 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Uin 1 -600 0 300 R 50 50 1 1 W +X GND 2 0 -450 300 U 50 50 1 1 B +X Uout 3 600 0 300 L 50 50 1 1 w +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA-cache.lib b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA-cache.lib new file mode 100644 index 00000000..a19e55d0 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA-cache.lib @@ -0,0 +1,201 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 15:01:15 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM78xxTYPE +# +DEF LM78xxTYPE IC 0 0 Y Y 1 F N +F0 "IC" 300 -300 30 H V C CNN +F1 "LM78xxTYPE" 50 250 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Uin 1 -600 0 300 R 50 50 1 1 W +X GND 2 0 -450 300 U 50 50 1 1 B +X Uout 3 600 0 300 L 50 50 1 1 w +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA.bak b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA.bak new file mode 100644 index 00000000..7dc45cd6 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA.bak @@ -0,0 +1,153 @@ +EESchema Schematic File Version 2 date 19.04.2011 15:01:13 +LIBS:78xx-Regler-BuildingBlock_ModA_RevA-cache +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +LIBS:78xx-Regler-BuildingBlock_ModA_RevA-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Connection ~ 4950 2650 +Wire Wire Line + 4950 2650 4950 2150 +Wire Wire Line + 4950 2150 5500 2150 +Wire Wire Line + 6250 2650 7600 2650 +Connection ~ 5650 3200 +Wire Wire Line + 5650 3200 4900 3200 +Wire Wire Line + 4900 3200 4900 1650 +Wire Wire Line + 4900 1650 5100 1650 +Connection ~ 7050 2650 +Connection ~ 4300 2650 +Wire Wire Line + 3900 2650 5050 2650 +Connection ~ 4700 3850 +Wire Wire Line + 4700 3450 4700 3850 +Wire Wire Line + 6550 3850 6550 3450 +Connection ~ 6550 2650 +Wire Wire Line + 6550 3050 6550 2650 +Wire Wire Line + 7050 2650 7050 3050 +Wire Wire Line + 4300 2650 4300 3050 +Wire Wire Line + 4700 3050 4700 2650 +Connection ~ 4700 2650 +Wire Wire Line + 7050 3850 7050 3450 +Wire Wire Line + 4300 3850 4300 3450 +Connection ~ 6550 3850 +Wire Wire Line + 5650 3100 5650 3850 +Connection ~ 5650 3850 +Connection ~ 4300 3850 +Wire Wire Line + 7600 3850 3900 3850 +Connection ~ 7050 3850 +Wire Wire Line + 5900 2150 6400 2150 +Wire Wire Line + 6400 2150 6400 2650 +Connection ~ 6400 2650 +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D? +U 1 1 4DAD86A9 +P 5700 2150 +F 0 "D?" H 5700 2300 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5700 2000 30 0000 C CNN + 1 5700 2150 + -1 0 0 -1 +$EndComp +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD83BC +P 5050 7100 +F 0 "Sym?" H 5076 6896 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4926 7334 60 0001 C CNN + 1 5050 7100 + 1 0 0 -1 +$EndComp +Text Notes 7650 7550 0 60 Italic 12 +78xx Type Regulator. Mod.A, Rev.A 13Apr2011 +Text Notes 1400 7300 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 19 April 2011 +$Comp +L LM78XXTYPE IC1 +U 1 1 4C30C3F7 +P 5650 2650 +F 0 "IC1" H 5950 2350 30 0000 C CNN +F 1 "LM78XXTYPE" H 5700 2900 30 0000 C CNN + 1 5650 2650 + 1 0 0 -1 +$EndComp +Text HLabel 7600 3850 2 60 Output ~ 0 +GND +Text HLabel 7600 2650 2 60 Output ~ 0 +Stab +Text HLabel 3900 3850 0 60 Input ~ 0 +DCin- +Text HLabel 3900 2650 0 60 Input ~ 0 +DCin+ +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30BFFB +P 4300 3250 +F 0 "C1" H 4300 3400 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 4300 3100 30 0000 C CNN + 1 4300 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C4 +U 1 1 4C30BFEA +P 7050 3250 +F 0 "C4" H 7050 3400 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 7050 3100 30 0000 C CNN + 1 7050 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30BFC6 +P 6550 3250 +F 0 "C3" H 6550 3400 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6550 3100 30 0000 C CNN + 1 6550 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30BFBD +P 4700 3250 +F 0 "C2" H 4700 3400 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4700 3100 30 0000 C CNN + 1 4700 3250 + 0 1 1 0 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C30BFA9 +P 5650 1600 +F 0 "HS1" H 5650 1800 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5650 1450 30 0000 C CNN + 1 5650 1600 + 1 0 0 -1 +$EndComp +$EndSCHEMATC diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA.pdf b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModA_RevA/78xx-Regler-BuildingBlock_ModA_RevA.pdf new file mode 100644 index 0000000000000000000000000000000000000000..f8a920a79583e649e07f559f00c634619d1550bd GIT binary patch literal 28396 zcmV)hK%>7UP((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58=y-lwryN)F`-@oFF8}M;RA;rh^ zO$spJL6?Vy2gb8e1>@wP%Y**=9sF2}RP1x_4P~8Ig~r2unR{i3k|>IzNKyK4ujO;A zYp>_Wa{ce0|LNm@{lAxwfBF9}c(2Q{Y#;yqRX+Z=>%af+^?&|fAIs*jkl)+Z9B9Y!v0i+>`u+9sV!g{}ZBOg<{`PJA?5Y2KE1zvEa~$Yf z|7r66&^IV9BTqN`!EkfK&j)nFzT7lnFtzE_G#tD=T=nqSIJfpX1~ zHGr2O?W`XZ0s(eWFN^m2i$vEVtxLZzCYBX6cGE~rc}AjDHAJcxf+Q^~HA$BC-pXY1SNl2$?gJt4GxKduE_g;FN$uMYwfTBh&&XKSk7 z78U)zZ=ah<>9)vZ7pg}|!1K;QeE4%he9##g)1~F^P3Z>oPwEyW79AAX(5Z_K5_mv% zU;C%5T&^$nYuL6D%Z&VP+Zl5oA5c5I1UdJlLH4!TybYk+)J7Svlti@^P0TUZDEtkL zf)bedBnjQ{Vy%!FwkreLbd+J|CiICngt`DksS7|fCUty*iBFz|dO-6t(tXCF80!XQ z@{Rf;0O$)A!julWnD(!z90C~)%!u^@#iFMZ+5)EgW%VsZ7-)I|zn>WtCRn9hw05;9 zWc6+Yo)RhBag{N1=$FXeTCd;RXFY_Kt62c};1SWIT%#ve9J_bO-?lo}!DBf;_v1`G zJWA2oKh;GclX@UjF3ugflS21c`dlx43@=@+mOI7}zn`(+lyE&s!=}0b>$V#IYd;jY z6q^C-X~4Pal1k*bm{W3@<8yZ!M)deRo3!kzcJHF@u2tex60+v30q}R%CH3or4Nbst zb_HY^wq{r@UDxW=jEl{sj6mOWyRIEcw|0Q)el0L9*9)eyWfNS6JjPH#9;XINM$zCvitVR3 zYEc!2jg7&uB|wKQig4UW7vpy4Rc_wQ{4mjO*Q$TEV)H{ps9kG(>05|$Q)8kSuwIKm z03zJSWl*Iura6V`e(oVu0yG^X-wd|Pwh#?uM1a(z=Q92CvWqg+u12Qn9#f~eyYeGK;gwDNyj#D-MsdojR-O z%pg)t{-})cMQGluo};y-d0zsxVzN}$$8}#zR$dq`GpO~fZp1Dsc__QsMKzD)OQ3D( zS>0TS7{8Rhep!EIvBkr7vGR$|-V0e9$m~5WIRdPzkI0D4Ji-}R&NeJlV*KriF8Y{i z?o{Y5Gx$UT7eQqiI&dlCHLJ^DL`KAkl;)gpX5SL!=r$^U;2m);_FIxIh$xVmEh&W2H3cPv)uobne#ydCZ;0A9 z9EF!a>c63w2yz}tlH!xotyMv;0(oi*w61ynN`oZokp^eCsTsg#Ld!BwdM=KLK}Ij& ztlQk8FNJKavtA0BqW;<7!v)yFMoGUAvvxw#OQktBQTb-Jf@uz^dv`M#+C`A2)~qX_ ztsK6BJMa=jZ1r5|REnwD z(4$&i^RfNa0(Df>0+g-g_Fsr;M-B?V;j|=S$g8%-3{D8FHpdNMmsg3GWII6sHC$=r z38Gpo6*Aa(BnnGR?|#74CDPnI|A@@$;u&O$^I@9^4v~!2om(T0si#!B z#ideXfOO~96q#sDH^b{fb~B*UH|ODi8f*OIjm|fv9<}B)&3JW6SR}1E&4F+szSX)A zGsF+T9;hT%m3!y9F~?h-TIu4hrKIaYV# z4q6!8K`%05Jq^Bt8xtJ7lye`X0>Gw{`lWy_3l~xsL#pkfC^#>Hbd@F4C6X;R9E;7h zX48{00+=S@;IXDaP!q=PB~Z^p=f62{EV8>zPpUiZepU^Lt(%y2)vbiYUm!D@B#{AQ zb_y)mu$h-6Azj@hnJ?Y8XFgx-8$C#KA%uCLb~qisnF6k50y$vHQJigKS zuGpjI9A-$To&$+~p6*H{(tNQ^O6h{k{G#S+#T`J@ZWm%k8#N#iDoK{&bR=a?SXLh_ zLlZ-3-+K0p9nGR=|`eG5@n`o@Q+RSlu$;kgm|uGpjYGz-RG+z=b|mzpYz>lr~F zlfiQ3qkI`bw-w_etVfCF+INue;MeIkcJS-Cjg25`QD=UQguW~G z%kA(ZDvJ*lsVwfea^q6!vAuZ$wlnXBv@J3nVgK)aHN%}-1pOj!K7$H9kOJ9G5-uF+ zlF9_TB+XmPHrvT<*bGT8yAO-o;rk^VN528^Z@Yg+AlswDKcgR=b!hRWCQ9~ONL95A zu@Y_1GIHbM+_n$JHaez2`a*OE1y`C>w)Q&bzTRblJ6m$=2(q{2{@Az0J(Clzf?bY_ zEwORE=*l6knm4ZeCThAiNM{+ie53PCsfVqBc$wEpkTZL{^C!+>sc7E^nY_{YuGqtk z)V{At0%Zp@#!pJsEnEJ5k7|`wl`B4mJma+ethea$RIFE>fk&CTlJ)h_Uj5>fiM`s>SqFgwQ2T23IK{< z>df9YeiPhi=KRat80madYN|C10HQS!%t_yX72cdQn{R}^EB2^8=T*E?0g0Ji{Ad7F z(nB(;6F61Z<)#6yT?(SEkD0Z?vB&uWWQs~-5NOZQr&VV&N59yaJW7CyQ4NJKrJLZaWa{wxbkx+d&%} z=cpf>>u6&}tREBGZQ5uw_XV*K-a+#(zCq{xlu7RVNa(v_Q|+-%gE2+Kt zvUd8>%qL{_YIb<)#OXnY8D8wgPNM`FBIL!snDrUFxDef+WnQ5630}}FTX#8;^Ghu( zbv+pHkcg-4v?3nDUuw6>G1ECB2-A~@MDopDQy#}0+$M|eScv}m-3~L!;){J*vjN6$ z?qt3z_0UR_+jqr=lW{#z$MOP08fYUly7iJO$NX$*%LpstbD`f17oPhNx9%u`V`6QpHpZ zPdyZ7NHdv|ko;E|v>Xv@P0fNQo^Q1|QnA(ZvV*c5_1G2h1F}MU5#eig@+Zv-b(ACS*;AOXOf)mZ0h5Y7?(09ci ziKFdTC8)d~ITGl|oXQhBcR4c|i69-=PwVcP$V25L!=30lP@bO|^&(!c(a=*pmvmRx zS59?dl+BeBKe?0nrqH9dc=RrH$W_sWh{`n0qgAhZ>MDRK#>oK$+4noyU+fC14z-d1 zQ#@>nh7Hv!OpO5o`CzHy&SlaqX>({*7X-)9I+oEx6*ZGhh?#q@T(@}lA8SJ0G+kW~ zL*y5WCzkUYvZ7X7vlB0FguW{_)t& zEa`ICLIT56{Y31`TWs+$HAkYslG)VLbe0|N>9XUo9U=>Yhb+X|O2VAbsGNOx$<9(W zdUWgBed;ohig_R~k#2TUkJY~d@-{{(_5y^Xqh5I$z8imXH#q9-YDE}}?5Gjd1GMUa zr(BGZO41a0R*x|a4#g~BN1_<|8l=GvRG&Ch8EZqa=mB;L*nMN?XtUaUX^4FQRnb|C!d?6=6BrW9~Mo{;IqJX@(hrJrqVAN7>i0o9-`gY8rOdS(P9*$ej#D5xvZS5K>MPQ=W!>(fnd#z%AYT}?x6`O zCeS`l&5cB0UaepALb?Y_5ZQ`p-MbyCxgaysE##kmZ*E7R%v9@Qpip%T%WemO6SBEE zk_HO3Qy02-D5+v16fTxZA_>|tG^n4WhbATfJboV27vIhv2Fum;ZLyGLX!vchqje#F zK&A}Pty#)Qa9M607?|vr7i2_)ZM3@NY8}~Qr{(~m*s>V;N79@Rs2%)c`=U&vk_6pA zFdb6m&*#Io-4+G_6AJ^1m>d}mQ&O}aHp?^4UD|z?1sir6jnAt-&6^YJ$zCmxJhh%6 zyD;{eNE3|7A-S-=!uXMn3xUC741Wd0S;k_Vi6l+A-nZK%*Q`^UoD@JHu@Irv3GuYa zv^KFeu1#za*Crwbp<$N7c)fx)2ld9a)W#_*|5)ra4^=XO8Ovh#0}iA@fV1;LM8#*u zpLYB&GydeN=Zr^oWfWrr*XIso=1#^`BQhcIC=**ENv13JDMD`Jx5I>X&@jDl{-6(#fOYuW` zXcE60q3?=4Od{26E6#cXGrA*Daeu(Mn!`Cp1v#%AgtOTx>R7-oqz~gFh`PE%LlT*4 z-=ViUrd|S|(JB{_NWNVdtrwz)l#|hl^i&$Da*>DbeF=Ep>y*`+TH}+7b#n?KM|42O z4g4!==cJO%SDg!-R75zbSSltJX~#(H^o%ew*P56BF!}MUl2pyo!Y}eGaz5EUO*UOx zG8~vF8iqqa=x7uJGlDcYCWz+0$NIG`%grH1gj0>J9uSjm&d{h0^D$Zk!y%Bu>`auR z;SjVjK6kFAHqICh+tM{fHB_1)b#RRevScFwz|5k-+|g8#r?;kxc9*9ZF3+tE?^8e_ z96QAj%mHa|Fsg^9k@QwQ#0W&QWXn*-LwAYp`8g~`FCrmwC=`8gy3tM4oFs1K&cmeB z)lvniGbDGlADIjjTn7a5?m9!D;ug2J85y|IFCt_+OHpS8ZSs)ygUf6p0rX-1cwsqA)W=c-za?q88+>d3=1$TCDNeti%L zRVD2@TWTLOM#G~{Xo?k8gV?$9jW&~uf8E^Lp|o9y?KfkV6Qa1W7-u6%Q`%5FC;0`K z(GF>=hn-DNWez*qnCKpMI3rDSLYxmQ7QF!J=qYNCi9Yh@VRmfQJGK&2flLW|BID{p zLkoj)Kq78JgzR8q2xJ>uc3rLoJBO8*2*;15LS2wH2ZQxvyx2qn=*5h>SevF_aidpE^I)e zn^#r31P_R5z_5KT$ZSE{K5QXvA0ilC778IDX&O4HK4goHp6cm7EQHe2?Y8j|WDFE- zdY1JZ=0o#qR>MFd5^Y8?iaJSCS+RO@W4|w_a^`p+2?yd%%v}3pC*~%Hj!W|WY;vM@ zFeQ57hT!qtD3YqQoq6Wz5xqbjlhLzTA(Y*&y-gyhNzB>h@QCo{9LDJZL5!c&;`}B! zvAN|FGH@gGU9m^)IrKW55J@>8J4j?d-G85v;}|vc=Gkf?kqL1P&0@3xNIJGudc-pO z!v$#`JoC$U&}PoY08B<-QHX*}!jN@Vo`B=Xi^CKCZ7Ww?XP{h|T=E*cZIzL{KoF@Q z2R)-M#&atkg&+rsu;(ll>Wj45b=HrbvWW?R)iTlbehb7BnX(c#zsN6<0YaU#`Bj6d zPn#aaP0DQB#@K|kW(;3Mi)T&*AWuqe^46<%m?5@Scs%^n+W=_YUMS~?$<5C8jVDU^ z>57aLHB-Lgkegghe)_pFkS=~?k+m=C!~KdREire>>4=_~5}W8FXNZZ}bl52?@29-b z;TKEI{IVl* zi94I0s$6)JM!$yk2!xqrsSp}$T%S2-7t)5qZb`WO_-+7pVlE4tpKQ}}IyeMuNc7Q5 zMRT1lyU421MYfJ*aH=lI5`lcC))Y4xo~BPN=fH{8Pk6KAJoOuhA6ZMhz)`p01(9ep zibcmjI=F%A$&Gd&aM{7ubs!8RxWU?|xY0kOZoi~ssnM86G&akq^4TI(97(L%EFMk% z33BdP{$5deod~7Lj@IdzyIw9nI*y^L_yogw*y;8>oCBTjN=>!K#x6I?;`V1FtCSZB?b&l;M2add`7IAv$?vnT*Y8c$0e=7e<08^kmD8{?n@X zdj{lL3>1Hr!}5_?h5!D0G=g75vyFuPenln!`c2>d_K0C^y*Rf1A;Zx9V3;Fv{7tWP z|H*2c=vB>W_hkQQHBENmLX^Fclw7d_fIO|{Po=C${Gsn3Rj$li^jRw+MF&=Xi>%0R z8M7wu=PjMTFDVm9#yUQ+yPSgrep@Af59BgnRlrE1>dRcL#D4xgT7iG}MtXVtenl1k z`c2<{VqDmL5M6s6kROt=`UlnM+b=&S%p=jOn$4wbvYNk8xrc6;lQ0u8O(ef1_y|SS z7rP!h>9Vf(njTTh>eKjsV){LK|0A=+V*fPzOYu>_zU_#(C3NZh)jOTb(A zen6b-VZ;*8w$*v^gGzH!Xh#z+Y0q-vik6>Isy72_UC~q6Yi@_tXY_fhK~4{eDFy|N2eOenQEhZS8j5Svu;JI_lrBhAaUFM}?V-&vQ%Z_JpC=%gYEpLMK- z^OEi07j>MM_pa!o`PRLk9GYUf<5%Lz+`RnLYGTodWo92L{+UISdX`$ok)N#wlY~qI z@()pFsW(J?k#J4IMTE_Wb;k8ke6kPw4E*FO6M$|Kpw762po&;z&)Q`XS?C!elX~Wnl%+)m$Uj1zWvv;=(WphRP=%W1S_V}VpO~&9h4^U;O(3=wB?`7! zMI~6yq8a!Ctt5%DBUzdK=yDc&lNB5TGP#^R+nL3{Bt+PXEfKiRR>Z(ySH(|!+XV{o z(+7qKxJnAnc2>fHVKeXrT1gUPM~1E0ucHhe09z zbi<5@cQ+`w-Nj01nXwuE1zQOcw~l0c`A0<=E`=Zp3;IVz8T+HGF$0kU3%=_D*F(i} zV6b(IPqwUM@=s$~AkNjG;Md)<5)4c<17Dz(Br$d*Z~lH($H>JXV#(0S)V5_ne#T_J z5lz+nsFO*e^k^tb8=Q!-s>F!DBj&1pv|N?8!}bOu*M{kJgYU=;;b<}X z;8lGE@?I7D@J8ra?eF}$&6(fq#Je~5?##zubWqoA`7`Q=-U?qN)=_N@2U7JCNMXpS zDc&QZTd)i9S84^4iwp#^Ljmnt59HGPB4^_CGD<<@IblKi?f0%xu~!so{swIjZWp84 zP<#8_G*TKZv|ea?#*Ts4f6fg#ByNQ5!axD!yjrR%J~6TaRM}YYlRKGj3O#CzoS-0! ztu197201@*o;TzyV#k{4#KzTxQ>4|}YNS(pImq2n{QXqSPJ&^9YG>VZoB<;L;MfG4sG%+J`geEw8 z0WwBGSm{W_JJ${*T8Ls415yrIxpC+rTUSkp$b$nU*t%*CKt`I);9PtAT-(sXX45JJ z^=LNnLQoR|L1i%tDk#TTpmuT<4GcNG_3I%s?D2A`Tkd!eX4uQ*Kpv90Y}kRLARBT4 zBsgkiriO0!*=aeDQqgJiYD|j!b1LWJ!lulWMR}pjdTYhl8k#S8vg?N8?b;ItcxC+N0ldLUG!=6-oMtOp#f2)%3vepXh;^Lh9qgKNY)P($tH%1y!GsvF}Ez7p(Yt^DQ4)- zNyeE~icnef5MHoQ=+=JBHqRV|nQ-NLvX+M$TsikbZb7OWye8a& z$Q2yXuZ*~GM==O@kcMza{Vv?mL<;x4XAjxYX#k5bnjct0fsQ;RbIJ*|%+y2A-A#_o z&Pfe2J2ePK;`lu34ZvE&p*h1S-mwua#~_0QAc7~CW{MyvhX@|y(j4uZ=_a<$w7CQ^ zYi`2k(miF(YB~r*0sbm&b(3U-!MDt1#wQbBSm zzqhP{5&{*(VpKsSO%=rYp@P`NP(inzJ!HqJiA!mHl>~%(&aJOPMuWP+)r-t1>Vnu3 zGSfb}K_eM(Lb%TET$&~$jjaPRMP^mkTADGENwyx7Gp07ZBR#hUggIr11ou#Cs>R!| zx00%Q_KiHGV)u!RR1AscbzitR8>;2%#B6x6a_os7Rercx76Pz;-UMs6<%BB*zKGSN z?sU1>22GH2UkBvStiCi^SvM$ab4<`Ne=}k`&nSk?X^_SSHmZ*yM-wwr^PERNLG}^* zPFjgz-$~of=C>f2n67T>={g|Vdwvd{IRWwl_^dVS;+rqFh6uU07Ftryy|wu2#@4Jw zy{oA?tK}PJq~5HywbHOFuEW>L8I9WOZQ?!Z)_R}OL@1cMo%+8A?6v1QV@(+&M39c{evlC_gPXkik{T^^2Y zVtyk{3>cBrASW~>mU7(cC|N9lBqmxkIU}cCu$0+)stUCy$Tt7T9vQKx!ZKnP4jN*m z!0A`ewk!Q|{f9o(_?6lSn?5cE2xV!C~18Y2`>) zc?qJ|OvHS~H%Z;z2>n$1P2tdZ%x_#9mQCGYf!IP49u@y923GC$V1YPXP-MWz0i z>e;4C>!OzjnC8VdHrjH6UG7xpCvS9qs(IHswabKCR=tVl*GER|4xaB3OL<)JEZ;kH zC;ekB_8T4j2{+5#24c7Je2>@@S52=a(iY#LJLw;5@or~?%cl2hS$e)9Ty4HVwrg#$ zrQhzJe!W9?(myui7az>i-*OG)z>4)PqPI6{IL2qZyd!pyP%Z}K$27byvP(%CUX{SI zXu{CffNXZALvH6<6|g5^UtAY1h@WJ_KiFQqnT8i`1Y(}NuRlPfy)+44Z^)hS53T`A zkBv@bf9o|%&Z>l1VE@`As!oxB4S^Vu)?gsTY~XJZEMs{@0kQRSIK9K6qeZFE{v&+EkqeS?}np-s1+RjsD)0Q<$-{h!7u5FMKT&@zKZrKiEsSZ(Vy^&B>j6x?3&0P=|(u z1X!q&L9~7K6Teq^ zMX6LgAFt-RTZTT6o4d?uV5U-Q2Oab3RKLXc-kKj<#+qGNd8sRj^_nkSpG0xwE4<^O zKQBag$WU@>ts#XMp6{lYs1ATSl?O5s`xJzULt}e>Okg2cwEIP}oS!!3I9Fm^AXu8% zHOd;Ti4f6iaVQX4eUE}8egPI^s=m-^gKRqnNDv5tZz*n#{z21g(dW4rortlg5c9%! z>>Zb}jj10$ zxPj5F^QBdn4I7x6aXB!de)?CMT4!!z=b%<2J`XiYUO91EhNt^%Qs|-u({fQsXI)c1CDbC zZ^$FU@MRzRlG=Ck^tWfOnXJ36!M{H4%=!8TnIgV)NH_`L8?hPzM-04`6%Oin@jj{c*o$kuhCqkTVQWbjuH<`p-f+FZG7svSecSjyt z7iQd2akyYD2hyY=p(zFr^A`qE3XPw1LsokQWwFPszeA>!fSszz3u*YFyv=d)h6u(c zt#5K#)aUOWOaC{(ljiY!hdudh2Sv^!4=0@_F`sQ?A~|$tY)lMsKLHChf4n5zh4ib8 zRS&040L@sgK6GISrithPonkOJlkW=W!qSAUdi*`FL6xo!Xw{Ye5R;qNJ2W%NQzt@I zgk$_%2RVkn9sNplqN}kIfE#07-yt#4hmS_&(XrCS&j*Hq>WVW7yLUsbAUn1jg87M3 zb}89c1Uply)6P<*83B7G=^bNY(tDD^ek~i`wl%CV>}>W zX|3jxgj;8447B#_X4=cAJ8a;?fxrhdLf}pe)j)$n5sTdJFTUmjAvop;af5-HMG5Dc zJ-a1Hhb11{0QZ-sLoQ+Hz)U%&}mh{8Ab+jV8Y zS2}juJhc2`?LK<(2?xgBDa!gIV(b_y@v$DZU+{#9tI3jsl(uB_0%MbbLf`m&Ij!M? z9>VaaFKljmMHl=5@Z3T_Bu9RAo>eU#hNW!to5mx}=}<1%-01p@!wx((QK3BbK{=kR zcnLd1eGH;up|_9&cY#ypM4s%R6y)+1A{HM(zf*p;#gdU2vIxX$f4w0OCsI1>X(ujm zf~X>rEs^jDy-Vx#O?S*1?SY;3if`Pqi=#>3%zzjh9LmD5Q>T#aTn>}SK`b$gV+N2F z98p?nHF*owttW@GT~%)$m#Lx7VU@*EG91-<({h|lIH^u$`HE9Q{gWv|20$=zU@DI8 zFo*^ohIti?-cCPOK$-I+7xBg6M;ewe-*Iq^(nP{b3gKP73N?pCemJMtDY*!C#nC$t zM-btdved!*64N5!)+f%tag^ESKVE^tjhQ}*rOdDK;$9$@J8TBI++l6Kkj|B1Y;=x* z`C01k46Np&K;>6me7MO-+W9ze9LpBchWDgnCCKZCSz)o_*<4BS$v4fSJ*z(BL)nOB zkw#ixknU&xhIVR_A-n21Yb3DokCBJQww*IDMgJ=)lUN>L?sA;{NxTr4SEyRdIYjmo zr@@U_PTkH*bC<%Kr6a*hc?<}LRlTzlzU6Ogdz;7VC>%Hcxnya}e$3*M=uYN1AB%DIm-edEXC^W25` zAC*CKMT2}x%rm_3@<-0+r07vS>S{Qe$V1^M^~xWWb_^c{g2j@vi{Gp~q~lx8kg;?o9S7IJMQYLO z8&ex4CzaVpu*|Fno0T3BGaSlAz zeDf61M7tw?EBmE@^w369 zq01`LaxY#2Nf;q_?6Ac+6+3ht$fOTDYRu|i0@+L4Xrv(aUUqckuuW^~>~0H-GsL@= zd6c@=7Iy`(`)zS!vUi^!a*N1m?|yfm4sz?nHhfd{dG}1Gx+h-{4XJGlp57VsNX$;%Q7mlHLLPa)0XB7IS zPcN&cn3DNxDX#ila8$S=C*VThm=EW?Ca^OB^0Cvqg=oP+|r=BGXjGrcTL$ zQW4uZGP^%k&rV&4EGCnkIyDadXJiD?eXcwZpi854T#hveVuuA@0-21i?iG1b`?2V% zooc_3@*7liJ#pP2REoujLVp~3J8TB3nqt%^FF|yD3+-_sI^r?Q_ze`p1=KywUL~Uk zhGUA$g$Xt5dug${bp~WTk5uYW$GZ=M6_Sp3AB7;?Tnl7G?0~51C6H=&xj{#7&JkpF zX1F?$yXyDMF{w;d7n!OpQoB9zdHbyEP-@e?WTw2?D%}=@BD9Ug8nmQ4CjOiqr=^ak znRS}+5(D^%Ou>+Xik&@83*D*Pk`Yk7&Xw;X)0{h8`c8WaC9S+?{x@(|1iO*>nK}lFn-7RE5cTQP&&h7(B;W_=;`)IK39+DKCo7wtF zc22XZGczoUDHqeNL8iQ$KQhUVv`|9WGqSTSg~pU=B0&~id{$45-xl*#gdW@$yG$YJ zL4m|s85*pg=BODFFoiA0 z7EANx#NbGKYM%RK;ehDk$3l;K-UnHcp7&8IA5pQ;XQpEDp%7d_%=7}g1QC-f8Fkyz zTwMVYL>*PB7#nCje$+sVr-kAXL=O37y4M_$T~KQBZhOKR<6$d)?^jeM$79wsu>?>J z9K&0do9*=y=sv)f7Bx4(sW~p%;uc)?fyd`f*afMBXbWOO-Si@Af5kW6L;;{F(Tkmm5 zWTWSDRC1igdUA7Ec`xJiX2?CMy?Sc2GwiCp*1Hs@Z+z0$GMKDd`$*`!VvpLh`&vw< z*2A?ERO41p+PS9X z&qJmj+^B%5hgtYji(Mk)tIN9EYzwixkYyW@O%H}-L6xh8OB;H=*%CzF;ENc(jax`J zoCMPKCJn?+s|bU2s4Hwl#_iXWu3xq438|Q)&F^=K!AjqF*QKq;Hgx?)=(}Q%+T)jy z+5D5{G>zJGLOvo=hE0l4S>27miQe1|J=eNTkCi7uNeFlojzEik2!dUK?Gv6&4gEAmeVf;6#V>E0CrMg=w!Uko&A{t15sBGPkONtl14nGD)(#y+fC* z$ZpTjr3oP++SAld95yPoX7|mu?Z07g z?CrjZ+V76OM`WsRKZ4Ba%K_VmZ*=`Dj@SfcU`J~At%W6}KB|0kFEaNQ@(AjnK*Z5} z=7mQP4c3M4R7#or(Ug$K)61X>_QSOmq(8o){j*E-by#QQ(e*OeQ5RxX6D3gm@Wb$3 zgt|?~RIFPlYHCluTlg^~@ThJmOi18GM5khm@KLBEr1H}pkiK$X zwVw-})wa5C;?G|8ENo|W>oRsdr)AvaVwZ*E!CW^A&ebJ_M!{J<1Pq-Mgi`NsPD%Mh z*V8Fkmj^Ce&6?`mJaiTP+SD+M`I>7Q8X~ z(dg{r8b5}$@H~dt?ZZJnZ+mzleA~n0n^+6a(+%2K3$NM{@_oD*?&8Kh_M!GmD{uQ; zC-%kMb%}~SH4%NlC+va%x`IU)q;43LkGoKoqA!m0y4reG|Vl=>+L_O{&OqHoLR ze#(vCb&|)W_^lHZ0lC8K%rfwZ%xYQ5iA=NTc&%<0$~T8>5hV>s6_+4w&)j-R?Iy;6 zqCHVe?qAxJ^yBj`MQ#mF5-2Ua#OtgBOFIR{gg_8`_ha?tAik+?>MX%o!AH=J6+x+Q<}M(Dd@kJ@wEv1u=KwP#(- z`iTi}AFvK(~4Qe}@xVGPd5Fl*vcwn@H=XMG6?6TYu@EI<0b z%8TDOz;tei*jiJX0l&opiW)Wh+n_%dHlq)-v-?+Zu~at?sa#=X{z?a zQcA;G5Mz80@P}kfzN?=P76hsgO+G2R7_H03*v_F7;@Gc8E+#R9Hps3xb^5FhYqD)0 z%u4anw`0<#NMw8pi2J|vEb*;ce#C&Z;~3_EY`A>pxUOl{l3u8e_z9I-tCntZWM7ja&Y!p`n-{>*D|P72_$5FWeqBWN|n>_`(OadiTUFgC?8zKp;G1S zd!JRQ+u~0waf~-ViZdlDl2m$x5-~DZ7ra|rxf#Dj(*4`GlFIROHHWE*CEOrhsF;)d z2GdsV5!hRr*)OT==sgXXAfs(7O|-=qvmknmxc27(=d$mg>7h@lX`{hqJl=P%B)%L{Lv6AF~ z!DX_0`u%|1_RqUt6rZM}ghb9Q*KY6jO(~gUwG_f&pB&iV2GxCdQs{tS6+^EsNS`(c z2|S8>TBu+T%l;3ckdy!GXbm5JGAG31SnnHDd0}x}6b?VVlT`QNSKv;m?n7>;8us1C z?pka;fgBoGmMAe!}^9A@?oo(eGQo8zX`hG5hJMHTG>B;`7rza;Qk+I*09pO*XcZ>oASZMhDIFRrW?k8tC`^r>Z>fHMMZ_eL`yCHW)nik*x^P!i{U+;}%xA zdLcc7`Rk*~sd^PjypH{ZOo1!N4CsrDyaur4Er1|v9e~9x1zOz#=_L(y@z6yTH+46` zwa|qiriC$w1<}jlLMjE?-92e1vjs7SALdO|hRG!eyg_c@NULjBPE~!^$55)?G?5^t zuA)J50)ET>sz+??_F6Xdg#hN-h~~(_sWu?1D=X>@f%bjq`s!L3(j#=t?VGN|(eu?;0#tS;%?JVB0eBUr_oJVZDIY1vlQ zPwM2(;zs3{QrYTQ(Rx#Gg4|_IX47CN3u1ncXy8g?aH4^`D}oq^gPwm7-l=s^`R+O< zwQ#$+Cj>D|Z^A0b?eSTDA+|Z=oUYs88!==lS$SQAJUcR`dF>3+xE8E(mZ_%MCx{s( z*v4p!pkWOX4w)@HqrKK;5JTzMN!|H;yu<;199iPUZN6kDups7e=1{D~+|)5#7ou7B zsZ{m-BgcI$;|AnW`_^0BxvuSI!#yI?2Wk3_j8tXX7HhL0n+irpil9KYAL`GKX;twp zm%QE12x{NmGQeK3uA8gIiM?WN@al2?i68Ws)iaVNxgP_W=H7W)82pCjL|f@8^^*`% z`{us)$0s3b+XhQPYWMuQ;8#2B<$|&R*H$FRNBt%DIj83N#ayT#*G{>y0UnTaRG}%8YVP47u^gorLS{Vzo*-K&S zGbfVffM1qYEb{o1njRkJXMr|@-A%rwT8tO(5qjCt`ibX%qoe5!zgKzOz36@^55%8e z2FUrYPS5s`6~JsyaLrQL@5*TN)`n??*a3P#F~H z5lGP5%!?BfNGkt7_U%RI-E{Oz3$AaO@$1-gnnyRE`j7j++C3Dz47{o?Uk@(588dt3 z)Rk^!-&Qm09&FikNTxEaQ!c3ggY@f7O7mpgG5<}D9+|$NU(aLhPx}uA z?hgRt_Po(W`VzujOE__ZDM-&t@4wi0Xaqh`W^S{{ykLz znjyx5nxv4MsCP|AMsedxbQI5%)Slh>&a9cVwJJby*`6gpH}3p=ZxQH4cQP(@=NjeP z3Y|)RfASeI|EnBt-c@RaZ`2df#}aQH++?k@X%^h-3;x_&qoQ#_f}?v;lO*Sx*ZLTV zlG8sI**FFMvbZ(7kFAu$Vx~uCn<`u_(eF?yq&ShhL6qXE1)nFCjtd2@6;_0dK zX%QL<>%dQ8cH!rED?@X{lXWNlAS)}ycj=AzuwMvlmj4z0u|Jp3Jx;isdRxI`Uu)Ey z5Z*gBJq3V&OLo)OoT;Q|Zl0PuO=c+6yIEr3{1e{2E+@(sB{xv`m#65bohStJhr+tw z&%?d0?VxBi{9@?Av1CAi zxIv-O2r7#^J|WSZu}0ctpidBU2b0DkB{}muVUtA=#e)j8g#!0#^n_tZL+M_v zxTj_!Tkq)VgZp?~H8NT9AMTj$5Bc1aQwx~<>L(HEIjUWgX@;=Ic`SIp8?m5*I#Usw z>}$!r`F!f;*)%Cm-u`+QclKk{i!ThkKhwNgS#QVPsCjmmYLnWM^5)i}&`Bam+XW5M zm{)T3t!n*j3QYzsQzhE?_;6N0t?;|)7T2g=?aEWl#J*;sD%&v2Dioj;uM}CNXrV; z)#JgS)${2+abrfV^b{yvsn#dkE5jS@wrsl(rM_qtq-B~u$<%EYqG=5@^qKA}8KA>p z*7e8QiAO@pA%9ILZ`yZ$qwoC^WUDWoAqoFUha4+N{4~^pxvGw!3%ZcU6qbD2Ew5JN z^01)7HVl52(SF~Lt8~-n(&6BgSw6H-@rX~5??Sh0Bd0Ydr6)wn8AC*OAMx32cH#%J zGSX7{6w3PfF*S*6+O@-Ro|n7XX>gh}u#lL_izVU1&Zbj|=Q7sTDbTC)>s!X2Z)0%= zbUte`6~>g7wmF!JV;5cH{c1C?1rc|6^Cd~I?}-}+DL%4qfa*)o{6PYTB2qKP&HPT) zhrQkq-RF;=GHlQBD_<+Oo>%*!v?)cuYP{zCT+9&QS*@Gg*6z|g$2qEdN55)e#Z(Qj z|3u(%zPnnp`$||jmD_%O(qfrnOGs;mQk#%t19j2))2P}X@^#w;s#}T1XCfL`$qy~? zLiP>%`tr=1$Lk2Bxu7nVnh6Z;~qud2q5Zn4zt=?J$Hq9mjo4ZWfzl zfxwO!t;CK1HnZsYmyqUuEy6J(Zj#8;@FVKX!UgG;l5!()HNr>~DL^~f$i-F*`C9uM zL#O_}?{@JktWo05J+VjtfC2nC=h-u<4XuO-+i1HV^%~7?GdEU;9Kc;-wKUhSWFQmn z#zCc_Wk0oDMe|Lwz$fO2QXazx!g!8ev9-6022QLP6*HNSbej=xD&D@f(*0DV zJw$J{O95S{f0PhDGj3zlU-H3%WvSn<34IkXL2kA%X1MGopJ%UKg~ow83@%rVna zs+V65B!Ry(QTHwTfDj)`nO&FU&i(7~`}Z%{u7*_av$UcwDKsBwXwKTqTAWLw#Y1rr z4~x(;nxRDyMm4<)%;B>+vp_&k~+y0TYQ#O-xjb^E?NtcGpl)R8$KKib6KBDV8#Wbm`DRXM&e`=$rD-)dB?<^S51`5-+AGA_Dm;AU{ zEX*=w1Aq4Ixf6CFlEeYgj)2xVy?jS%8q?j%oXHP6{7=&hFdzLC#Z9x!h*Aga8unC* ziY||mF1&GwpwR?{bI9kWy<+X6!ox!4_b|JQp%J5s2$2&QjtOp&&P6e9il1ayI zVy30rK;{ki#a=i-$vrAwXm)6OAL~V6SB?=BvoZ+lz@JXw+Ol{RC-^C(&*)LaW$2rH zA@gEp&zQ@ZIpJq{a@~m{^U6v39^$(Nk8O?>e+Gy9OX}(M-&s<7c#l*@ef!aPj8NQO zCLdEa)fhU5U1P5}4>#Uc59cTQAUq~KpiYCZ*A^aYmC0sXnW8K8WY_`nWZ0x7w@!g& z&$FTQM_`mjJ;X~UE3>9J7B95yd)04ZhR9jRoCSf4?KfNe>h%|&&|`NMkhF2h1^F7e z4af9!&6w~(E&T5{h_Y|^&S5J1LO;AzDZ@SnVIJ#O`uNuCB#v)vt}+U1V-^O3ldv;< zXQdM9kK<)ky+X%SpXem_2tTOMjNG+n6%ml|HxO%|gkhe3;yn!FvMn1CcS%o<**x&| zX;^S?&*4hlswa}3cJ?IKnAM`soF<&IaLi7-vvNmRun3qhwaVQ|>qG;pHqc>Y5d1_* zNKMV?X{}fX!4sV|tW;!}zuQT>CkpVgwcjw+p6jknJiK{5?QD2lx}axJO@rbWR;gY* zd&F-CYTb@-Dx1~*f{dXf@(3=_Kbj@F`&HNP-n~ZH07a)N+9&PJ5D9-Zl`*&43~gQW zpr|J`DuHw({VUyy;gxAC8T0*}7f+ul@I`KDBemLehN4mz_%uYmxc2Zmv>*c%+c)$| zMtdVDkR?IY%a+%AoslBfXm#dFAE{pnRSKGA{z;9T-?2`RkG-^$>{yT&fe1nWJWlz!X5Dl}v?%vFkLcQZd4TuL3IkIKWYc|*eHbUIlnh~lbbCJm;iv4 zWVJG~-lEytW+od;6wU7T8dHc0#~WyxO8S0{8)k(g`|9Y7?D&VX$I)Nwlk34iVL;Ll zo7HxGJL*>RrAy{!raKt?LM1r0_I|BKp#J9NPVs zf~y(!hO%18zke@Z0x)5FNF{sid@ThR@n2eN@k8n>ghX6_;J9$pdTxU7G6)MjvS6jC zc-G>>i2+|f9V)(ze1`Vnq}{l0l%-52^sHbqD?sEodFJlR`A{R=h8)1uxp1v81VeaE zsHw#}N_*j|#%OTtHJa&~6a`h@{ z{$}ESi*ME8i$ImzbBo}7JJ^>p^^d-*2Gtjd*Qy_j7X(FU+e?XtzK9J?%u4IsP*i3v z-X{Gxxlim_evxSSvC9V@P}M(9ttuJChfY~v@c%XX3b(NROO&P+PI~=`b>?9%J-bOl zcr|Z@8HN>YT5OAsvi*D*vxwR*vjA5xk?!)*wE<;TfDv(Km&`A_@XKXHV0Y%e6at@f zyV1J!pjGTKLovI#9=Ln$yJ^Ue_24Ucv^XI?T9jF)R9@gYXoJ|gXzaT;ltrE*GI)$+8Vqe$@zp-OT zJ>^iUyB7S-&pRHdnmjPl|3j%-ZI+~LSG=WAR@B}`(-S|)G%J^}Sn8Avg0>G@vZM%> zumm0*KYdmssVube3u1VVIV@38gqtbC?b~uChdXux(^eRsjqUhOn-eN)s@zFGL`RX` zMMP6YdWW{~fu2ANM|32B!tT%LU;m)R6?D60Yk$phHMDPh<1P21$Rm6>z;0eOAeSa+ zTVRGS?MCh!u6#SCnwc~_!7+c9ec_9nA=)!lYXSRvc7EMuY(aSs)?JENhUf^NW0y(I7EP*K~hbI z4L?V&Y@3U#>!-b^^TL+(LibB`H`#=@rQQ!{giG47Yj;apNn0sC(r9x{EX&euiyP#A zem|CtzkQ7Wm20JZ3{v1SPr~2s8cSYm?%)yLP4Nl5tb?#Uq-&nGzkbAc?04@IB@x7@Qs2cEF zk!vF?Z+`yE6Ejb*lh2I+M!kdX;CQo*x;q-OU8F*qvThdXvGLpMHr!gWDdU~HS2+1$ zk8gMzo2M$^Oo+=za8btf!YcW>bYU6lk^9D)65&_j6gHrm49Y;C`f0Yw=e!({`eXn%zFeEx0sYS;) zuJ@9bUI>#fa}J;SOnq5tf4?ilN%<7=uobc1{e++@2+#N7Hz|Xu61>;QiDZp;A%}b2 z=`86jJh~oF^hv9Xm+8L0&4Il`Q4{wqQymxPrab0$Ki5fA$n)>d zB{_>sItljcpUAVHan@|SH0lKZz)j@nWDRhRWtkZdf4o1QGZ{ihDWu97F9zG`x>Gmx zKrq@gCCBrS=D}SQ;kX8U`>dp$hRjWK27{f!8GM7Nfz7Gk|18R|K;ltMa#ZQPdC)^N;`XW{t#!rxQFrhVHUS@ zs3X@jDd|n%4GDHkDEC@%d!2cH@l43TV1{kj)WDC*D8QEga8r$NM@@EA`;o%w_IBACwCEK#X6Gz1(*GeuKJ6jbyc zzDiXt5VMyEiiz7CVy19$829uyH>Q?K*|9685c^@S`^O{C9bsn|yXgFd&}T!{)oM$l zM=WUIyBC#{veZn|cAT*mVP~=CX%lnIL)R9u*qMC34V(;9|IE3H z6nB83exhrA(4ERH`n^;V$Sd9N6`NdJ3p|wdlijAgLp9e%EWN`jFwbaBFt?((VJjcgQs*VAt*v!xOD` zEwPMp)g7bWSXu$KKKvcQ;ET7*iyv^)YQQ9F!v^7dQyp@=8CS8^DzV`VJ0EJqV=gHO zb2`HA9=65tN407kxj0m<(qf9!fGHnnlqu*&s~IUt>ZPpqyfSF3C(`49JS zWy@)loIA@Q^My;VO?n@;!=F3mfHR~v`ypdt#~diqdbZ_cm55P3Hz=#9{*NKWUi&52 zh%o$BPymu^0KTpXfURq?b)Rq;5|)vuH=n01PmX$wW&sgy3iitpV8vy`t1ROyLFxr8 z8Pwu22Yz|y8(KU3oH@5lD%7@8u}~(Jo@&hti;BDePQUUlAMTxZt{Kt3j9*LlkJP}0<2sOmRmApDRevnHK(RoM( z?arW^8m~?=M2?uPuxbQ=aAWp(7BZ4^N!|pXUvvO=K4s8>h7jh6BkBOql=(y)TASk- zS_nLbqWGuDapj*W97P3ydYH65FkuWQP<-qTd#w=%v{a4L0Zj^B>xyAw8qwb*rlGi* zs&N2U2p`lxyb=9-Gr$-z1}|3X<5et?o8+Uukvl4-j)Mqe!ju2!Mz_%??LYxhu z&>Vy)SL~SNWdO*JTr)9oOG&39Z(1-&(f%HK9BiYcFPn6S4 z#$v(kauOL-O?ZEq=EO1$3P~qgvOdIRm#K%&5pl@7kB|;!(Dt;_If9Tu-<+TTsv(JiJUeI%1t=R*eduM3Z+D-2OY1BM zhXX63tjGaTNs(k)P(#lQ6m^(V5)B=DWLcmZmv1ykdbg=Fsu7_6H8kE4)HtE~dQt6@ z8&+HsQqpEy5Bp_xCUY z)QFqhWu_ODYfwwvkJ!h}`;+W=4A^KLfs-*D1FpePfyPWys;nym6*)Yig`|Z5U6H~U zKR+V0@Si7qqYR75Mms#(3M9f0d+o3!1y82x$?R#62-8?cAg*?Ux`w?`@$ph_n+Tm7 zm`B)d^e`F5fkRH`2BD7sN&7+5iXOBySm*g6o~W`-&8CKA2?Cg@7K3g}rUYG^Scg~U zjTIv>#E<{}O#X!;IrN|~sSHYaODH>Z0hNZ9J6~r~;QTR!P)7ij)e&GSHKNS;Hwc6i zhO(!%I}I=%sTz|{Ch}BjR{?wXMLF$S?J{l3sW%^Qn}4zl#N~(z<`U+e3GCS!J-z$7 z46m?+H_qd{gwuU^HgLtUg!Zr{0u7c)27;~?6cA$`YzUn-7zFyjUIEcq>(0n9boy){ z0#J`30_}5BLFckn(Uuz;=pl5M@Q}3%{A;{06}0enO?JtS5?XkkBgBY2Z?}KFLxGi_ z0{%xH4upGvwE~uL1%$FR1R7gacb|a@>hMl5DeOd?5(@LtE88yJP{ity0;H)di%@n* zG^WF(0D~-L5#GICjN(_Bx1pWuIPn)ih!mLVnC4|sma_%UoH!i^2djsvZV(bzOr1vzJrzIJaMt<^EF@DQnd^( zakA6IYv+&RJXB}jUW`Z`3yHk?YLqEwc*1zxAkvi}q+NA$aDPEQ3h(y(D`&_;-Llp# z0G@pfvJ}5a#d&z~0WSWc2pOIF@SwCM^&u*rGX$SO>$b6I(N%*6DSg2@bg-Tz_aUK- zNRDpgU@-|ivlXoBclJ0N|AB(Z^qcwTu+erMfuiuuTE%2QaRk?d(TpM^) z5l^!p9rID*n7pj61J1x%y*4y>!98s6}HTS=?|~r(MmAB=q4C(12*MBJ1(47 z<^97GRMz3C&0$4!-?W~^3R)dtIwt0bFf<|U3UTG!^SR8rMUc;(VO9}p@r`Z<5kn*?!R?(D!~te(WvhG=GA zVKKi~xE-^UCS5Wg`J1On5Ed#vRY=caj43h9zszuSMuNBRKB}vrE;+ss??AZnPU=@W zWM))z&1x-ebHESW|LkZu@v`KV`G*FV^ZBZaKA!i`1B^MDyw0M%SlKq}>2qS_G`~1) zC&Q~%B;-;uf^N+6JjnybIV0-KUR`S}kbjYcbdgR|JgSXE%#o$VR-=v?qd5jkcJOa# z?!7sS8M-&@?LggJvW5=@xusK=?bhB1q~jDvp}MK~lNV^=ipOY9y8O0f#8Bw!JcCl*ee;mi=CL<(b^?$RQ#(#*8yEPpt3hDFe#3 zUkR+`3#$a1Vp;FyB?i&e=5u|3zVWy8R#GvGZR9`rO@1X>{t*;1WBc}=DWJ`?`Etsh z?0wU-b;03Q*47{bE2W`U{6>nA)*Ndt7RNpX77q{AGh-kiR7q}KsR2Uc)ya}(cGhsd zOR`#QJB2i=vk!(FNjoZ>V5?kF>39a(yHlIq0v0U(*YWJ4}=P;po5Gkfo-mz4$3 zccod_>RDd0weXuOp7t>3_iydLE{Do?@L$(LpM!;-&MtCFD3z=_vzW|G(@x;-lBq1g zXr6r-(9yru9dR|Lh3#l!`Pk|z{Jpp95o%Q z^)@wC z&X`7Wy_I_ENcwpc;M*1Ts#s9(=WrLSQ3+@Hbfg*v&TIarr$`g&GaR5)N5ey`RwJk~ z>zqn=E_!b?klb=ZLO>&nD>AX!$xVE}#ORs2POS0}T$|*()xP4?+icJK#EQQAO7d+q zo41kF=r4MME8+~HV*={rfN1YJ&+}9=4FEKRcR+}c?c)qdW!HBuUSqV--GZs_^te(Z zdh3MTsWu+4?*rQsF&3wwu*Sxro(P67q@|1J0g1(LHWc~<($do_$_X?gO~+@)+kCq| zbF&_<-Ra`*TlVJECit1bOn*O`047fNy+HPU_nlgz%b-xxj$i zH`bgqT>Po0GmVvbDx8yj;^sZBII-3B)EDw2G4_DqMZ+6b`92>RX~Tg*hM1$6KCyKb zmIJ3C|6|=}=cB@UAN|(toi-ICE2Ad}-a{Vh2}uk`6UQL_frA;h@<^e#YIj4x=y#$d z!NvA;?Hf)dbVTiu8q*b#L_MQ%yaR9PBE74)mpRdW2GcyTDHX!9opZd4AZ-EjP^Pi* zNb(3?`CmukPdhre%=>dqGC)5F;_BXhgz|W~3Djc{OTp~*6<@V=&vqG8<>=MotpUFY ztorZ?Kt)`*(G_i_6HMx)5{YLNT@Kqvx)-@vsKVzNv9Cr!xTZdmK8H; zsUb{3HXyCo!Mja6B#RS8SVV2@m2Drt;*l#jFc?5v&_mB4hn)|c8xUtxRph6?M|zu| z9F~^x0T5SMfhoaK`!=9p5E;v%5YF2-X$ht(kZ&d|=+XG~^Msi_y6RyZi(mAW}!sc>8Hd5Gx zK04z|#=U7qp4Z2^Dmk#VaE1UTFk2Trm=u3i?s$(836;wrF$#s1D}=({X99rAgCNM* z&>GOHkr2`=2L#5Scd}AJ6vly30K7~9Ncjo~jsJ}Gj0C`Sr#=UO^s=CsL4uq**;r9L zR3j-Ap?ZRSTAs0_1rb;tOp!zZelTY>e5Z%9!9mdYauAg5F4otqm=L5F91gSsQ$c!5 z86mwIzdg_xA$-AJ9IQ*JDSCV&yq_7CjfZ3z07^n5>{TXuWoHP zDJdm!L*H8|BZ+ba3=G&eZcV)#q!zUORM<5Qw!nvY#IE zlw$x84zrF3gDKPZKjG&FcPiX2`r9}sJr=i)$QITmW>$)aVPfd5gc$^T5+h0xX=7?2+UaJslk)6!@(MBfgiy^ z;i~K*N~ev(Ylxpb==YE$wDBS_;^rwdP=y8P5@+_-25}LGonqlcY~aQ|x|hQhOfV(3 zPBdjaE%);&Fi4RFB=h$Sn>-h2b_D}|u{;>8`tW0jis&7UdW6gP?Ql(|FQbra=kLIX zInwJB%+7Ox^|q~+f~!aHiU*~7rFMQ&)i~>r%w018T<%`;XFBLB6!G`XV}q9tyz*Kr zjSc6yKY)Ka*L7R#R_g;s^xVS|SdUAW*4Whb>TFIF`ppgR9Aij{m25~eo#JixNN98y zN}T%`h}#OCk*%F~Dp>7ex~Lb(jQKWf>)JK&>qcn+yyD{H3M5)b$;T)*3jO!sBAY2b z&m(n4Q&M?_eQUqh+(>(~xrx!(+9J`!p{&Ssi;c*2mdG0%h5}x6&PiR{u%%=6@3y;g zmqV0EQQsOFQVEZBsHDVi(PIUIQOWV(GM{Kd4y00jk!DEHm5w9&YrIbpZ<7=Np{JesY2~hHRSe8R7?oF0Kd<#ZQAMFReTBOD-xo4mN#&mB= z7QTK`Od5(MhuK&C77~kPS?BaXPlaeBeB!F8W1_v2Yn;Dn7)M58kB9;Ne z4L^TYi@!9L*xc|b-q*C!9Q@Z$EX z;lj&AD*EW9Y6Q`&r~qN(jPGW&EK@aFf@yAsyoEX0DXj4X&NVCRM=^kbSJT9eD3b1P z9D?c+3ujXc#1EcS2p1yklusL-sIb9toL9R{+QVgdF3MyQL1%#(@vVGgac(?$Zu3XS&9raxIfR>Ji*7w_h%)*Yp^3xzG_%9<`lL6Fyl?YlVavZil1Tfv|xArA<8vzdX?RIaS-v#-yW zKIf^<-^*KeB$_L;*5E1G_9vpO=estPUiX~okPuz@^1@QdCs^{KkaZTp~&_wTJQ0}(rNRMse#v( z+cRLB%PH1*_|*HuM|5w)I~?a*gqh8CrS=O|noMS8<3d{tybh$kP_=hP=ebLO9Qfun zsMbsIELYovEsO$G2<;>^rUk=@x=-WbFzs-D7sJoYiiw-HNROFePMLP1k)+>#yL=;= zA#$(}1U-x139LUxT4^m)C%%fRU%sU4u|Ft_`{6ss0!0>>7QaA}SS)7FJu8rDdUr5z zZi+750)adRQ81rr1tJIJ}TdJ zJ^86+4Vt&Aa3_*Ds6C{6rT!{9QWMj(o)7~*jxfq_3-eyi>$lF9g#VZ*c*`Zi&extR zJu+?mme;FmpCD@y>(;`{(NF1%&u)Lg+mAEX+UV92LqN(;;%Ufhtb0~c&G`34jCH1? z7g6=({rg}C4wngKyWvF<{d?_m@%fBgG-d=F^!l?bv>y{bO$^vKQH>aFpDB$D>&m)a zwaZzjO-uyUw(wh4Y}Iz>A7-A}R&9n*5T#0|!VM!*a!?m`l+6ngKY6Wi4^-^h*~Vr? zC^Qu`dJVbQ;)}TnD{~8bIrMtx5-_#czid?MzLOV#tF~rSLy0i`aa~h*0>B(zZ+NS7 z-7=<%G1UcmySEpDv!EAFLTu}b{I4Kf*t5UkyZ*-P`umNI+65OA5fu5G;_o&5-{HOf z3FxKd@2&FK8yn0EEG_*Pz-|IoFzf|bKv5nn2o|uh!XB|9zOXm2N9^an=!pEA4p=}x zz}*%spkrlk`wvYWD^FWjZ)~>zjvA(Ei*&S7aPtS7U?CV-To}$T41>Yo!e9{zQLx!R znH1ezy|KBxz<=Y9{VUj*il>{8`+xBDuVVf||C`mv*up)nT)o`?7HJdk->@PUN7(v0 z+Sux;DEtNgRRIA#TQ4^sPa9h=@Lw_L{YQ2FGW%CNe=Gh!)$#g=wMULfFD&bSYpnNg zZhijN@IMHM{s#d?Y&0})H%~B^jg_OP8(5HEoL>+I=5p}%c9#^uN)!v)ds?|WINEse zyLsAkbN^F}j;9;a#|9f+?f*a9|ENRkKZ^es|B6=LR?cqr|00c5jn{uE7)y02pU{MhfArY`0_+J=YOadkW{^uUp_1~DN5LSzSw|`^Ub3v?l{uc%p6NO=g z@_#Tf7*_KC2a^!PHrD@*i3`H88u@R`+tbR?+1B$P + + +SVG Picture created as 78xx-Regler-BuildingBlock_ModA_RevA.svg date 2013/04/21 23:29:47 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA-cache.bak b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA-cache.bak new file mode 100644 index 00000000..c8fb1c73 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA-cache.bak @@ -0,0 +1,181 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 14:56:00 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# LM78xxTYPE +# +DEF LM78xxTYPE IC 0 0 Y Y 1 F N +F0 "IC" 300 -300 30 H V C CNN +F1 "LM78xxTYPE" 50 250 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Uin 1 -600 0 300 R 50 50 1 1 W +X GND 2 0 -450 300 U 50 50 1 1 B +X Uout 3 600 0 300 L 50 50 1 1 w +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA-cache.lib b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA-cache.lib new file mode 100644 index 00000000..23bcfe3b --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA-cache.lib @@ -0,0 +1,181 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 14:56:05 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# LM78xxTYPE +# +DEF LM78xxTYPE IC 0 0 Y Y 1 F N +F0 "IC" 300 -300 30 H V C CNN +F1 "LM78xxTYPE" 50 250 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Uin 1 -600 0 300 R 50 50 1 1 W +X GND 2 0 -450 300 U 50 50 1 1 B +X Uout 3 600 0 300 L 50 50 1 1 w +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.bak b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.bak new file mode 100644 index 00000000..5a8eb6c1 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.bak @@ -0,0 +1,137 @@ +EESchema Schematic File Version 2 date 19.04.2011 14:56:00 +LIBS:78xx-Regler-BuildingBlock_ModB_RevA-cache +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +LIBS:78xx-Regler-BuildingBlock_ModB_RevA-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Connection ~ 4900 2650 +Wire Wire Line + 4900 2650 4900 2100 +Wire Wire Line + 4900 2100 5500 2100 +Connection ~ 6350 2650 +Wire Wire Line + 6350 2650 6350 2100 +Wire Wire Line + 6350 2100 5900 2100 +Connection ~ 7050 3850 +Wire Wire Line + 7600 3850 3900 3850 +Connection ~ 4300 3850 +Connection ~ 5650 3850 +Wire Wire Line + 5650 3100 5650 3850 +Connection ~ 6550 3850 +Wire Wire Line + 4300 3850 4300 3450 +Wire Wire Line + 7050 3850 7050 3450 +Connection ~ 4700 2650 +Wire Wire Line + 4700 3050 4700 2650 +Wire Wire Line + 4300 2650 4300 3050 +Wire Wire Line + 7050 2650 7050 3050 +Wire Wire Line + 6550 3050 6550 2650 +Connection ~ 6550 2650 +Wire Wire Line + 6550 3850 6550 3450 +Wire Wire Line + 4700 3450 4700 3850 +Connection ~ 4700 3850 +Wire Wire Line + 3900 2650 5050 2650 +Connection ~ 4300 2650 +Connection ~ 7050 2650 +Wire Wire Line + 6250 2650 7600 2650 +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4DAD851F +P 5700 2100 +F 0 "D1" H 5700 2250 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5700 1950 30 0000 C CNN + 1 5700 2100 + -1 0 0 -1 +$EndComp +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym1 +U 1 1 4DAD84F7 +P 5100 7050 +F 0 "Sym1" H 5126 6846 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4976 7284 60 0001 C CNN + 1 5100 7050 + 1 0 0 -1 +$EndComp +Text Notes 7650 7550 0 60 Italic 12 +78xx Type Regulator. Mod.B, Rev.A 19Apr2011 +Text Notes 1400 7300 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 19 April 2011 +$Comp +L LM78XXTYPE IC1 +U 1 1 4C30C3F7 +P 5650 2650 +F 0 "IC1" H 5950 2350 30 0000 C CNN +F 1 "LM78XXTYPE" H 5700 2900 30 0000 C CNN + 1 5650 2650 + 1 0 0 -1 +$EndComp +Text HLabel 7600 3850 2 60 Output ~ 0 +GND +Text HLabel 7600 2650 2 60 Output ~ 0 +Stab +Text HLabel 3900 3850 0 60 Input ~ 0 +DCin- +Text HLabel 3900 2650 0 60 Input ~ 0 +DCin+ +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30BFFB +P 4300 3250 +F 0 "C1" H 4300 3400 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 4300 3100 30 0000 C CNN + 1 4300 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C4 +U 1 1 4C30BFEA +P 7050 3250 +F 0 "C4" H 7050 3400 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 7050 3100 30 0000 C CNN + 1 7050 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30BFC6 +P 6550 3250 +F 0 "C3" H 6550 3400 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6550 3100 30 0000 C CNN + 1 6550 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30BFBD +P 4700 3250 +F 0 "C2" H 4700 3400 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4700 3100 30 0000 C CNN + 1 4700 3250 + 0 1 1 0 +$EndComp +$EndSCHEMATC diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.pdf b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.pdf new file mode 100644 index 0000000000000000000000000000000000000000..068a7763e75adb3300eb175dab9ad1b61cc0c71e GIT binary patch literal 26981 zcmV)hK%>7UP((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58=y-klTxvm{J-@hWq209&5Nbxbf z69WzO!0utA2gbGWjK;}U;f_<-s`d~+sFU+Dj)y*_3!`l`oI3~kL7du_;0WF@qc{$ z&yS)d{x|ufpWDaZzh3qDJeKm|f9yy5T(3X-x_@rx`SGv+{p0`q_|KW}cQn@Z^JpLT z-+gbNwXPq%UKDD1NTD44b1OTV@$~_~i2iy+V>v!+IX~9=xo=fyEZb)}2|OgSf7WHs zL=33sXW1?qLiA{d{NA?aKs%0)^^)_&_pgr^>s>x;ds?sa+qdnrC;t0ZKHFC2B+$41 z*=iO6kSQ5tGC<1bQIiz*u*VtbA?tv#l*O0l*eRwch}E#KQ9XMyI);}F0I?8^v6E@-uCU|l}fbF<19{5e)rF1?ehCL)&#K2QQ~zW zdo!w4IifbQ%!GPE7*Lb=J)tHp9_f~HgnZ=pvStCXyCES0FUTktGLD^W@FzfT>kTo5 zctxr8vn^Rpp|VkILW$dXe%2mpGvhXvVz8L7OAPsNVKYjNcBRIq-CWh%~D>DbxUQO zIZ}NtQs^FkPN*KLbwvuHQnMgcQHXLJiM>E5*U%bBNs!*NWCb}#pndN9AY0L_Wy``9 zr0)ArJ+u$v4TSPd#mFg0KM>E>x6dWbg8q9#Y5RU$6Syj+4A`#^0uxrI@B3$KYTg!A z{l0IXn`!B`$Y2+$M@hhQ=Ab_OIiWsaM*4JVnY}670RE(IF=D}>=!OnmFi7A5*?sMw zGIP1T*spHeN=!5IyKQHTeSARc@Dk+QlLgt=X5%)1ZbKVoyiyX?Ry1+P+@kO|EDB0s zy&U32On@{qp*jA_6o!f#1&@3RA37E?T>K6f%1^ z0#AvQ?YPRAJM<;Gx7O?T_E`@Rl=|y~4Nbstb_HY_ zwq{r@UFYi5j7!X=j6mOWyRH>Uw|0Q)eoZhf*9)PtWfM|{K1NqTAE!D?^yb)0>MhZm zb?>RW1W`v5-6epmHdB8EgbrgV@35eY9us8Il@Pj2)OypI-jM*%N73Lwimj*EYf%-t zjg3LKB|y6^im=~E7yWjZRc_wQ_%PLO*Q|fGV&g+ps9ke>>079BQ)i+WuwIiu0HWN- zfs2o%7#q4WO@{Qb2%5i9iO`TehF#$y0=re=yGMJ zy1(9@%XmtQZH|>D>q5qOP&xxwk6rFve5a7ap_>p_d(U&zdUl;Y1+mVgn|>j}n^x_9 zIw3)dGj7EuTVuY3AiD7ho`h~a*9>$uR3Vv{Kzr$f_`2#}7V)*rxwKZg!4z4Lz8s2l zwR75@E?Y2!x{kUtdhZce*8o`yg>!Lr6!m~ZTu;ax7stIpB}F!;_~V(&^Yh#WCArFo zg-J?sAxAytl;l`#g&y5uPfFC5jj2Qn}!>`S1fm)zhBse4wo3sB!alQQSpHQr#{TC?sY8=UkFMA3EXh;QBqeOK&Bd(Kq{N|=+gSf9@@byl;PL8O}g z(HP^4u)J42M{CLQz65H;bg8V5>%OL}JTY8uQ0v*;h+kB4S9bA>YVOIGK-#J~?fr)|hz|peCges&xda%|~Gn1ln4jwDhBrwMdY2&6Ac;ZbL6< zYpgc`31S-XeA3#M-8T0*X>AfolFsbnaXp;0q!4=743rSoEtMqmOBc3!L)5onFT4cO z{Tr%@Am@=ZDLy&fTNUIgkcXx~>zc=}G)STzsdIK4ngML4v@G+4bJ>U(bo2twy3I}c zQpn~y<5I{J-JcCPT!2k%)btB6ZznXpRJvmmm2c)NnB|bVcXyLvT?A?B&AI{F%HcD( z11~|uSI>n`waBzc0+>$>lcb1x(lFkMPH?_SNGtkDc8*$`nryaOwNL3)31DUd9M$TU zkL|A(sH344plmI-|3b_Mp0M%xG^i=ou z?CuJY&BlLpZ>N%*FCmBo&yz19RonDE;Y)05F%K&0zRcP>=iyyWwV8YpSr@nXWWc{j z4Ctol(EdZ`#W`O{43@aw{eY`Wr1|#zBQmS2XOJl_hixJ_L^4))ZjEeAJ*CnuE|nSs zq`S1H$V6khIbIjCn**J`IS&WaS>q>fbiOI|s5Pfq#)~Ntk+kNt4TKBvrPhU*BYt4L zQGAjm@)Ahxdn&eDNaR9f*=@+G3%kps-GG$T4KD)d-YC;`m6+*vJ&R(^vAUCV(87=o zxX6fc8ghp;CM0+n=RRl!fK4^^mjXI1Tu5CEskVxu;=BaXS(Z?jNVfQJEH>AgO;5@Q zV3vfP$C?5`P3XIqKs|Sz|7ORr$nHKpsqXaqSv4TGZer9`_Y#tPfy`KvLAFpl<vg{+W`PO-qf?B^wI&=cHhXSC588I$qRAO~s!4>ji zeZAHgZA&Wph>RcWiH;y)%8Llc7)#A`)_S$et8$}sAr824NuQwZZRlZ<@r}-R#U3^1 zFh@FZ4kY+IeJhbj^T{?Dr3*6mie+0-nkInTZp>SH{Lz1Y6vxV&yCP`#U8b%Sup;RhWMag>Z&YmX9Rgn2Gf=I z^5q2GW{iul9wnM<-$A)UUZ?xmA+O`sH-e}~o#izW`mWfMGa7x^{r~#dd$FBfE{7jc zS-h)AW$}$GcP^zKTbn0fJM(Ht+akje{{P-rbKJQ^&@b}hGpKL}QXpGNVhcxDQk@`6 z(!8~7vrKNoVo12`-YxEj@0W5M{RUuv+xKS#vNbC9XY`}94lTaSM9KRWQdKQOtVG+h zjMBIyx9vl*jT=)SeIdGn!d9A8w)Q%=eZ9*Bceb>xBgo#;_Q$?0zB4(oRj|u(u_YF+ z7hO5TRrA7?-$YH<0_iM+ly7vtDfO^5P%qnc66DM~-uV-kuvE0~gHGP)d{^w@LTcaF zq=B*m8sjIW>XI%0zDKpns`2*}F}M(og>ea=5s7XYVx=Og1=`%o^~z&U77Mfp8I;2P z7=#S4{ECnP_~a@x5biaz6nD8vn%ms09=qBFd!q!vC(1lrwAm63oBIH!!f-5vFkETe zUq|~pH{or^9gDiOD?gI?rqH9dY@ccS`YYB)5gPMPLb1;IfQ;-xZG-+^D~GfzVM_^( zzy+|_5#FT07i9L5@joKeGZYJ@0_79{MD4*PT9}H}n*|Mo!3b7A69BADvky`LQ1+$H zyxYcaLK@9B|FSejI^UF!tJ!;Q+6|Xcv;${~=8UT~@ zkc`>{4%KzJS%7Pmf~f0bVXfHM<9GowMWrzax0wZ4WwUoUOK{PJ>oPGnU&^uOB}yO@ z3omiM#%;Smev^zXwgu^fqbfezzHK+e!YlN61uA7v9^zuV;cg|o+QJO z(09ciIasSXx}jtAL-VV+M(6-rdi5RQur@I!*OTYHvQR zoqja)37Nf`AD);vJ?Jnai@n%slpsTdve*~%K0_84qU*CP3)DU#3%X_NE+=xn)Wg!P z2Lm1w@wA;*WQXue{WdvfI!6RydJ>UHzHQf($1w-@$$}jV(Oc^c+tfN)MhkKUY@ZcN7m)H#@}Zd-Ljfsx*CbUQY=Ec^F{m zx}s;FoPKmFD)MN2wB=fqOm_X{p~=BhQ2k1Noi|puu=nw|d536-dUY1-VpAklOx5tz zLt%zA(*1UfQj^2EQzr&1u!KzIe;MRekc1yR#0`Al?0gK zVN*10s9s@e3=rrCOBLT-Cf$-2hgNk#a15?4%y6e+A@ij8fzRgo9D9JaykqKA8>nI$5mWqPs#4KxHKX4--9ql@1ncbZbb4tYYR7u(rN{G8^-u@@hP`*gc0 zk#QtOq6DLsazkXLlIGtF(R0Z$HrYU$1|k@VEEMAol&N>9Jam&yp5gOaTIy0dX50%Y z$1tAyI!yn9)Z6s`5@?+N0Yr;Yi1vkqIp?x+iURG6LY~K+2nT{WH!6QV<@ydyXfc8I zd1`JX0`uzq+AgH;UU33(xj&9lQAaFu9cSll3 zp?2Cr-yKS(mt>fFh3nWj?C&(_0 zwId*!_S5sSx1kybv+*S@5SF z|I30uIqSLLkzE7>d<9=i4=;CZi8UTbQN4=UEpA%q;!0T~zY zuc)1aN-|${EO1Z};hC%$p zz(mn-90CHPQ4GQe(vX-Sn*Sd2*S0LT4KX4dYHam@n09l7Mr|06(IPkwffQzEq7)s6 zppE{yOD(l=#&Ot|t|_Wv(gdl))~Fy$b^-v*Od8A`O%-{1YpQ5>eTwe#+}hZE3MiCg zry4>yAPosd^{_OO-l~TffoPU&8OnI*F3~+dhso$gBvcNCf(NG?Y@+6*aieq|CY`R9 zDoC3lxvTxiWSHPOAkcTW83GmexV_EDzzx2LQ0y#4n-R1rL)H%|vxx-2#f;4;9-9ko z0y3qPrE}lXmOhdw3#FXsh>hyj*4!8mgFd9P+o7GSYH_;1BF)s1hiQ;yh+2Go5D8Ny z?K)d(A2UW{N1f1=D5?grbLAU-CRhKuxwk`YyAWG%#waI5bz?D(Mv|tsp>_`P3oxf0 z+Ex!co1V%XcC<0kJ?wBs8s>yJ9#|~60O{ZqwZ}joQNvJ@kls%DgbD^Py z!8jlhHz7iHa4`h3g)Lc^Yr)Q8<|V@ZW2rC~q|MG?{pc??kpQ@uF&7d5NL!)8`evu6 zQu{VepYCF47S=Ji7=(gR6boU1H1%NJ>|j>X134NwERcxAC`GFivV9H_tyfe=4}%S& zhe3oM21|v90n!`=H<_gtPB|EI{-=~|)#S8En7LCZyQ`%Ov*J+*VWUY$3%?1ggtIF#<@MLPqtWm~8YEr-yr$9PDyjdC$gZlT?GF z+z`DjC=GTwh`;9j;y|L!D8_M2(zL{^p3*qz_oC22mmY)z@g3S+`{NzjP0rD$749a< zTiY=Dx?Q9^vyk8V>YZn^sL<{9%D~jT-(y$Yl~RH`XWrTo!Z`2oeBO|7N?QF0;oap) z)5LE=65DNs^hW5rVvpJ*=QYo)ll`=8kEmTzs`h$A;D(?*64l6iVF_@n%tCZXX?J!A1$6a?tmmPxu#t25n}q=TCttuNBRapLkM0dC&0=XeO?<+`j*vN@^8AS3 zo)L)M(Hn;jPrt4-^53f6UX*H=BTN<2ur*U4&M8aH#GC)FJcK$C( zXY(VY3$F?3SJxhaFikEMLW51}GlvL6+i;REDVLv34#18BW@YnZdwK{7C!7rl9=%jF z*Xfc)Rt*-}I+nqa!5~Wn`k7i&++}#08@8N-BvwDZPR4npIS@aOmt=u+d?5=W(P$J4 z#y~oxf$AxZcJFXmp4W9C3?!t%+NY$^KcjBHfn=%Cm`5}=OBeIeB2*knqS-9iPWcIP z?pgm{QF)z+6UuVk=~yg6Zgo12p{n=<-Fev2{oI`co$pFbwZ{4`H^&BR{sMi8#=wZ) zhgPY`4z|V{J`EbD!OZ|qq^!FV*sX}Lb(&cZS+9?9jIJu-mWVIQgP&2 zV$!vm+ciJC*}GH(en&3XvfvmPGGK}Z8bTTdJUmFX>fKbJf!{+jKGH*e|N1W*J(BS5 zWi3RyPd+wi1hzEb3f2AA%KvWBR|>yccSvpS^F(D`wK&L=;lY=cdsTRvJWO# z-mdb~WZ4(HFdDzF{_lEo?wLNZo2W@4eo7U8Pl)w;qTp8`u@d|J_tXr0fmV{l$cXg+ zJ99tKF_Mxm#DZu&OcQ|oNXM+QAd|;&SWQOsb*^RTm{p##kk^i)V^)cLZasY>FTI?k zkbauT0&%GZ1rvKzf{ux1_!n#?NZc4v!~DkFPna@^;r2Zd&B(>C{A@{LO`aefRaqc0 zC-I40hffOe(|8HQ*$oONkEjF^i)P>pw2~x7M%0wOG4~TT25pl}P?s25`-7_7_Im5> zjEGpTpIMdLUhX!g?aN?eKZ(A+a>0e18R?W}2n66W)U!Y}P z@sDa*R6{NM$!a*BDKsFnLVIM)lKV%*-CZ{$bcflD@R;2e#V2O0f&_lkV@`!Z`qk=!^Vlmu=!cf%!hn3&I!?H?9GtS?P4p! zGjnqkpQyDW0seBo41i5UG-h@*AxA3o@|1@5l_{Xfb&3s(uCXO;zCG zjnK2&-{tkTJ@Q*jyzjEz_gV25?bLN!{*3yeZ)}$)*JG)#07R~p(GBT#*$44c2SDDM zEpi#n(oI6A4gU4C7r6zHgn9wm21Ft^f*ksm01>&%#~-~%v9|c5+oaqqaV1sXEZ-$s z=u9Y`kuQk)&xKK{-jbb!QLNHQGV)g1K*fL%pqz_7dy-Z$Rzg}YR=u9X^YedN^(rqa zUIN|hwxvr~04#cwJVSo+ z^5N2x`~;CWW{B@4kevS(>G*TuM5>#jC`RFsG=%et`XP&GV@Bk3K$7W&EN7Ox5|U_M zt{+IW5yhwnBpu3flhH%Lu6ochcMp&d>}n$*A$IC*s9oNK9a`A*;A+y;quBx&nwow? zQ(1_b3cAr3s5^v;_JyL}*m@`ndvsdcx2q`ZL1_mb61lwQL7X5OS^*@)X=SGRZTG<` zSG;LGr;RH8{fx%tg+TK*y*XA8D6BVDJe8sKlH9nCL*arjI_%_vAk~1X*Tp5E=$Wf1 zC$jhzz)?z0Htir}lxa*@ zIlJv#?j{IqqR^vWC^plEX*=zi<~fBp?WKXFc|@kjte;M$=#^NjWN;?rF%=ux(HMDX zV3+_eM8rQgL{EDJITHpFgg4G@(AkaC=!7KXaN$@Lkf_R+bOR#kMAhSvc%XG1^k}4WLQIII}qsDvKU0 z3JD5bc~E|`c@~z)ge#|?y*zB5)O{WU6$heqcD2BW$N{0$wmdm8)ErrfO49!kDO^KlmQ{C4GsphtwqY&Es zWZ2{o^Iq~ODLb9V=c7cgX&y`uk!auHGfhU;akU{3rVTee#qKjmrbmt!_ZFrn{f6mb zA(|c%rs-krG(Bu#n4TMB52bNxl17?SP2SMb3tt8m}#FRAts+q z2-n$#O4Fo@v2{SE$gJ8!Fz`5h|LiA08>cax!YpPF+p^z zF(HR;I&zq@S|_RvF$KrK4Fn6!D1|j>(B(okDv#dYx%m@F9Y#BNti!SVzYyI?pAe!0 zf{`rwQ2Ip^nNGxP9n!B2!g$F8{@)lB&9Uc$k(1k<8Rw z`3ASkfR`ZSWG0B|Ae}YI0x!dAfd~fhD~kEJS-bC81fM80r7QdAup80j0^V~N^%6oJ z`96HD#r+e3YzH7%u&b-n$WO?*I)H5h(~4J+4z={!L%j}e2!ioFFXNPqgu&}j$}Zc^ zgs(Zg?SoG4zJr2Is*HdMlnO>cIr=r5@Py= zGNE3eOC?~{R1`Lk>O~epznb0ror@LCRL-P}exCHJ6}tQ<=>P!}C>4x?GWCnKhko6} zjJ}zQG_TEGg0@7`uMhdHH}mNWIJ!?ISu+y39}vybwL-Gr)K%Dd#5262n7ue}A1UST*TO~R=6&S`ZnzdsxX}D=LgX__ZFX_hg~+EFN$mk0 zKo6qGYuo*z5UX5-3WOq*Yp{FI4J1;BCu09HEktjc_d=F^Vo3MEC$mzqoG4`f6K6`q%1?V&}RMXav8?to-8Lbo~{R+}euZ7Rm zH^2HInh4|(r+yi8;zm zKzu%FpA0nv^auw(kwYzq1Dc=9Pu|GI^;xYg@yWgc(eCFrcRD}TI(Y-tQov4&zUz6^Q<0;XrHgN56T}d` zwvFGs5&Eh2^=|JvEQ`OZn2|+I-}gv0zzW~jbuVb}8={xC(HD5VLpRDlX!*B|uA~?**zoEvCJsme0tej?rDMJ`mjAAuZpjf4W_2DDbROj%rm0}?X7KJJ8nu)R8-^e*kt;+ z>Rsx-F4tShGGKQVbia`8^$tmM^?lx@V>Y_g^n-q=97C7UB%y2bL&cB@B3o4AQf@v? zAKaelxDdv-XYrX*3U>!Ei+WR?9#NUr_|xzcC<7JF0Ti#!P8I|R-{Usk`+P7vJ= zSXS&kh%+rWSIOzB0;YhtJLoJ3Wj}CztNq8AI(%P8T`RuxUG7~k6SV{ES6vUTH)x?|5v7(a#$b%|b7L##8keR85SZwOWT zfLIkoF`uL)R^t2c*ygyk=m%ZfF1IU6E|Q(6PKfz;id6RjUELNv6f1~XI@^ZX``}+L zwp*V3%P404CAM?)tlR+?IXCFX`1DJevrUjE523{joxtIwfR@l8w9G z*GKztLv%xVAf)dBU5H&u`qrW!9H6RR;rWYtop#}n4ZavOM;=+#T$8;I`bLj}YI>C_ zR9u}J*yVEO#J;fU?j^{!B}4$|fG;R+E&jm;gSDXoqb~__Fyz_B{c%E;;*HXl+ByT`vwD8bm@m&jbCmh}MPpluh?$bE&I4 zW6BqV;1q3)3SRBe<{&0{@VoFaH@tVjZ+6OVwnuI-FBtHK6u-;-9eLQ>*hP?uBlR{tuXp4T;nO`aT@DJ})1D-~ zSXeyXaYr7~wXlg5GQxI9CL4$Snl zxLnJL^95%PAfhQt^NZ!*k*Udwx_YSlCky%lB(_ z%0lGdBRXukq<_6b4`u9|gR-nOv!VxdxX^{-$HK=prqC_E!8JlNmPb?b4%gVz?^bgE z?{Lr9yk7aeU^R+uD9nTQOh=v>fjn%CZQed9q8^NSb=mydkk@j}kUde134HI;G1A@IMYrQ&xmnMZ@4TvrlF1d8#tV?VGrcM zmeLKG8s+4H#)4Y8S3BJo%Iy2>!PYJy4E)IpX>;n7U7Zy_>w(csy1Q!HGub9DU!=CY)f#C0o$AIO82lfNccAG=}GBtIag=9{SecXg_^J z4BHr_3?(S`Ao>s&$p#e>1hbV{!LWg|>P2fJumx7GX@ob=k)cj<)kN&_^riS&zHlk3 zzn2-4lH5Ph2TKFB*kYJwmJ3Bgy7 zFK%%*Od^b=^Gi4KZ3A{g=Pc?1$R~c@)Hglj>8(URKc-waN z4%es`qqq@dlt{I2EF%2A`^J3HM}dCj6Q;4jYUeG`fBb}9Bkv;W7^MkeY&|+lwpis| z(CZ^lkLa7e3>ND$ZUJ+#!9w3|`1;u9{&NK2H%+2TURdG+_vobfzn_hx#0rk4UfLf8P}eo6&bPWU+>Vvt=4?Z(@wJD_z)PgYj`8{E-hTsDD=RXo%4#17REt5 zp8U-~i2lKmtkm~zU0nKUVx;O(J8d|pfk0`nS7U2D_6#lbu)t(r&h_FUdf0VNS8<9A z)6BcE1riG@AdN*U5XWcY%AJQKxE zW_**TwCk7xF>&^@97R^MmWG?5clpip}t}IT)(wX9!iy*W6td|a+ z;-p4Mb@v5oY)_&XeIPmN;0weSM;(ff40oO6Ktic`j+GOv$Nih;q^*sw{1GHgTaW6a zEarE@daqsGaO0hvGwilh4`h(!#V5t$k%+|9P8*F zM~{iu#KAR$PHQ(eG0mWuQ!&fNH%&c)Y`0xK^GAW8K{2I=Yk&iqaMa!)zqkA{#d=8M z*xGU#weO9yJSwTnQ@M6y)t7)|swxD2>ARCU9$WP@9%l6ag6^i_sooa9eO?f?S9I{3;=Pp7?Mj4MK;H8bQQv3u0^R-d+F5#Y-SLXq*{4EHsgd z9l9f(N&8@9^)G?!8DTV15PR%581eITiCG`nE)Zue%P6sSA+~=&_S@ovy?3`Pa(~Ea zj}~|LPFwl(R^yxMsuXmp&)kCOc0apSMby@W2%!E@Ds^ZCF;jLaqH-0Gx@>HrAey3` zxNrd_PVWMGq-JKFyv3K6ZPgz;MprzcA{%UH6#CM4V|4>$O6M;Zq3T<&(VFhhWKXqb z)qSDdn(k^b)0)e8=(H6h`?6G~lo$bz$TXdW6H_w#iQ3MQ+5M$$G9^=;jK?{qPBqPc zMn(|z9OZ@)J*_2-OJH9R3(vj;G96t#`1YjsW6|SOsrF;Br+O!;$m|B&AXJL2R>FQ9 z#hz^spz84*+LM1+jE7TmlN=8E^F~qOBRsTYwaxFtWZiu+5 zQR)%n-MhgG3FF;+AqY3O0vQqiEvkA6q}E;T&e>1@Xmw$@Ig#t=_bf4~OidSssx8tL zV3M;gwQ?yt2%0I|L@$UPaM1>-n=Nl=l=@H{ayj>L=8<)p9~d3@h)hA3f=cn{$vM%T zno%2G#NgKf_uX@NR-=b$^MZ3$#ySh`y-rtywU*-oox z-8tHA5mG&G$tw*R0_ov#9S|kOAv9nl2f-W&CL}cL3E{_im`zv<3|m&Q@3Gw z1d(0VOxI3g}9emn|nCjrv99QjP zSG8pspEqR}qzg9F&S|F=(}Q%+OzvyOrh4ptrJY+R!{o5X659f-*H<*pf){B zR*R^o%Gau=Hu#eh z)N|a_|x*)bywGKH>e_oYbY+469+*iFQ zU}|xC^}Tz#L8iJlz@F&sGi|jSfGs+7jqbh=ebYOq1@9aAW^^h*C=J`*xWx9JxcEA= zv6L3-Ug;d8$1vK2(cSjLqig!cZR0@NIt)?SkUj?ISB?!0?cF%@yWx>DI(2lgq&a1< zMY10~EZzn2Jql1h+^TRDE|smrsC^;}iEIzMk%A0U$tGui%0B6rvGkE`+qq@&ct~$;7{EeBt_#V|jL;od0Z$0;?Y51!?KSU+ zJ*2Yw)>}}K4YAxhe4?{@aRlp04_8Uu+EKKdk1F2=zNK=D3Z~wb>loI-Ta^H2%Z}(v zAYJTm`M95MmN-4_rw2%APIgU44vV8?H2OiiB#7)GWohi@Fb>GNjMi-5J+MM+23Aw` z+vm9Yp)|s)+jrZa2rle8nzZ7jG%|AAYg750!@ACyp9wl`vVmVq8V`ru?^ou=!?E~G z$v&5z_|gAAs;`e`H2JWj1IZ{IzHK`brI131a$1yPJM7>>GPQ?Y4v~?~bs(ICW8)gZ zJNVdKx!DW+1|E`b!ykigAKcn?{Xe+1>qA61A2z=PS-0#~J>vYh?;#w=ZDIeAj4ohe zxqH~PGFcLK$w->34&MPyQ`}*f_0H8UQ2{q!T~n9@F|VD2`YgVRr^20=FSTW# zdZ%SIW+rxayY~*h2_xf_V@WJAiF4RqIybjN)ZBBU&+aBJiuK!|5Jc@O z$IaPcD6uz)PZASOI5FC3%RA}F`P6L7Z(@GbH~UiZi2~`GW8Ct8L}W2tCKW-{;Ib|J zCMIXu@Lz_rBm%i*d^|Vra_VdCL*=WvoO3)hGmME$vz?N}L*oQ$JV;eGTapKX;NCuF zqR7Empg zAjl>$&${|-5Z_dHb%e5>UT1Rz(KDB+$=fg@l#tv}dJdfKb}}s$+bzF5kv{C$E~|gV zN8UyORw=6?@d4(~ix1p{qUXV$0ST02iWiuf8f1z=rIK4O4|`(os?gab0Rq1`8*T zD;}es)?H5rrk*(LqKTNXNmh_Zm8v2?6!oMN#wQ1Iv8e&0?BeD zR7GMwG4U#$)eRx7)rFY(j8cAPDp9_?hjmY?VGUCIxjx^PD{FVlNK3PBZU<)u`$Y!k z)Gdv~PwJlgPa-I7u-y_aX`}64cXynoYCkLkIJ^b%#Rmm{NXGQL`Z;VtU<%RXle&xE zx_pf79GH**NWoj3$oLSD_vA{?8h<(8njknJ z?Kp-pAnPq3Ic{rOwWLOxBYr}o)~aQ4B$IkUHuZLlmWdmBW$SyJDC^tun~B!Puv&n8t4-uv|H<3{2oWiY8% zWox!q)}S(&RLMHn2M16x6OLn`d~gPukukJ7{vA)dN@|ff|7`BpAytg#6UsBo8d+IQ})tNd~9voWN8&a3- z&^_XP%Uvq0_nZ1WqS7pPF_CFD1gKwI<2oHY`Lmg6q)VQ78BC#EX3++ZDmC!=DtFtt zw)26r$&0?jFp-qQe%=sGpi2X1^W#E^u|T{-Pq(_hiy?q@Ot_Mpy+RyNw5R$enY8&F zS80-CJgi5RP~C<>Di=QOgQ9|qj98Or%=upEzqkk!;sVB-vipk#19DqG?|$oV8jh0NcW$|MyQg@{$Q*tX zeuz&JBeubGAD$;XAehC#)dlIRwV{C*VmmD~u!m(Jhf*AVTX-_vho687wK&%M0`*w$ zlfvPrq>|}Aik(=SGTn#V^D(TukKL{ClvINZr0Op*CK>i&U&j!vYAMyu)vznn(cIfa zuk#a*$D_Y3S&x2SvR(}`RSh|B!=B(T<-HCa_JsFy8Mf0d-p|EX0>SCY0ZC-!`>;b1 zNt-(VCr1B=I~-?`5YFJ0xajKOm@TGlrEjsR}<8wbG4V0 zr(C_W`ozLTiKK|^gK?FZ(qKwYzdj;MqTN;CY~20;V ztZuAmGX&cAf%Vm`FtkVLn9BnV69hhotD#hH^C}=gj(!fswwpDoZb2xC)wX#i3`UjH z?nmB77i8QYc}T_za(F!A};% z_C2D3E1kiK2JUVMq9YFO{DbgLtAomSw=t=O+iiP75cBjVyn@^wALSQfi!+Ytx($0H zhAbr;uZxgpp~f(;ok1G6f>oX@scG~HVonK`G1?+nSc61D<_phQuXP#JP#8ODJD>O0 zYL6l(jhAinC2s-?VjIrvinZ7_b#&Kiw>srg)z^>g_qB`*kVoxXZ*k|kwwn+4h)f@} z={qt~m04TN&4O&I7#*pY0@-?~KR>2bWpBCU<#t9;`|h3r){1rA+%!(C6>Gz;9><^T zgC4VXM$%FDV<6Lfcb*;wzo9$PR_>JgNeHQZ^S$@SCn0Lv22Vk1_dLdsS3B&P+3*yN zeRo(B+tV^?I)i+%U**jLjAhNg`sxvOwpZfq3B>J^F^ zlovk?yJEgIAICGE1Kwy%RBSlT%WRE8G1ctQ-{RVGkgNWljgS?12c_}rB~N9FNwDaMR&kOO z&&Nv#2n(JFm-Zh`^u#EOaNY`eeG0`x_EDDE^`enUUi|WvuQl1iFNfUJ*iBuY6Q2P= znA#bdJHcg_d_gzWU(4SL`xHl^D!V$KFBl(`yE8=NbXP-`af?S_qtISF&%5vM)HLe5 zv3;|_Dm!4T@z&98g7xo$E79gNyK@eA&+DoQMdLrmYF@YIy>5W#(n%QBeSyC76=ZnA zt$i%fuq?Sn_wt3p_czkn6nvL6mRuCXq`&%vVJuFZzS9+yrMi3##FklxrTX!?VwUYc zBd3SzO!NfV8E^TSxBevOb~hhf5Ue`e3|pd$LnejP+s3rjkk1v~b#8n&`+hIcJZd4T zberQ~dJ})!t9zYsFL#xKi6{7J-;<}8D#l+i+PnWY9(t?1QK{{FTX`d}KB6LeUAXuo zE*l&^fAz}rLh9|`Qubz75JQ8&1$ePvP?Dpysdfhru>wG$!LBj^@4Ek zPmWXTP_R#5|C}Be_f3#1pSC;n$rD}AYqtKPdN;Ig`YSx4s3!lI`m{ao;fW>5_i|;a zHnb0Nk#Dw1zxzCU>?DpFH+;KlKrxfl-1oeR@S)Wz^M#9G5jo67Yx5xa%E z?OxbYrlVm;8aq)Yp}mvUuMDt?T_TinXRgzuDxeJ3yzRnz%#LAP5u#P(541VB+Q~Uo z{2wWsn)4qyQU!l#I`7DDf-v*trG!xnPpz=jsLyM#tSX+@eq3e0`xSgVP*>MM64WfQ z8bo2Js?$cIi)#E#D7BtkW;n0B`+LEqCl1f=wL7V;7Y3G$Mfqi?=wm#eEtVSZi7=vc zK_5JPE6~fl3B`F^g}ljk+zMWk_1V6kl^;JMTXGGL9m?;n{V6|92OmF*OOxpWe=-ul zzcN}CEZ*Aos6hKik0@X7p5`I3e`)fm@`7!G(Xij?~&SytK_cUsAj z?ekkj4mdTXD+AsjTAC7TDYB_Pv1&bZ=g4Nh!+MP;-MB;_b3U}WSBNB+QbKbk$+}=E zw&D4hR`axOeuAq4Oo%W0tNwjBYwAgUAb%^`>U|E+e9!=T)WX}JKeRq95JaQPGfZ=H zN%0z9#Pc_`t?=aZ;bS*vEsiS!-RYZ{F^07v>og5Z{Vok?b?h3RrsZqdQ!QlwojBg` z#xUIMb|<~;y^t#wA}$gStXy+;jCAVw?T88SRfm*f#0eeZ(XP=7I|9<5 zR+13x%ST#n7nEG@O^3Y+hWN-E{i$}kl1Q^T&mfCA!JHTMG+{J?G=1&!b^0q>i$xJ2 z#O@8?D@ybAn+TOVzPyh=T^lLcyNZCQc;jd|_7JnT1B+KjtmzlN6V>YFT{Tj^TZ8y+v$`&? zm7ju+hi}S9){G0XFMZ}_t9p6vni|=}%ce0?eaGyZ0pQ}gq=kd8ew*)dY<0Hx_RsjS zcO|cTY{#I>`7L27X6IM5t-n9E_9dOlH+$Bd=%qgTc@8XamkK&Eyb|$Pmhi1ZQ`O%= z?t%5Oh@o)Dm%cbLz9ZMHYwmDstdoNbQk&H-j5+)i+Xp0~D9 zy$`dROx@K1CYhq1ZvwokI})-{rXu*5eb)RL*9}C)8A(y2;h@jQYr)BQ*8Dm@i^>=r zDSXh`g)K{Dxs3-rYVnuZuhIjnO#Sgjm{K ze|El4n+e`9MO0B{WK!=8s-{#%`3)rbJ)vRybscx_s^-|Jf6OTJ(vtka7aI;()3!#< zyOPhZvPOVNr%P482KYyt?eZ^~42{t_X zSy6k4(i0VUBvcZztic0HUe!||W<4Z`4BM~Dud1(A3TfT#HE-aOoMP-k{H#KA*P4KV z!vzs#dKHTDUmPu*_XqZ);zO0q;e({h`s!ckuNrJxj3>*ae$Bti`;cV}`$)*>u)LTo z&BL5z!7$x}nflPFfF3iAVjYmxAI%dg3m(gw)EdiDXe&zA;@1gyUbz>ZXw(D=xLVj= zU!Fo9Q?*umO3#%LIIY}je=uqIR?ML3Yz8Yvt;NcoYWDcCQGWBmCPy3Yk)AM_pPJca zK~nn4-W*&dCWXDgXWn87)I8giO`*nw%gV51ZZBL!v;Up`Cq(abCQR>q_>gm!uJ z6KAxZa4R%TZ+4p)TGC^zc)0(ShalkXhd)hM6}%V@mIY+s1s&&`UdH}1&c2hsk?#uz zN9aXmHwZKsmA-&kgm<3nKT$a#4q7d2sG3sG^zGsLvAnz=g34;Zkm~ivUHqWRhk9pT z7@h7nf7`=beh$i5UCEpT`~)4C@DO^Gw(l^%v7#jFkG`i`Q@y5dP-|&2Gsiwsxw5~8t1PrjW_Whh1msM-I?m>Sokz%FA#@hwFIsz5|i<&HE>bq zU3dLuX?IeKZ-}LjFoCPn}fm-!5Mw3g3el3k3Ov5@T~Vqb8X+#ZrFw_ z8)qbM7=(xOU0~~e*N?6a7(F-rPTt3^m}+JFC^56m z>TTva^1WD+=)D$(q$|$lpf#l7U_`mllqtPsu`V}vK1eFgsZZ=()hX`Lmq!V+v{vFW z+Ou>)uVV~R9YQ2;T6M3%T7zLQJjyHhUZ^Xahin>GY#Z_xRDBzkB%z{gskfToaP+8n zv75fK`Z2IIIgsUsnG%i* z(0!sHFl1q=e2<~V5;}=#f-E!Sq5~dyH}dO}Z+H~fwX!45mi_hTeyVk=I=$bm3gDC>fBY%siQ)-T z2~k|l^a_4fI-fiZ6O8OA?1y+M{1lR=CJ{Cj=DZq4MZDotI`$~6Ub(T>SGRBYMH@9F z?S7kD-=AL4@x-G-v!&uIqDZAH46E4fp?3Qks19(dExI0Cyf8U;{gHpb;jAnTkNHk- z)Y0wrMpAmU9(oxDHMIR~O4{ZJXHiDAta01pNRo+OQ8l`*JHA$l@yKZXA>DY}7CDjk zS&2!|EXAc0RSY4aDt9HXBPdJhZL;ZJ>NRuN4OHL3jI))S zLQaZs96v8C$L@qf8J_F}9`@-DJ3ixa4zP>2oo^Ia@cK|Um}7c}>2kh$n0WozB4rqd zZ{QZ+NTkJ4UhwWir;uID&zCq(O=XA9*pXih*yHQT(u9KT9GM%HyZ~-R8Ha82ubk4y zNAI0nF;+njM49r0!mbEEQ+P%DCd?pB7BPdR7*cSSJ9u^l!~5;IftIgc}m*}3ajkg)%R(>TCasNIoEbLNcZkOma(#$796p) z3gHOIN?X?9to4YJVWy6^-MsF_PtP37;8o}I&UUBrwVmroYkgo%r@-vFB{N6s#IsL7 zow+d{1sz1{D0E}2zeaZD7hs+GE+gw@?t0_$uEssm zYln<%5W_OPxGvi-F_+78)CrU47fsxk5f`??qzkG&$i7yh;HDIrlDdKCW4hx$?jLG* zlT{__t7|z^$ex|qZ$ANFgsX1KlJ^`{z&g^$@iVedA#&(b0Ed@HLGlkanH;c7-mCkG z<++%=lUHyZi9)WE97=1d&&CqMg!GJb6tt10D24Lr0m4Xk_M`bk(xSQCL|(#8XNZ$3 zEANyr%V2$PI3>rr%ynw`uLo;;cO3MUNGdq&glDS-d1={m9W8cl>qxgNW85VlWDGPpHMz*NchF8n=BLm*>TRk6_&53{^-iM+K3-aSQ}h9l z;8+H?Ji4t*~M%Ixty(In%J2R zFvxKFRoj^?QD4lUN4ke^9&>L`u00Mk*h#;T)OYQAqCgRM==;Yh;cpgP$<*(^uaG&C z*VYBIz+@_bP&lD)>8em$pD-4##=3AlerC0=!7zG3qCcU%UacnppeE}NL798S?^m|= z)DAnZV5HQF5Rrd*Bg1*bu@~|mr485k&Zuwk!n0h0^bYSv*SWOjdz&m#Ay~@`%4sNb2gGQ@Cay8?$c@V|wQKJnRwiBXS_42#zQs@ zyIklyVd@qEUF*gdg)>GTzuzBTB&9s`?1uf;Siz{?P~R{HzzN0xDT1+J@1d|YRTZ5< z+eyyK#B0CTg+K&a%WVY%)?Pt8D7<+t&ANyVV~JZj5T>Z^dcy8XVYK~xj7IYaI+Z_0 zBU*l=yME?vr-ky2eN!m%iSE*2O?=GW3p zGhFof5I3E)j3y0JGAIszMI`g%#Q07TC&W~p1=^d(F*#nBVT~HSy$Ul51Cfo)-e{4A zUF9%{I63PCeDoYk1)!jkP*h4M*1i6-&Z|o-VIVU=7)X=DgE|&02SE*VVu9&T6_LYf zVW2qTchk5+2Ow3hQ%SqY^{bKxkGP4z^Ll_{jmmW}EjV^iljcoC#G$GXZYq z0B^EaM}b2vQwDJldi{o$DAQ#&6nr1*+@!dh$YJOF@QJzFII~M7WiwPujhF}8OPxYl zAE|Z)`l@llvZOk>2Egug9RX&s0eLetL*en8n}l*RVm51AL)YB+$QCk7+>azw>j*j)B4rCsmImHntI}I(3GKt3uGn4R$u-3pO*Ca}m zemdGA!1y>oKhZ8nEu`hD!ZFD#^@zC(T2q0_5o)-I0>ZWCxY?O+({$|1AAuh7Kh} z{oNX-r#|jVYyo(_k4f_Y9lb>sOzF>{wQo|mjMo5k5^DfEqQ+NkoHUqhK$EM6)}-!~ zA{IktAtVZ^3fXL$_f@aN^;~L#mKNjKK={}M+MDN8MkpN$8{#+ro(vplq6BC>^6gy4 zz=L9o6sCD5o*TsiD7QX_zI;$@u=BheYr1evQot50x;8%d@NI87Rzo-oTIwUHgIO^V zB;pAFzM21*>Pyi$*1o>pBdTM0W0D(s*Q#M7xvzaw78zmFZw7;Rad}MO(rh@@RY;q3Poz5IewlKW` z%Rz^bmgxx07m-?^$BA>V33PVTA9MtSi_Rpa|4-H-yR_Z#a1!~i{oI1I;FuN zaqLMnATuQvXlX*iYrIB%NaHzwMOkmptYcoMy03#Sysjo)e$2zxbyeH+goZBEnvKs zh5rmLTvihJHVNybM;pWCL8SNdA9`XWwDM(^J?q+-&UCB}aplcC)Vw44{7^F%?DLzr zShQ!h2@qSx{SOB`9)}RzyoPM@E2%$QtYj8fwJ(j2QuG(B;)-j}YKy}>chJ8rskj(V z-=m8!Jch$n&R}@o1HG7!1QW6g0@Ldc`}eZ=uKj8$Ted@Pt74-F55m`UuqN8!PnBG@|Zj;Ufg*DLpt3-y@|)d4+hOrph=Hbo-h z>=hxCB$+qVQst-y3Aa%>P18U+VOW^h>pk<9&&`W%rykfr`^&7acCmp75*7MBtttAe z^qT!smRDy!1ocq#`B5;XkglFCGCD@=x6Jf!E}qGyxmJ}ZrZo~P;=_e&_P+v^!Uet zr0xP`do#u{7_w{Xn0FiRqsu?At#y&RxXG!(>uyF*E{?PiH;qh_m4^fO`^-_fqn2s{ zNe@KsQQl8s6K5Gy2gbL)N(c#rs1QI=>Wl zYaBkb-XpJ%__3TwIu9YwDV50dUrU*}^a{#j<~=8>p4~RkdJQibe>A}C4FNU9n)h86 z>Cty@LKiSj)HjIKnjmJ86$7OWa(tJ7$@>J-e0RWC&4njQ!wyPau{Nq)jW173Q|`0m z#9z5ybQ%%WVvr8J?p3`0o67vswNIwDsk=ftGwz7 zzM)%_QNT^6%|PpWw=cp~@=^222Qu*pXYcX5saR!PzJ|gxyFi-zsGDzPZ6IHMT@biOq~fljzUK`X zoqvhV4ocoXuwCH5EI$`#$Xj%4;WXa*HR=N{tlwH_Q+Ui&Pp4jk=f{t+$*pETgiia) zXViLlB)gFwCzkne(zZG}iU>!GX6H6vrtA1TDmnMUDb3^3yK~Eald&xcDmi*>a@sY1 zu|WqlfL^aa{EZdgg~r+0H@`?Hk98mN_I?mr7kpRA54eRj?-LP;pXQ?Za#va?1Fe_U zUPad*UlY-{5Z;E?-xa}~jnwcK(U{OEXPtHeLrx}mM|Ky}ORi?|&Ly7Ye9BILp1Zj9 zB=+DJ*KX5H_i7?{Og_Y1p5DWJVQLXb8X*9^s4zJV->(%kyFgeeOVgMqjy#jyc4x7< z9bjkXJ(#97<&_2sdQV8`x;NfbL~b26PB$QI5IY%M%aAl9YiKNLFbS}^@1d!hrpg*Q zDtc(j*#zUD+`7(r&SC!8&X6zq6N&`hQ>g^zT^t-8xx|wPMEtT&bE8KVJms*C9yGIV z0lG2vmkvr(z=_XZsYETD{G`@|HUeT&x#_-@mJn|0Of z0Jd-?3ze^nKW{!wJLP901VK(JR9r4&hqu9}iefS2?LemEFlxpao#gMGgQy!{V`X!N zs-NOdS{NuP`g$z4%Si`avZ4y^A0KW~6mSk-!BahU$bBNhWPS~6SLzl zblDo5`e{ypQ%c8I6qKN+B4kO=e9VfKayXe$(!m^SF|qGH=PP|}6`a9efyKwUBOj19 zTg?r)(N=?!@!(X5^3xnILsC`E(J2cYx@&fZStsQ zvGjG}7*z!v(#gr$lr?QJeby3X`XVcV9u`k(grL$M!qV%;gSgVr!q9j#0P(d~X}!0= zfbj%xAQ$}tc<2&VtQ5*9^61jpRaogVSEgpH;CpPj70rF+cZ?{gET@y6yAJ-51mbU8mPPo4nZil1<(I6pmOyszml z1!FHP+vn_cevWX*!7?&6G*QDsusRVjU6+)3hX%G|YNtYJGkQ=b_|3e-y9`r@7HQl) zP2DT(V{paL2a~8hup+V$&caI%eeDyhwhJw0km;P`v6wb=IHiBiG1Tap8 z#sv~@5va>D%HsPgtrxM3RB#X_xGB5|}#AaRV4 z0fFgV`w5F{tS$!(JESnUZS$Fzi3h@Ql;LnOi4&eSyzN1mst$=5T? zF!6-i(CwXpatdKc zxC8|Y>6x44K!T`sdS+J){|n-UuqO84+KtI8t7zCAs4gcPns@SX(-WPwjlH5lKqFe% z33JrI2_uZX;&cS+rbz*Z0A=%|qLk$~Hj7ozX*+%Zy);}r@#<&xil|L2NH-e<8^Z_F zXTt{q`^cR!eUxNTyk}UNFN;+ht92~&pv09JpUvy<805<$V?(i0DG($_ISB83j6H6Q zOaoHU#l*c4p|qj+C|;VGaOH{EhEk*+MvC(hcGBhY^Fe+X=HU%a2%(c1)ITv=wP?lx z#c=gqf6K1<^3xdn9sol5)#{P(AB%%5U0 ze}0MOV&L-9Qc{0<{At7gU03F>N-{d3fqE8!#40jiRn9D>4h7#L zf~3HTvTzAmDJdzqELcuS9(?<+LE31aK;ozX@Snmre^?hfTX!g06NIu*(Ct{M-ArxCC(pa|5a}1 zKjH-Z#hRf9DuBrPABoNX&27*h3I7X${C^?PCYIp|MEiq9oE<&<(O_u_MG0vsu!wtL zps%tdQKLklo4=#4yN7dt1lr$CRP--0rv7MDkTbEY&;S3h|CmGJzsUd1zqVtbqc_^^ zZ`4H71pH%=|BMOscX6d9nuC^Ti+?t-yquhj9M~27Hw-Q>t3;ege>SkszhQ7`qALCu zjM(@;FygFJB5L)2^@Gd96^WewKQK8trT+tyS0pO*U;P689X-5V{Qs(jWZ@C+LS*^R tJT*t71Hpd;|9iUV`?#XPf6VZgT>}Cg{R97!5H7DEqev?xq+_B>`#=02-RS@T literal 0 HcmV?d00001 diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.pro b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.pro new file mode 100644 index 00000000..cdabf275 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.pro @@ -0,0 +1,35 @@ +update=19.04.2011 14:56:28 +last_client=eeschema +[eeschema] +version=1 +LibDir=../78xx-Regler-BuildingBlock_ModB_RevA +NetFmt=1 +HPGLSpd=20 +HPGLDm=15 +HPGLNum=1 +offX_A4=0 +offY_A4=0 +offX_A3=0 +offY_A3=0 +offX_A2=0 +offY_A2=0 +offX_A1=0 +offY_A1=0 +offX_A0=0 +offY_A0=0 +offX_A=0 +offY_A=0 +offX_B=0 +offY_B=0 +offX_C=0 +offY_C=0 +offX_D=0 +offY_D=0 +offX_E=0 +offY_E=0 +RptD_X=0 +RptD_Y=100 +RptLab=1 +LabSize=60 +[eeschema/libraries] +LibName1=78xx-Regler-BuildingBlock_ModB_RevA-cache diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.sch b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.sch new file mode 100644 index 00000000..0a3efe52 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.sch @@ -0,0 +1,137 @@ +EESchema Schematic File Version 2 date 19.04.2011 14:56:05 +LIBS:78xx-Regler-BuildingBlock_ModB_RevA-cache +LIBS:SymbolsSimilarEN60617+oldDIN617-RevE4 +LIBS:78xx-Regler-BuildingBlock_ModB_RevA-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Connection ~ 4900 2650 +Wire Wire Line + 4900 2650 4900 2100 +Wire Wire Line + 4900 2100 5500 2100 +Connection ~ 6350 2650 +Wire Wire Line + 6350 2650 6350 2100 +Wire Wire Line + 6350 2100 5900 2100 +Connection ~ 7050 3850 +Wire Wire Line + 7600 3850 3900 3850 +Connection ~ 4300 3850 +Connection ~ 5650 3850 +Wire Wire Line + 5650 3100 5650 3850 +Connection ~ 6550 3850 +Wire Wire Line + 4300 3850 4300 3450 +Wire Wire Line + 7050 3850 7050 3450 +Connection ~ 4700 2650 +Wire Wire Line + 4700 3050 4700 2650 +Wire Wire Line + 4300 2650 4300 3050 +Wire Wire Line + 7050 2650 7050 3050 +Wire Wire Line + 6550 3050 6550 2650 +Connection ~ 6550 2650 +Wire Wire Line + 6550 3850 6550 3450 +Wire Wire Line + 4700 3450 4700 3850 +Connection ~ 4700 3850 +Wire Wire Line + 3900 2650 5050 2650 +Connection ~ 4300 2650 +Connection ~ 7050 2650 +Wire Wire Line + 6250 2650 7600 2650 +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D1 +U 1 1 4DAD851F +P 5700 2100 +F 0 "D1" H 5700 2250 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5700 1950 30 0000 C CNN + 1 5700 2100 + -1 0 0 -1 +$EndComp +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym1 +U 1 1 4DAD84F7 +P 5100 7050 +F 0 "Sym1" H 5126 6846 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 4976 7284 60 0001 C CNN + 1 5100 7050 + 1 0 0 -1 +$EndComp +Text Notes 7650 7550 0 60 Italic 12 +78xx Type Regulator. Mod.B, Rev.A 19Apr2011 +Text Notes 1400 7300 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 19 April 2011 +$Comp +L LM78XXTYPE IC1 +U 1 1 4C30C3F7 +P 5650 2650 +F 0 "IC1" H 5950 2350 30 0000 C CNN +F 1 "LM78XXTYPE" H 5700 2900 30 0000 C CNN + 1 5650 2650 + 1 0 0 -1 +$EndComp +Text HLabel 7600 3850 2 60 Output ~ 0 +GND +Text HLabel 7600 2650 2 60 Output ~ 0 +Stab +Text HLabel 3900 3850 0 60 Input ~ 0 +DCin- +Text HLabel 3900 2650 0 60 Input ~ 0 +DCin+ +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30BFFB +P 4300 3250 +F 0 "C1" H 4300 3400 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 4300 3100 30 0000 C CNN + 1 4300 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C4 +U 1 1 4C30BFEA +P 7050 3250 +F 0 "C4" H 7050 3400 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 7050 3100 30 0000 C CNN + 1 7050 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30BFC6 +P 6550 3250 +F 0 "C3" H 6550 3400 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6550 3100 30 0000 C CNN + 1 6550 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30BFBD +P 4700 3250 +F 0 "C2" H 4700 3400 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4700 3100 30 0000 C CNN + 1 4700 3250 + 0 1 1 0 +$EndComp +$EndSCHEMATC diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.svg b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.svg new file mode 100644 index 00000000..4a9ba3c3 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/78xx-Regler-BuildingBlock_ModB_RevA/78xx-Regler-BuildingBlock_ModB_RevA.svg @@ -0,0 +1,6513 @@ + + + +SVG Picture created as 78xx-Regler-BuildingBlock_ModB_RevA.svg date 2013/04/21 22:52:34 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA-cache.bak b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA-cache.bak new file mode 100644 index 00000000..4cb5195e --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA-cache.bak @@ -0,0 +1,201 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 15:34:15 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM79xxTYPE +# +DEF LM79xxTYPE IC 0 0 Y Y 1 F N +F0 "IC" 300 -300 30 H V C CNN +F1 "LM79xxTYPE" 50 250 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X GND 1 0 -450 300 U 50 50 1 1 I +X Uin 2 -600 0 300 R 50 50 1 1 I +X Uout 3 600 0 300 L 50 50 1 1 U +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA-cache.lib b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA-cache.lib new file mode 100644 index 00000000..31e61d71 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA-cache.lib @@ -0,0 +1,201 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 15:41:35 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# HEATSINK_RevE_Date15jun2010 +# +DEF HEATSINK_RevE_Date15jun2010 HS 0 40 Y Y 1 F N +F0 "HS" 0 200 30 H V C CNN +F1 "HEATSINK_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 50 -300 100 -300 -50 -300 -50 N +P 3 0 1 50 -200 100 -200 -50 -200 -50 N +P 3 0 1 50 -100 100 -100 -50 -100 -50 N +P 3 0 1 50 0 100 0 -50 0 -50 N +P 3 0 1 50 100 100 100 -50 100 -50 N +P 3 0 1 50 200 100 200 -50 200 -50 N +P 3 0 1 50 300 100 300 -50 300 -50 N +P 3 0 1 50 400 -50 -400 -50 -400 -50 N +P 4 0 1 50 -400 -50 -400 100 -400 100 -400 100 N +P 4 0 1 50 400 100 400 -50 400 -50 400 -50 N +X ~ 1 -550 -50 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# LM79xxTYPE +# +DEF LM79xxTYPE IC 0 0 Y Y 1 F N +F0 "IC" 300 -300 30 H V C CNN +F1 "LM79xxTYPE" 50 250 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X GND 1 0 -450 300 U 50 50 1 1 I +X Uin 2 -600 0 300 R 50 50 1 1 I +X Uout 3 600 0 300 L 50 50 1 1 U +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.bak b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.bak new file mode 100644 index 00000000..f9915580 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.bak @@ -0,0 +1,152 @@ +EESchema Schematic File Version 2 date 19.04.2011 15:41:35 +LIBS:79xx-Regler-BuildingBlock_ModA_RevA-cache +LIBS:79xx-Regler-BuildingBlock_ModA_RevA-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11700 8267 +encoding utf-8 +Sheet 1 1 +Title "" +Date "19 apr 2011" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Wire Wire Line + 5750 2150 6400 2150 +Connection ~ 6400 2650 +Wire Wire Line + 6400 2150 6400 2650 +Connection ~ 7050 3850 +Wire Wire Line + 7600 3850 3900 3850 +Connection ~ 4300 3850 +Connection ~ 5650 3850 +Wire Wire Line + 5650 3100 5650 3850 +Connection ~ 6550 3850 +Wire Wire Line + 4300 3850 4300 3450 +Wire Wire Line + 7050 3850 7050 3450 +Connection ~ 4700 2650 +Wire Wire Line + 4700 3050 4700 2650 +Wire Wire Line + 4300 2650 4300 3050 +Wire Wire Line + 7050 2650 7050 3050 +Wire Wire Line + 6550 3050 6550 2650 +Connection ~ 6550 2650 +Wire Wire Line + 6550 3850 6550 3450 +Wire Wire Line + 4700 3450 4700 3850 +Connection ~ 4700 3850 +Wire Wire Line + 3900 2650 5050 2650 +Connection ~ 4300 2650 +Connection ~ 7050 2650 +Wire Wire Line + 5100 1650 4900 1650 +Wire Wire Line + 4900 1650 4900 3200 +Wire Wire Line + 4900 3200 5650 3200 +Connection ~ 5650 3200 +Wire Wire Line + 6250 2650 7600 2650 +Wire Wire Line + 5350 2150 5000 2150 +Wire Wire Line + 5000 2150 5000 2650 +Connection ~ 5000 2650 +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D? +U 1 1 4DAD8F0E +P 5550 2150 +F 0 "D?" H 5550 2300 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5550 2000 30 0000 C CNN + 1 5550 2150 + 1 0 0 -1 +$EndComp +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD8EF4 +P 5350 7100 +F 0 "Sym?" H 5376 6896 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 5226 7334 60 0001 C CNN + 1 5350 7100 + 1 0 0 -1 +$EndComp +$Comp +L LM79XXTYPE IC? +U 1 1 4C30C9E3 +P 5650 2650 +F 0 "IC?" H 5950 2350 30 0000 C CNN +F 1 "LM79XXTYPE" H 5700 2900 30 0000 C CNN + 1 5650 2650 + 1 0 0 -1 +$EndComp +Text Notes 7650 7550 0 60 Italic 12 +79xx Type Regulator. Mod.A, Rev.A 19Apr2011 +Text Notes 1400 7300 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 19th. April 2011 +Text HLabel 7600 3850 2 60 Output ~ 0 +GND +Text HLabel 7600 2650 2 60 Output ~ 0 +Stab +Text HLabel 3900 3850 0 60 Input ~ 0 +DCin- +Text HLabel 3900 2650 0 60 Input ~ 0 +DCin+ +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30BFFB +P 4300 3250 +F 0 "C1" H 4300 3400 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 4300 3100 30 0000 C CNN + 1 4300 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C4 +U 1 1 4C30BFEA +P 7050 3250 +F 0 "C4" H 7050 3400 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 7050 3100 30 0000 C CNN + 1 7050 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30BFC6 +P 6550 3250 +F 0 "C3" H 6550 3400 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6550 3100 30 0000 C CNN + 1 6550 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30BFBD +P 4700 3250 +F 0 "C2" H 4700 3400 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4700 3100 30 0000 C CNN + 1 4700 3250 + 0 1 1 0 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C30BFA9 +P 5650 1600 +F 0 "HS1" H 5650 1800 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5650 1450 30 0000 C CNN + 1 5650 1600 + 1 0 0 -1 +$EndComp +$EndSCHEMATC diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.pdf b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.pdf new file mode 100644 index 0000000000000000000000000000000000000000..69a8f697340c0fbc753fb8936ce31681774fa182 GIT binary patch literal 28416 zcmV)#K##vAP((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58=y-lwryN)F`-@oFF8}M;R5mH1< zYtn!L54t@xJTRV(Y8WR6T^{)FJNU5{so3Y<3}v0FLgV4S%)K&1Nfbpty8|M}y8{y&$GfBt_jc(2Q{^pF4VRX+Zg>%af!^}qigAIsrjirBuRvEJpgZcpp={Ki%mzWa4%sUzub=1gu<~`QpWC{HBmkI@gxc3@EM$n9 zv|fH*V|V>r_w%A4zuPrb7}DA=p+ENFci;QRE0x$jkFz*M`Q1L3waM?}SQEe@M~T;k z?3+=o+9OIM%S?zTm;o_~-xFfu?2%z9NAO2}FKcEHhZ`Is@B)u=A?vY|js6MHw)KXX zK)j;V`nfHcPa(2VY(j{)^ZcwW#K?b7NYPeK)nFzT7lnFtzEz6JRz(f#G{2Oc0_B<| zYXC1nwzGav2n5(gy)4@6FA`mgv@ZR=m{?ZO*i9pC$}eZp5FTBl2$?gJt4GhKduE_g;FN$uMYwfTBhy$=eDVO zTU7Mh-aorZX9XbSP3Z>oPwEyW79AAX(5Z_K5_mv% zU)!gwT&^$nYuI{;Wk!B){fxPf52zhpf}DHOAp5%6ybYk))J7Svlti@^o0wy+QTQ7g z1tl=^NfNr@#abaVY*z-f=_td_P3RME2z3F7QWt<|OzQXq6Q4W_^?>GQr1^|RG1d*r z7TQjVdrfYR-#>M7RMxgDvUDuAJSvx>=zZRG+*9)eyWfNS6JjPH#9;XINQaS5}?BtML2Gxi*dX2DmQOtewb))*Q$SR#pZ{I&~~lyrS%ZyO^u0SztvN9GF;LAYj2ZAHrY(G;%e`CcCBaEzFOq%Hz zB7M`U-A*SYNb!zavB}n$Z6Sy{KG7$kThBEEO%+ve<|WWx+Q7c1@|RhBZF4TEm0>VJ z7NjkQB2D$2wx@{;hEUU4cgE;F>eV$t)CzG3yb=1Y*pv30MOC3Fz-t~~&A9;C zq=njSXLTJ3&3dkCix7AT(pAAkq17h2(G_6x>Dzr1D4(3GiB4J9kq&urE>cGf%=Lv# z>?xjKHjcVjsWLC?N;x$}qL2zEo~&8z4bsJ36EB`?8y7oEpDN7^aKliMjGn<4Ymc=bYU_Sp5-+ z=f`L5^@gZnz)^S!q|Ogc1VK*gc`eNW`{Z;}PmrrX(ojlgU9%pO21&>u4bCNr%b9`B z>GIe+&}A1t$mj)}wa=}XQpgqx>!pw>>f8)IT!5_#l=KTRQz0b1RN68Vm3Lccp$dE1 z-NuAg6J*=dobToE#CQ#kX2%Q?E(4Ob0HekBHbsJgJ?oAh}!`Jl*r~z^G9S>m-`@7ynTHlWJ5AmcW#Zii=I+x7A;DR z0n%KIrpQEN+UIg^itJ|Arf<%}0S&72lQ%lwlzP;f(^T%&DPfVc=Cp17h4=>OLUc>y z9T&t`Zz3;&bm2(Fb_-NohzOk_t8TZh-FgR7(lERTV0fcU)4f}!+w_EpHOJ~s+(8S2 zJLpA5tf#?uaASglm)38CQ~>BIsb31{GDmeNqkw1Ll&EBP1o}) z0+`*;!DCHSt@5`TfrXp%$*jM*u$ zU_&?GMM9c7FEU>)F!tJ!;QpA@YkGV%+{xyJ>MfBgkVi zSgw4OFYEBOVqApP7Mg3{LB4}uryK9Vuj4j0f~cvU`85*yuGo{46K(Lx|N7WlG5;&~ z*^j6!K2)T#n2v+(EA`l>KLP#B+bnI1Oh;@i_O{xNfO`-9BJXO03jKlt*%lS{vUEvh zf?bm4t?nhC=wu&uS<=hq!{Qe6ehJ6XZUDT_?)VYNHotKE=tpNAT70RAk{u#aRV_mV zL)){A+_*T`_n}zl1_PuoME4hPDobT+t8-4}T^6`=Oa3B3_AU8U_P&^3WyS}w%OS2M zcElH5Ia*coj+oy>P32OdW#ICS&NrnVwg%#5?kqvh?1#>uIESU8eII1wZk znvST3oIn|(8byxrj=%4*rm|{OJo)i2M0bMRKxRa?tcF;rh&6#WLr0Iia_3%&^I9&;#pD6Qi(`H*Vc)|fp1;wMl?42u=uJF^N#lP%MNBlv7v^wMQ?}!c?r@G-x0U%dz^Iuw30VCm@C8#Nl;j zHy*zUZfxdN%-k61d{b(wH4Mw4H4%zR-+&d~oHUznguW~Gs6FRZyix&)nO!_!50&(g zjOqkV)pfaPfNPh6Sma6#EU#c_%FIJT_)D%OE}iNMG0hL z<0aw56ReOTaY#ws$#S4z26Xv+tA__sFXcfylU9_4#9TYfnc{CrMTM;+SoWp z{n%Vb8#7}4nAmR9Mx(heh{XmEnt$;PI`3yca_2`v-xZr`k98uq2mHz3m_s1ilXy51 z`mWd`18X%yH)M>q+)TH+AHqmvfIw6QvG@Y^+hJK^zx|5Zo7)+WYCqhev#DH3?VB%a zryrPnLT0aKho?@Q9?h8H#a`@;NRS~yUhIompTUa@(fwKG1!|w*1hTcrwja#6$Q??KVAdF+~LNe-e=+0_LtMk7EvQlSOwd_J94}4l~K(i+x$M0mg6c zWWFo)&`Og-pXk9a^?o0+M$h%hWAxFd?x(#9?2h6Q@Xe0ax^G@BPvxdN=k@F!kcR<= zraO9$$>|50q9PCTM_aB<$>h*q7MdIf1=U~4uJgvK3ws}bn{|kWs8wh2JT^rVxKs>J zJ*s8MW-=wQ{;x1-IU?4Yngvfh-)eKDVykCM2W2@!vMb`pR)zMW0Yy8A`q;43^rNk~ z1ynEbtb1m8`ox(5(=Rb&AoES3M{Q~5Ch~q;W7Gz^dRaDj+3lO)#AePye)C4?yJC;T z(e|qnt=^9u33Oym<%ylUoSBS7kPhspb@xonpAM(Dd@46&- z>2e4{0>e}NT>Vs0h&uLm!R2)|EgK^tDO)8o`Nim*FAx?)({p(gjX)NJ7+Gpzi1C6{ z?Z>=F{n1rK>;}a85voAaq}re_uAR9Cuv7g&IH)XDJtmcS6dm#UeJC@J0zp4wX0W%Q z9UMXZ4|ny)Iq30gdC`MwGYXefv`i*4B|oRFOl-q1JhF{G+>SWE?}x5e}&(72ich!&#|^(YB*1!Lu81=<&d zJXbjp4g~WwDu2F!xxXW%n82o|&%YSvR$J11dhQPrL^d{B_ijg2F35~t3!tap-B)Fl znQC1O6snG4+3mb;Lb@;RX`oO$msj_-=qVY^`VWM{#ZpNmK|6*9^>g&l!~}rH&m-jG zDcNKBzq;NR3joG?))zad7V-yV%AD($HZNP|vSii|O!fr*jEJy}HjG>!BRkgA93T{1 z79;;in)3m*gMVyal-Z~xK{pUghgA9V`Oy1qVE{0(FrbLZkAdpyy(CUPEYG7KMSR2XrMd6T3EFD>)KCrquRvXv8?xWWXO1an*kT zqX@KP=RPVBn48f3M|bOu)A~X*V=SgV5$X_@iUpRmsTo;6)&n*%0Y_ewAEnzZZa>CE&3zlAf;>qM z!nxU*}CBuRFoMAWwgpNirFe6BVV}fXocdTE1S#Itn zBAjY$^?;akbB0E3n2*sS7!H9HCQ+gk4TqqO@wsy?wQf2-@T!>j#(FL;~o=jLs+?=?ZBAG9{Fy(MxGtAIWEhP)-a)r?_ovZj1*N zA5z)v2+UQr7~Q`j8`P19DUW4{0sQ(P5~@ntb#AGBOzh-0FG5qSs2UQ^m3P`qF8;N< zwL@vU5ZiCYEGI;9V=>M~lBTqwc24pOFryvPRu4ProyvInWTJc6QHM0m32{EKSo8v< zqo=4nCi=*qXFL_B{E_zuM5cs2k#TjQA%Q_TT!@7P0`VNxq%I^JvmCkWNLvl|5aIZ- zRHzHm=3uaXj2D|o0KJ$|7we`;i!Scc^KQd1Ge0E~G|a2D+4-E9SDL*vt3B3T@0?2W zep?u+dOq*B^}fK$_0c_hz8{e(cVPn(-Mp&OC3rwg1BUH$L1qim_F)TY`w+qCvQP*K zNz>3l^&wkq^i)sxVIh>B_S?oskTFoy^~#YotRyY@RsEJ>2f}GFeO^yhT!oPCX)Em&ph+=z*``X$>>R^5Xxp( zyCxCTl;G@gctp56hY^bx#P~@q&ToPf-7TMxfg7Rkial!2p|=KvNXl{3K_c7f{`-s^ z$EcyZ=YEAmCd4%~i_r!k>DW@~fyL|(7i8OVJeY0fYz)9;^c96D$RrF|XXObvj=VTL z;a^|5;yMH6Qs9!;;NB}Ed4V8OK@NI;T8w8e9)%zWiLmD^73zz$*>%>Bp0bGvfYmb5 z^>z!y5}C3Rx?fh8$N-_v>3*GF>QmQ)tx1{nKE@`bHDmZ9T0CWTfRBII;S9 zX?C1vXan&BV2KwvI2ODh5{*W&=om-`H&8vfvE2t;cCd9F2m=Xju=Xi#w9ly9ue?}l zH0BYFZuv<*TZD=ui8bBg(d3^X=bq*76_wYCP@3#$osPNd<*KCP7^;d-Fr0^-70<&t z(D|;^RBLSPa&v65<}WaoXbhC-jmUR}9-YzhE&8FW@~=4X=EvNgQBlXL&g!a9O#zsC z5#vq-my!ed51?{wdYf(#NX3z7nK0X?$rW;$gFa70;7|B+Z3~W}Lk3LIKto8wfQM&| zR`n}@27V9C_(<=${n!8eA-8^9G}pf%d5N5c1B#s0vbT*c(_LR4aO4}Z|MJft@74Tm z%Qvx9+x}4`V(8TXDXI_Uw_H6hzt6TtGyL~IK3)Rp(-8QiS@JJQ@V8a+j{%XALE^A~ zU@iXpAJGne5iNHTV^{w1@!!7b-akF!+20S%Z6MOncr{-StND#!9=ffl`>xBqsE$Bn zUoV$+uJZG+9pfUx^`e zYyTrDOXC75^NN2|%Ay)d*-uu(`Ant(`B8%j^F7(JMBET{H9|v`%?Ml8by0j`$|^|U zH*ML3m>~)huvAe?*s^E`zlfGQiLonLo&BsWOH~^SK=~s*^K9BU(U>+rYnl0!Ps%wV z`g*k~5}I9XMObF8j^Y#5RwTe*ZkGYDg^0?GMi*bW)K7RuR+^>kQg~FH*~m z#H}mYVxFCsk2Zf>W7`FWgf1t~uy>13`k>3=H+qv1?J!9=M_3Da#&+%~?H7Uy?-C_oie2qd(Ku4FB$p^z!)qiYorwH+}nw6|>n( z0cmEs+u>&|z{B3Q3n$asm%$_WGu7OPrfPn43Fvm7E3Y&_Tz_{t;}~wnXhtjn?bIt& z`H7qzNN&dX%_SfqroTY~3Ldq@5`cE_i)gu#xOGLBfVb``&w@jbhT`mZkMFV$GVt{c zV&3WB_!7L(w!{1eBKL0TeSPo94B-$S^ueq83go>i_Ti1tquSs3b)PeT*onLA{oT=y zzv!S|67zSBl)HE@er%c*C>(O2>K%$-3bb>(A?mcg5ImTxFSi~F`HQ(1A{R~-IRs~q zie8As!Ubu!-@AsvUQuYn8@VLBU2NKh+P7;W977AO6G~|478w1vtk{-CW0+FN?%NYY zPWeSu`5PlFh@2dYZ{7%fSL|VX%0Vt$b0oVyMnaIo*$$-WL&Rxp6gu+Q1cI@NVynL+ zEufb3#_EeI9zGDoA%d;+gC3_Tqgs(Ym2@ z#-2T7$EjJDnH@mT`6py{4*_|hi_Bj1R++@Zz36SL!9ziGYLSI6f#d*-K=#0RAPq{D z#mF9#cJ{oYdN3oJn2~m5rtWs)I0$RUKtKlzF#hOpjx_K+R&Mn%=i z<%S1ghaK_-@{o*N8#yo)bVD?N1XI1x)bMqmq?Q9IH61>=#;nNSkU3rAl%GQ7q~Lmc zkLA+v0vD8e@jO<$n9WBM&&maw{VC`~cp3RZ-v-dPA+TxNG zq4jFFnPulmqMu@UoHwMM8xWss(k>@tv_r3W^&C8T?srs1>?sO88HmGf7D-y}sJ3WM ziN!@mxSIr!$P}4X-`&Ar37JiOYB79|$W))?(VA5stIXWGET*0X?h#=-ik=Ar3BsK# z9R#;?S)E|Tt`=Rc3P@D7t96u-Yf(MwpeHX#?zT*NQc~t!;9O50haJTTJ(&>bNfx7? zBx&kN)(<_&CWfB8_3R-#PR>x5jMJVKnUst(D-~htsR@0Fh3BGbVXk?ny@Qen%ge^T zs;uRy8ovtFcB4{K!vvX1?fyMT@?+H%W)FLEy07a~V#lV~RdqRnCyZIY&Fvwny+ zn;4=!_Uj=p8tq`Q{B6&TIU~qJGVUBtJl#L{b#`EO&gh^5^?`w~t>OS+DdNZ+VHmpv zqJ1HOfj|NeuFRA`&<+VaZYwieIMq?R+$QWU-90XC&hFw4Gw}-^${v$V~g>(eGr#iC?cwZ(yWM8P?c9 zrpQ#y)k%{^Qf*3^oHX)2?$8#H<&+^3%(F66t!=~Z%3Pw^N%D}4++KCu$eimjv~HtK z>T}^j+J26f9H+|wR^aegAm_vO%Vw07u%)@X?3Fxh@4ta)0NeO zw6@4Z9Wyv1w*QP`*rNt%>|>+)7Hv6 zuEz!PNsY?OZT3CtRUId_|E7>i@@^d)x862#kE+?=5)h+F>e)UA16hD`8y2tHTxfv2 z1RB>fLEx}7<4q7T(ZYDkh|S~sdY zS4ALm3q&t=^V!F|Pl!2(q7;PU6|_SpQ9q??r^66k-}Bzi$;bptg)BcZ)76LIvOsos ztI&CsA#}n^ML$6}Mih026*IE=+0aN@T+6$TO)^c_Nx#Zg&v8FUAO`qSN^V2=vQkg? z48^j-x@VS^wRre~;=`gXpGKWOugG( zE!X0==zBT>=>3nSsi7=!q-qJn10pq|)RzVQC4W#acv3&{1!yw7JiT}}N9=NQo7)*V z^g<`6W5rH?B$AX*y$&PSZcp5&7GCR?J-MmVK&=kC2Ff27^uY7YMkPXjE z7z-stvuDy8wK>kAdH^%sl4};_VU3W>vupf4aqw&!h?`TMkKY!2~COSdDweIA(}wQ zM#RiV4_3c|w%zQP>p#?R{z`2G^61=3 zk@i(*xyiD{bHst*cPo5-#3yC~7@{xg;gdHqKh`?GZT{Mq%k8xm6w-;d`t>6Dlcov8 zf@qFAzj>qcW6eAKotFhi=p_hJ)0JKVs4|TS?Vlutdn5Bxt@8*2IP;5P2)tz&5e`Ab zYYkAj>np$&`Pv!FtCpFWm^lTnAr&foOwi z5WL=@JLw->0D7lI`RjwKT<%(OUL}N~eY47eSp0t}w~rF#A8YSvPngR!Ne6=TK#G~b z-y&GURzGKOL+*rsa0#=4tp3cNE>CJTR=YGv0Eyknm0k8Kdmz=H(0s+BW>_o1GrGeNbq>7X~B4=0x z;j!z0aO`>{{evym871+}bM+P*vihZX9-toqnNQ1xJFF67*V5hT{#bMEw2CN8m^pSn z1W23@5o9~^(Gx{r=M-Bd;+x`2OVAnX`mrux!&~&W=3i;UTl^M1oazuO%=Rbmh!V0r zR^f*CJ0w^3V}0-q`L)O{oAsgT3z-s|t=OUK9e)F2SHV~co_665NrUx$Wm)rmhd$Qz zgBGbohD56E!Y@H|+jY$_d#hfKqS{(r>8i0~xjK2z3X{~tB#QZk!OQAz6Mv87>VL3T z`q1RXKD`hsx^TFwAiA)->r@c+3oJX`J7QK>yQc@1VjwUJ(FM!Qbkb4#kM*g$40GQq z4|LU&a2fl_`^MK%dSTI-jbDh0p>WTm6=MJl}X1=_3M1^jrp-@Xt{i%vg9>5&m`Of`3e_# z=+M(&)`Ox`I!IH8_q*$Hk`YnI@<2zQpSlnp%^Vx_gA>yPi^ji5mNV2Q9cN1#7Z)sf zR%IJyjTS|S=w&Yz2(2s(9Ps_LP*e4_?&WC$fd~Kz@J+?7#Xq>Iu!ocuorSTf5Cg-v z?Fq4MJ1wgVu`TZl=WGL_u|@BMdeaW`PQc^7s>_vtZBEX1E2ZKVwd--+TLV)kesD9O zJLgNME*;w!-CkeI#4Us(O9{nVUqf3KcWJ*Ep1Gi9gCQ!*v23`)(i3jwO_`lAj#3tj zIoIq`N%gzOKOqs+$^G~-LuB_blpVGaLuA)bam)`vn$HgNS_Z-!zN#EvvoeAb{Fq z7XTHHPhth%?A?g85vEU#)-|Fd{D=`0eYOlfwO;mwD4~9q;Uf=iEcS5V7vmwc$rAwQ zhvd`==pM9Y5qS@fSVZL{DwdKrt{F{pyRy{VI9i$`jEHar2mE*XPDd}4N-(CxW zXQh3=bVDAK(Y>zU3T|XF@{mk-soniD;5+h=%;~16UFKmHPDUPg%0eyCn(fnFdI(G2 zfQMueEtiGBcx7)s;Ypi!WM&XNTKwH0{Nb=PS3q{4&Iki>C;1I{INI_Tq9%utKnRdC|q9D<47l4ZBIb>D!=#R{U@%>q0Tn#VBmr5r)*gj0!)^c|WR zw%Fh@-c&tg{yk#T*0%MpJMfUZ%gMd1sT4h|!`&{u@UiuQTk16b$LkH5QabjIt%>Tn z+SV4XqLMwxsYuVVT@?6Os7>e)>c{FvdDOtiFVSyZTVbI>@>8RB` z_HT3G#c%=L9EU&hn8vSqBx*-GHhl|Cz0vM{*8}fM_g7A(_PwrInlHrMv6NkSc$PSt zeM26N@#@ZpQ)4v0n75z2H5Z~UXN7m^tmec>{u_vVFe60nWbo%a1~L$gSnE!II4~at z!SP0joSUavnsA=kGh2dk*x<1Na5rf>-U96yM&g0B4%^S-4=07bt^Y={}q|vWBa7Qt%KVD7lINr^M{BRwMJp z>w^UFvAJfFp2lB_pFVZ3XPm(a4H3>=iP;vbO11)D5szJ}?%H+S4MeR%dO&YNx?R(?hGK7Ra!LvwwhlQb!j2(V+Y#L0Tt_<6R(zUgCou_vPs z7&{G=`NreRsSW>g3c+uhe4fm()CGS7Jh#wK$dO--$5dAjz*4&T&BnvbaU2(1?sR>| zK?k0gs8FEA&o&`httMZg`t;;jcEQz6i$~{=Fe1!0i(_OssP(SrIGJ!no$B%xM}*pE z!(}=KKrne)Dh}=-ga#gll@*MZOLWJ`5>8vhc%6QlVWAz*gJYB@Ql<*^eub*TYCoJ( z>=fRJb@^oScm#l0Dp2O&wTWpNfDMe}ZyaT|`7altaAKx!Vll%tUf2-Cf`^S^EO@@U zUP$w`;kY$A2f+L+^*2^lb4j4`+gyCO(Fo;y*zI_G^_6tPgVM1M#N26aigCDnqNLE| zn_|(4s>d1zT_cu78s)>@6wKeiR9*5$P>PX20%0?PJxF}VD2JXXm{kHzfCU`JM7wjyMMyo8e4EZy(4ugxbN6Y1@cDdOCAAu zBmO9h*WbBt+Ub=KfBEk>x6l8EdKKk*?WQmL%dR-DYNQKdmx1AT=k5Gnq~pA%SWbZmVj3AYwFRR0u!(NY#hN;mGwG&Y+^Am=ne@@bS3u2u(NWBL zYKS;YYT0~Yi53BQ1q4D56R)jywPiC?7069CuU_UekeP}Wi;tz697%+igHJ@*0Ltmc za~}q9v9&D?ps4Y4%>yXQfnQ?pyde?;n2PxTCel6kxv~AtSc`m?ho(nY2^KEUnc`nE}&jlIhxgg^_ z4`gDVZ|h-qcyXR@>+Z8JD&MIK(68MmF)Durw8Io&vE2`^25HE9RL@BvkX{@}Xp^@b z+c^|F-3koSC+8&W4nn~p6pN04baWQgV>I4)^o+4vRX0)Snn0$=EKmrbvOpo&<3iVF zMZ1@}uoZPE=Pq2(xs@zqS8Mck-c&5wXWV(zzSV9jObu*yi`~pRv2B|@c@VRUNOW}L zJVA>$&J%VNwf`#=rpRg1g~oKfIgPqdWJ2ECLX*tkS=lAq}5*HDpRx(?1+A3#QIuz-6Z%?@@e1S-rXqvio#*#h_;ezkid`OPb!bvWYt+w0!txFP76OGU5zPqo|SX_JI^dt4xOYd4E2 z@yjn@msIodZg(+taRK~ckM3R2g6*W<=zKAw9eqFwx7sLFXt3c*c!Atmg6gBEyFHRH zAl8i%E}mVjLy}fttlfdiUA-5ndQi}b7~SXu>Xr*EhvpGL4^SpC2b42+tn!EV%B#s4 zQc&y_*ts!hp%5kzo+49hdy-n?7q!okL7^Z6!c%1IUBXOe^Z76{&5LDtr};o+icGV& zgM7e+43Mc#`AzbvQzPLoy#!s1q{;SmyM#J*6A0&2+3iy00nz6X(kv?$wn)=V4xKJ7 z&od%bqfUlcsY-_)X;(k?7xm|^S{s2pyzgBf4ROMD-@9(LS=GaP+Otp^86!+ZNrW6@ zsbO#~vc*iiqhG7h{ugz&=J&aZ9jVu0wkGGL34DFXCmLOo-9(Gg{|()4DaT$&=t|Tu zQ4wIXFYM5`0GcRuA&cI4e33cq__S<*bh#5m1N)Z+ZT?k3G`uASylxcr8;Lz$h{bo5 zkR}pbknLflHc1!A9rY6?39)zhqLg+_gQ%p?Mt(s6M+2x4h;FM(`Eu6| zRi+(&l`zFbkaGky(t|S6gTu`1G+*UR#(CyB#czroP#2)?gR+vdX02q6CP(IKR-Mvf zJzX^*>v^P7k2>Cb7_5+Vy!j{u;mwW)42XouqpFucs`IXH9qIrUWOZiP31dNob-^*I zOtlc1sx8tpMv2e;Q`K^m>Z-&uF(vfXUAzbs-~HBT4+B8@DZ7U zAq5q?lp(DMr=Hpw0X_VcmWXq6?r@1X?cwmWM4X!|5V8w|D1_RrJ~HfFeYhx>r`-WJ z+0k8-xsv#tc2!mqmQxSYWI#_AW#KuS4=9C4?oI1MgY9@tl7h3_0s166r=2;;%&_ad zaxpzRlPTLHKv{OAg>oQkMt1sAd_jjM5@gZEXZ0In`(g+ALJ#)EZWvB_P#}pZhX!k> z9bz02InNQr9=1@A$8xm#vbcJR!O?StBVcu>;X1jfn#zjiK&kPQkgwB@WM{FobB-}M z(w>^%9iU%6l27H$(18?X~)@z!-MbUh7>7(>Fe8YZ**dt$if)U9m^)*?lc0Qzb6bYf_C} zUbb>O*R-5GuQY7-Ujl8@(`5ChfTHrX>S5u;j0@3I&C$;bS&uc(6Mn;aav^4taY)zI zoi!ZNb)}tzAze34CZ8u4q9@K%vCS8pAicSO$3)>rm?(1g!FMX?#%6oA=;>-!T1=B0 ze;zXR;6?>ZJ=TUtQMST`UyK3t9SzbV~|Pg1UBJI-=*@mLT#5U&QcrZXw-p z5=hsZG!Q$jA`I4{X`CZ6Zoi&%eOoMpG3Mxnm~qU(O5b?brL9LFx_%?{U9m^)v7>K3 z|D-varnGTFJ|a?vO^Q%i-HpJBzIi(3TfTCTwaK!2MB^)L0O(!25tR3 zkKBb;tqU_7xZXU`B$079TX3Sm`4z~fckQLUszC1kwXLcED#+Zb3bJN5Aju@jzUtER z>S0ATPbiED(F!+g6CK^DlYL~wkG$k{w~KRiaCG$6^rDn3$Ib633Dc=Hx?9oat}g1B zo~?80LA^G=ktVTY*Sv;YZ1<%uC|`PKbaZGNXB{2Ao!Z4@SN6r+c+vS@sP3Py>GD`! zAJ+6 z_Pe9+5t-`Sk07)9a=`ZC8(sg3BQ`-#Tz??7`_{s4Y3pRYug?9Ue@`);IlmX0Kiwtj6Yr}1TrMWth@ub$d7VVW-`d zm5W_Amq%}XPM@nw3g`4$J)R3K7=%*qZ*EfgL|6DJS(l{CE!4F1w0kfu`qio7(21^l zqondqdu%j!qI1-Jbns1R7*LMAH z;G0;T&(lrpSe?&w zKJG%1bCNQib+z@XEdIcX0gs8S`XJ`!JrFA5>E&gbMY<$@3jgFG-JQ2l+Hxg`-bOP= zrrG6!iOjVaY5P{+X9%KqL2W*#iezt#XMi=m1ls*0gQPjCDO0g%;N#Fj5m$NLa|4S% z?cvB{GWx&)U(FSyi3yeZd0rMz&n+(Yl0xdIJj_`qd0hMog%ANbu6t$~ctpnU+e&2o zuD@D+nptBr=FVJhZ&)S}}tx_?+`5}?^n;%&H zD?aiz3ed%DO5ND)&ZN}UeH5Mk2lG>IEbm)psT`N9v*IsKdYv=X4J#p2`q1s_Hv|Q1 z>X?4aHRD;#{x6E2+F%Og4CxzH$9g{hdR8^G4{qVh`J+SJ`<-tvxnvf*JUR zQ>*%QN&M!G(09ciwdb@4=)KU@9!UmROP&CdG0+sSO_=~TWmjB5p-@dSN-DuFxr=1b za2H~O9&%dk{);pchuu#cE0*L+>@_3nVmCL45Si`6=HTjS0VE?r5VN786UCS1paYgF zdrS&ra7Kn%6PMB_`7XZxB_vGvZpjI$@9Q-J#|WYxsO7c0+L7lIDx+qcJ^y-5U4Qr6<&-J-yuB^>9BQ?$1T@TJoc1ihk zb?TaC?Y@F@{3O<}4Z2$b3)`r>*WC@LsoD>_J~6BXF~$c0e@MpUyZV(LfzYmGz?sw$KcQ0Fs@+hNRO$us;!$VV%7xzfylr-U(}398Tb@Ul%67W0 zrb*ZqpSJ92E8b=*s8()2 zks>0!!=VUBlOjq$KtYivReBLAf)w=&=iGbW`@VmD^UIVxd#}A$nMu~n?6uc)8hamazD_6aeEI3(r6SD|0c9= z;KjkTE33@^b+ky_=L)beln--Px>O%#pntda>LKCe~~xC`&Z#(TN5<0R=Lmq=J1_o6T{Gfi)tkbdDe5>Jz1 z{G(e6HhW!6YIv-9qFh(@iEl{N!)wDw%Ez}}>UO_kIWN=EI;2e52?Ad!0{Fu)G@i$) zvbol^vUmMSA0-^bhl}5ixm`-~)H582FXHE;Ga_r5Np?2oI3iaayCc+G-A3iewL=#B z4pGYAyM$i&9jdg5m%76X#M@B-B<& zdnG=vDxS>3yAv4Dv<|5+lZg0fRYHBcb1nEwF>o)^So&Jt*ksY~T?OJnm08CZmQeC5 z@6O$?d2N5uviP=LjgbM{2mW#d1huVueD$6s(ovOB5b%f^yx=`|UTUwW^|;efAJwP6 z>CX{?w4FbfC7;cD_0IWpoA&+@(IZdzBXqe1=&1mq^Awd*Wd6IKK}IJG*#--h3Z+8MXdusZU81 zUU!g>{A2XR>rPiw-Eq5kBGF`Bq#8fb{Gx)pD>ET1kz-TeEMbHT(4*qL@>7U0W<@sH zx^_t%^FYx!qeLa@LR~pycK&qAefRd4-Mpuqnw{;ptZb}|T>&o+)n+Vb2GPJ0M{X*p z9`!7naDqv1LtQkX%)AW!p43A#n^-hmU1umaeS&qidlwm*8hTO*H+JSB?`m?*+T6rh zAKu=IH{B5OK@wF}cd{%0U%F4q>%QIICRj~06IYLuHKblJYI(DpIWbPR&MbvA?-@~x zQ$q_a)Lv>o`(pK_`r3rQH=UtDiiihm^Vvy~BB%wld5$7(6es4n^RgR)ijm&FAX$BO zk0j@?(b44g)wlu}Wfeweq2itK{ePt?uL<> zeybt1m`+pLB%{jfJ5VL zOkeGy?)^(Cr}ow3^_PD+e~Fg&SPn~(n+fcm^Uc7%P$_uD$!VV7{$^fK%W}X?>mEJ! zOzq$T*1-R2BeP?HMdhITKJl!;=eBN-Lfnqxfx?8+Q7o`EOoLYH+!55;8C=9j+*cyg z+;EzGsST*bwOF<^q8SNJOOvu)1YVZ>yXQno#^j{n0djQ@G*!iZ7QxP*%Q zypu8H*ZNqaR)zoN3D!lA)Pd2niJ);fwf+a^eY=H;rW{OqqsEUjC$D=F7Ze0Ts#w9x z?Y9PW*lDs03?_=Q+x%cI(_QnNNA;*J{{4q7%iE7vPJVQR@^jg+tA=ni)U}H$t`IEC ze-&kULh*ZqrNpPM&L{absefiVjK7Yw+l{U|N;8x`dOS0E-1|?|{Habx5{tx|QsCkF$88S=o<|%nmn>(V z7oyi37P&JJpOzBogj8Q%sLHhx1M*+gljTR*T3mVa8Ij6F&{SC3fQH(vm8?+*`Z9?Z zX>y)%a5l9MX^|WmR-~fBC`*E28{7jva1xKg@`1Sfo&3n=LNwoElgKyOjk7h?eXxq( zn(EuHlICoUfiEIA9@Gy=oK&BAWN20yd|^+>z=j0b@=9ypb(4vH(s!ipkjMkK$owbH zfyz2)Qt0OeFOwfj=wb`mlfAlya-SC9ca%`n7c1&k^Vz^ho0OVLyT&`M-@i`a&*8JY zsnvWp(o6sp5w0WoVkL^8EkQs3s2`@&qbMofO)7g$$VqY${gLl-BcrRrU`5L@k6jbz z{)ep|uEj^6ur?k;(+Iqgsgr&x)L)K_6@4kiy1uv_82bv+u-vT=I6mxBRf@6j;V$^J*?O@ zy)#Z>QS?R*&$6z96#9MI175Rq&K$AG4~e-6y#{VGD$SmZr^tf7CfZ6h~c_!1cqDK&QZh4t1*5dz#9STVQb&MR<%1;)}10r6-N zE#bTAQuO$9B|fFIjbh`9@TtULsa>U0Xmg5y#~a(!+NQAL>!cW=`7eEHKPFwV8wbBk=&HQYNb5 z4}sy0LKN(n6~>fD+gb%`!qoZTTDjJ@#UNp2ExCR)*@9d#`x{~? z+z&)hpD~QOJ55?gD5OW&O(aO)$E@$Cb7`LCozauq>_>XTYf`y>NFC;hqF@JGg(WG- z8NF(@>?bvhS%R`(4|G~Y7jaAN^8vA&rPcPN-G0!UUypEc_M7G6K%C1QM$*>t)|UkSonDio-6=l5FE7rl)-6yH&x0Uz#qXNuC243*cv$ zHRzvuW$1=<&|gB|S9>Ve`V-JD{jmBn^crvf-W+c^&4QlP(9;}((f!cNfS%eaajwUa z)Fprk^rM73EYBj7cFu|~P}owA*WYQi4t2J!J$tYGDGJ*V)l_%MWWTDCI6RT+tk z#7LSdoZYFx3+b)^Di4{Z9*tsOAyc1}M#PG`;tB99&-H(RoJT1*CRp2ad(%_+e-V_h z+@LHi7Fa6sH?zmL_c9s-CMOwSA7Q8bls4+ibX!j#;0cjzvLe}4JGos0wOGctKTDWM zo6}q9Lsh^LL&}f8bCuT&(}`xxWb($fA-*^#DoW8Er1;cFB3}wS3~S0R=Y)M?lbd1` zfMR5JRKcthw!Z%HI{un>MRj{z%YbH83*R_Ove|P|DumJbX9qz~Nf}A~`|EGXoA`b& zk3-Vww)t>nWZxNG#w%IE1wKCeo*nj85`@S6%LvE>_&RGw_py{=jtZE|I!Ss~m7d=~*i zxHL1fkd3a(2xL66zbzB8j{q$hW|JA%)rpm4sb&HK9+$W#C$|ZU-}eT-QaQ11rT7Kh ziLmrg!p9%GI-ee5Q`bS=R9wJ@NNH3cQWaB~I@| zCz_4z0lymJ;mehb+pg5xh=Q_qoMt zZ{3geV4eMfBQv$Hv8N$QmW%Uu=05B3aNIP{Cid@<9CCpeQr6=i6ma#I)d}z8QueNK zw5#S7g70iWl4gfkwZW-mj3^o;521?J{rQBH1b;Bt$;2b}J8#=#!C~e+yZFls>EUIJ zb`4+y!*#XCx}HJ0r5F(zdo6IEP5u>byvd(7AjKdjT${OTzp?m_k@Eu0u%0wE^()TD zTC*IihV?HwP5pYRZ54Fm!M4kwKa+9#*}o}BpZ>}j`6;&KgN52Z7L~^wb@VNxSqAHR z+j0PMH|$D;1Vzri+zeC*&YgMFr}}%G`+~zDygMCa$p8TS0fye44!R$VPdeDA#yu@! zrdBW4%=-sBFYb|Nd^>(=tZpC}TQ1|8aqRq6Xdi)US2%sj69@h)*v-#DyW}wUg)!IT z#GajlL&dNu;K(XwyhC2e``}g!JKZ8ApJ)-^WdFU-ncPiuQ|s09KJNzLyoJqC!p*44 zn1TW8Dt?LkmFA5X>DOB0<@aC`jPI!NaV#H;No1J)VfW z)n^Ayvh_1Ig*`shPnUIdypI~@-WcoL;rTCDHH6$ad%SHE+8_*-l9o{*Rt_3d8L zQg2pcd_b@GXm?biFMr_pL?;h<$bvp=-e?VpASLwN3@er1!$hc)5zf9<$l zAlAOsLG8x1{C|T(CpWJ#jeaHi}92*`m2iKYG~OEVsGPMY4|_W5YA+2!CrK$Nfv7EhI4| zYgr5bm*8UKt7E;6*Wm``J44tW_p|6Lfsl{aIl(MilGuRabpoCc#{8gDJLq9po=mH!d6hSixaYH?#2lH)tn^$m#~JBh{2B+U&%Y?; z*&c@H{;=3cp;vF$y>+K7W~@oVhz2T4h~Z@9iIy7ND6+uI%}L_}hBskCwRm*pd#JSh zZF9!jdoG*xOddRb(@AWvAN;WLELd8Co6K>%8V5ReJs^Bu6EJ<96b}5NOW+UQ==a@S z&)O1>IDYngzKguNxR}~GDZuo3>9O)eBzyAQSDXCfbbT_L0SOW7?Vk3qo}X% z*Rl1o>W|}1+@BhL<%6f`5H+|*A$ZaHB3%>DX04Cn&ayQvJS>Wq`p1e=w+Yq3y9Trq zy{a3^ANqMLP+wwff*TedJfkZAxr5;gn!B|(Cd}4J=`<`NQq(Q3Zuz?RTTDLz+uY9!=%s&9_gp zep2T*Q%H8FD9#ZtY$UZ<#{peX88ME&aX3rbcK zrQwn~J?X*qtbSX*(Bsgn7BeRV4~OofV@$xe>7U``$%^~VCB12iY2T`rGn+@6s;znK zvsiUW=jCb4 z&g~2xfEhWzc-6)}Q&_>UzqbGU+Xzy9yb-*I$1>9#SpA*mx{SAjSApBytCj%)mYfj= z!t2S%6K3Mml_x|8k(%|NoXl-zR-wprr@@0(ss;T=<{?NH@g1V1#b<)wZy$Bbhz{*( z_;X~o#1nlxi{js`Rlp?dm;T6Ektg$8HKq;814n0PGZ$+xQ}2MoCCfm@l>|nIdC4o+B|V z7^)dq2-j_~O11PsF%-1s?#6uVs}Gx*;SgK=5YPsYDKt&Pfk%2@9R;$<~gVuD<>Xcu!m zj$oB1MX3HNcW8{F#l5wxS2{0UI;FaVIer;2zc>6OO^y`rfX-XKF3i}_!)2GY+xb`d ztp1gMrmX?{He$vH^3?Nz%;|$_y|?I^3qSLNt+89DN=^OO1s&qHh2LgAjL1zb5{R2m z*Y0?B)=I83C_r0xe!a^NPbhyl9zR?9X3iJ<>!IsBNby7clZhSFV*E~B&G)I6Ky$);7+q_~B41oUdmvN^H^MCTu;-%ZT zUkFfLLo&gx{u72=sx0E=qx0n5jH?JvxR~*iXQr%9XWhAB?uEQRE5~QUz3qg8bo>9h zTl!@DpcmA$(?-SVFi6Lm5Mw09swiIi7(^5^`YT+uw+(uOHf_gYY-pU$B6_z1j^ z8Y$(l*KqnK5V`S4PV9jfNAzog?!R~g%cJv*73EzOJ(QRukBNbLsg68&>Pi{(t#B7Y zXHoLDzUGSzKfVI%H*#!LJ)G||D^l3C$u(zh+>)^{-B2vbRuK2+30sBvud(MtQmvCg zv13WWPRXKvd`NOQ&k{y6?wwFBg!3PF#PvXGP#O6hB~RZN_9Dg?1d7n)u8;&|m|P9} z$#0ry4G({;ImDKm#T_$?;b@h(X!=s8?%Sop3kU z!T2|(t!B}W4^A8AKUNr`$vrM}GUtl(L55(t5JP}cbt-cXfss3SupXj zB0R*t|0HknE4V)Y%kT5U6I(LBTAWKF;K#RqP!7vgMT2uyqrth5TQA$lozY{(PM*4? zR1It$)?_N{AzGsq)NW%HZpVY+atRJsLb&Sph2xdm9@eC>s7RgNmy8F6$?fP##^Ywh zpd*y~WAK~#d!A0Sky88ES)MXfgV4e7NU^j#$#YNMq&5!Zh+An#(#c~}Luv_AV3sP{ zR5i3nEUXP1Iy(_%m_uFtjFiT1EPHn1EOgf#q|&*w@s`h26~uydbJX}iCGzBQep8IA z+}5!Y0iw&N9WZy&L8M^#XqM&QXJefOO(EM58Zb3ffY1lcN7JJ+5lcRv#|Osd@x>ga zB1l#IO5@04fV&x8F?vuP!uJ?n8Z4p|;d?uYc7PSCGbBZqL<^fn^U|17bP}k%G`b`> zLL^y_O=v%=g*}ZiO=F=d^uX{Al-wGud7^V+rkf3B8p{IULU~wJluDU#terq{VhJh)P2J zTP`{{UGQNRT=Qus?>OCPI-k}UfaCjJzFrcD;L!MmkG?=N_(?sr+OQt!gyN>W?-@)4 zQV!uf(2JM8(vk`GxK_ZK>zSZ<$7DqkA}NntD;s1F)p5exn~pNfF18siB$0TPfFLDX zh)zwS75|`B@I`z29A=D^cP)y8s1?PfXSLmkY z<_7VCJ(Y6iecWlmoz;qAG2%(#eVckDl{c2>rU~TKhYUT2=tHodx}Dz(#wnv~s2yX) zNeuwp^1f4|d`Ny@<@x+pDDQ}YbTC<9a2Oqjt2SD&1&TN3q>Z-3W&$>aMjT)kyk zT4Q3|D$xR6d%9&syD#1X1?V|xBTVni=z*|FsJFeGxH^T*I2t>ME~LQHr`y1Z$*DMf zl&1w+9g#Pxyzx4K1S@6=sg8hXBS(2~Ol#AcDb_0nmoB|{kH{osvf$RH&ezUF)ncGD zg4PaI_0Pwk+Gw&YD1N?HCaMdEI&o)0Mdk9KDs~WU$UG97q-r>Udr@>!OAT;GXCQziU76v7gPET6PR;h*9(8Hh@ zA?gtH79Xt_r70AvTB+6aTn}UCp9dM}%7l54#|WwJe696_T^emL#EKu*@7UbV>01^q>H34P&1jZ(tw{qp^ZFA znHvr*9mk@vT3#ykbXq1T1CSa-HpYp>;4wGCPFDu6gFK0vql%4|F{kYLWWTb!8YFpo z9V$7BbwurA_WBv&YLGDFo&8;0y%h~3;^92-BiGYxtY!itAP}MnX6kxKk`EprN?@V2 zwu7ia2>ok=>IC3W{3CM0?*f_h{U5Wzx88~^+;XHNrBRIH6m`*3CP#`QxGX8#TO;xE zz%&);GU{y1Fm)S8s@wstmu}xspO$Z#|c}^%IRCVV>%w~ z_Fpfb+0R`{7Or1h5?{;}JhI^19T+JOUU&5n?l`fEY1))pclC^7bp9dBjj7QVe1@DHg%Oh=D z-BfZKM4|*8j?P~ZHt%t=)ASptH=)JV4As$1;^rArf_DK6BmSg7wOh2$lxw!#EK(jZi|FQt6m$qk&}ZA zUhpO)F_!Z&Rx7&PVPyea4w&ro$JazCo^4`SZ;LYs+~ckkAbZFY)Q3n9>!$@l{71D^bA=;3l)K4EzNaGS8{TxASh;jeG>!p9f?f_!_qP0J? z`PZ(=Y=z8lu}p7Fz5+|L?oXrS0=n!n%X;}ACl`zs227uyX>!NeDDR$xFUQ)X0CUH! zanlFiBVk$RA<4j`6=LEgZw+q1>qy!~fVtoqrot_*88KuX)p?|yEMV(_ko_C!%#Rh1 zKfWhQB)tZh;=NZpxBDEnoqEA=l7n*}KTBa(PrNiN-@tBJ#QWnP=|7kLniwTjNKf9M zK41VF45IA}q!_-K?FuHm%E;fLr3r?J(FC_=l=!tLl=wkV1Ev!!{ZYmlaBNznWTaz;!%D314Gf7-g#DAe^llf=YOu3GWUNA(W7q^ci!DGY<( zf&_y#e(uFIC}0*9lEn_{Nbob0z8_o9G7&C?8`4#_v+!w{7AV1hTIUK13unY*gg>=| zeiVLEZVcSdW1p`>_kI92%uO-Aci3f>FV7=mnyQ|d9+5MbscQj+H}*gjkt)^a6>-n= zCT+;)MJXukoQf*Q*0?~acz;r|EFXl;+!C|JI?fT@Gzr_6GGH%^YWHe ziLrfRa@HwYPKO4XGO^{kZrpCMn|;NVU#7gt)an-j9NryoR;~xvJZD;a3oPL5eDI5T z{&f}}OUdfPilBS$#XXM`I=$KsJ8FpST#~bKll}5598k~_m1pWsL?t5sByvd~Y_qEX^9-&%s3!r`B~>=4ynPdtF*nNwv@d zw3FQGQvW=W{KMd=AYBl?Q9yPDmcoR4cn$G7ZPK6C$Ntxg7vJ3mp*ahR?=df4SfhT% zPfhumw?)^W-{sF+%`^%hT3=CeQ2h)9Ke^N?A~Ts#85G8{!f$Di_+M z0-X2$`C4*9i3M@}l=8PS^`k|>pEN2%=2IQ=8g54tDnmu-%+?wa{OYiwg?n@O|b4tme;D)Pru4LUZKZlXV9HHb|dC^-d_8&wO65{YA3Z<{ml7XT<)sMTU)a4pW= zi6a(UtQx4|js0M!AtBF??}eW&IIK^j<8rJqQ56g&<>+sKKsUJvJIn5Crpq+D*~y zJrJPZc$O2P^)Oi($Q1DQl6RgQFa<|ZgU4}iEvCbb&KRO`bM`VrdV;+sk{wZKECMB3 ztARqZIib+YV{w33a@9DTQUPV&aR9N^VX*21^$>s{4?wHoNDU<)azsvcN!dZPn4M^# zTERQoTj6f~NJeZi1stSX9DQ4PvcQElf&#?=?945og-?=X=|lQ3Fx=MUSsdRVDH1SngZ zV4>hwcu3pb7tbg*ggbp{rUo5S(E@aiaVpHPL1kvnR0n}vdh`SEWA7eV`!F9HOx2)( zH$EMr!Hh+uiWa0Y+iP6H1V_m~s&n8fKLD)^=V#;251f=dRC zYNJ3ZwVzEAz+P*^?4bHK&0uQ(^hZv&;KKYW8Zh)L%l_9DQ4s2uUNprcLI59ext_6h zTdZTapT?~tJbU3Flh8ZvaYuI*Adz06ud$P)%9E44MBap}E6m6{QW}rxIIeH9v`p#F z*x9sS+opb=G|ORVy*jF6i&`C$gXCPNZ@Xs=C#_jhltrFMQFUdLZ;Qtc8f*NC?c&fm2G zz?FUdk)S}aq4AA6{>i(@!dLFD2ST=W=dL4Ve(tZGPqHgkHW8ZZ`Q5|X+2t)OZ>!#X zv&BC~lar}ClIMG-I`7?P=*!ts9bzHtD)l;*tfRTh4%5A^_~4DUzhCn02H zV{GSX=5mOZ^80k7y%z@>DsY$5SSP_K!iEiHh8fC>gd{(=R_5P}Tqo z_8sDVU@CZnVp#HSC@Q9RQvveXI6DkZzg0@cm&S|9r%^bVkJBRtEClZ!+;UgGrDyJx z`*I`shM^;@lca(PeVL4U!pGzWcRHYDC%uc<&N(W5Dd8?k9_lzluxY^Fo@biOftj

3${%euv_2ih%V@$^xUai3U}39Lbj)ih(;Kd2?iR6*h4z+3)Sp`brs z$M`v&Cn7&2L0K~?3HOst=uEmto>@zzEO4KjJ*=sGXcY-ADDB?G<5IM);OPX>B#1o% zqc+=dw|UAnHJ>E|XNJ5cdw(u%y4==Z{^S6fe6<)PMk?bqOy^(_+i*NJ{6@H%fq32# zB6^})E#{EC#_&d#vOX(dxB^z+_YsL;m7}U(?mC+VJlS&_jGIf2XROj=2AeMQPoHq_ zs9LJR{m1DHAB{7PSqN^+^2o38DJB~8z|~y>_bA0Q9(k0-28j+GiNgUQ>IY)M#f&NQt~8=j0gaJcVGbSV z-|2*T@Ef?Ex+B>F@dT}NHw6TIu>C#g`6)tfypLj|{qhuDFYK*?$z=+1F>myFo}cb> z^D3<^*UXr>{Ju3n&P3?{QNlo`hG=&Eq&+P&YD4|IRru~&H+y>`z$ygXbY2+W=35tk zhD!9WwxKqD&k0$}3*oh2Y2}$>DjB^ETVZ!L?D;H$w>q#aK9SM8P@2ws&Pr+a zu8Fz-Q!0UM&{vC9TEBVw1l+_*Op+>}Go`qZUcJy0A@tj;*1M^unw!65PU$Nu%ijQ7 zMy4F91pWIc6-=_^>Bn?lLz?%gQV6C?TT8VC@muQ$c>@wYZ$WLgC zbySwVHMThag#u?jm;a2B`@Vx1(!1C!fFaw_$T+@*?Ue}TtCVn2xi=Kl+ z{3K&VrSpNMuILBv?PF9H#rEFa8~+2OU*?c_J- z&} zibT{y0yIqna0$LZ{{T0by(sPrNJLEq1OtgU+T*skv|qRa+!puwS345_X$K@?7V7H^ z5;3xOb^g0eBYQt*?*JUxzcY#HJ3G1CtNMh1?&Ba)khC~lSX@+86fO>ukdXx0{7t0h z;~jvb@(11IB>N`;nWmplpznY2^-ni{qcxu3nazwb?#j-mgC)o?h%Imq47 z*+f(I2K+|=5ff*BpFlrHXMfO*8chC6oEv8UoeAxK#PR=|HC=Zne;n&K5}W*o+rS$M z|EmGX|7t)Dmmw{{#}CBoXz%Xl1A+-l3&TV~ylw#jzOo`Xjp9I8KYL#{cSnC=A3s+< zzQ4OM^7C;Dbi`#``~N5VA9G0kSNH$$uVx=$@8#qAPt!Ql`2Wiw|3eev=j=j;GY1*Y z7XMm6k`lOc1>^$y2ZKw=z-2&xmw~+hgGox@ta!8h2g4o1aO(MA7+gvcj#JA2!KC0g z&Ho=vMh17X{%=eg2FF?C-&la3y}OsQ-`{EKOx?qraV*~$-^9l!0CXexKc|bfw~G(x d#teVk)jz=AFW_$p;nFZEF)}VLbwdrZ{|CQeh|vH5 literal 0 HcmV?d00001 diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.pro b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.pro new file mode 100644 index 00000000..299fbeab --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.pro @@ -0,0 +1,35 @@ +update=19.04.2011 15:34:35 +last_client=eeschema +[eeschema] +version=1 +LibDir=../79xx-Regler-BuildingBlock_ModA_RevA +NetFmt=1 +HPGLSpd=20 +HPGLDm=15 +HPGLNum=1 +offX_A4=0 +offY_A4=0 +offX_A3=0 +offY_A3=0 +offX_A2=0 +offY_A2=0 +offX_A1=0 +offY_A1=0 +offX_A0=0 +offY_A0=0 +offX_A=0 +offY_A=0 +offX_B=0 +offY_B=0 +offX_C=0 +offY_C=0 +offX_D=0 +offY_D=0 +offX_E=0 +offY_E=0 +RptD_X=0 +RptD_Y=100 +RptLab=1 +LabSize=60 +[eeschema/libraries] +LibName1=79xx-Regler-BuildingBlock_ModA_RevA-cache diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.sch b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.sch new file mode 100644 index 00000000..23e04316 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.sch @@ -0,0 +1,168 @@ +EESchema Schematic File Version 2 date So 21 Apr 2013 23:31:42 CEST +LIBS:79xx-Regler-BuildingBlock_ModA_RevA-cache +LIBS:79xx-Regler-BuildingBlock_ModA_RevA-cache +EELAYER 27 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "" +Date "21 apr 2013" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Wire Wire Line + 5750 2150 6400 2150 +Connection ~ 6400 2650 +Wire Wire Line + 6400 2150 6400 2650 +Connection ~ 7050 3850 +Wire Wire Line + 7600 3850 3900 3850 +Connection ~ 4300 3850 +Connection ~ 5650 3850 +Wire Wire Line + 5650 3100 5650 3850 +Connection ~ 6550 3850 +Wire Wire Line + 4300 3850 4300 3450 +Wire Wire Line + 7050 3850 7050 3450 +Connection ~ 4700 2650 +Wire Wire Line + 4700 3050 4700 2650 +Wire Wire Line + 4300 2650 4300 3050 +Wire Wire Line + 7050 2650 7050 3050 +Wire Wire Line + 6550 3050 6550 2650 +Connection ~ 6550 2650 +Wire Wire Line + 6550 3850 6550 3450 +Wire Wire Line + 4700 3450 4700 3850 +Connection ~ 4700 3850 +Wire Wire Line + 3900 2650 5050 2650 +Connection ~ 4300 2650 +Connection ~ 7050 2650 +Wire Wire Line + 5100 1650 4900 1650 +Wire Wire Line + 4900 1650 4900 3200 +Wire Wire Line + 4900 3200 5650 3200 +Connection ~ 5650 3200 +Wire Wire Line + 6250 2650 7600 2650 +Wire Wire Line + 5350 2150 5000 2150 +Wire Wire Line + 5000 2150 5000 2650 +Connection ~ 5000 2650 +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D? +U 1 1 4DAD8F0E +P 5550 2150 +F 0 "D?" H 5550 2300 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5550 2000 30 0000 C CNN +F 2 "" H 5550 2150 60 0001 C CNN +F 3 "" H 5550 2150 60 0001 C CNN + 1 5550 2150 + 1 0 0 -1 +$EndComp +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD8EF4 +P 5350 7100 +F 0 "Sym?" H 5376 6896 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 5226 7334 60 0001 C CNN +F 2 "" H 5350 7100 60 0001 C CNN +F 3 "" H 5350 7100 60 0001 C CNN + 1 5350 7100 + 1 0 0 -1 +$EndComp +$Comp +L LM79XXTYPE IC? +U 1 1 4C30C9E3 +P 5650 2650 +F 0 "IC?" H 5950 2350 30 0000 C CNN +F 1 "LM79XXTYPE" H 5700 2900 30 0000 C CNN +F 2 "" H 5650 2650 60 0001 C CNN +F 3 "" H 5650 2650 60 0001 C CNN + 1 5650 2650 + 1 0 0 -1 +$EndComp +Text Notes 7650 7550 0 60 Italic 12 +79xx Type Regulator. Mod.A, Rev.A 19Apr2011 +Text Notes 1400 7300 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 19th. April 2011 +Text HLabel 7600 3850 2 60 Output ~ 0 +GND +Text HLabel 7600 2650 2 60 Output ~ 0 +Stab +Text HLabel 3900 2650 0 60 Input ~ 0 +DCin- +Text HLabel 3900 3850 0 60 Input ~ 0 +DCin+ +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30BFFB +P 4300 3250 +F 0 "C1" H 4300 3400 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 4300 3100 30 0000 C CNN +F 2 "" H 4300 3250 60 0001 C CNN +F 3 "" H 4300 3250 60 0001 C CNN + 1 4300 3250 + 0 1 -1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C4 +U 1 1 4C30BFEA +P 7050 3250 +F 0 "C4" H 7050 3400 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 7050 3100 30 0000 C CNN +F 2 "" H 7050 3250 60 0001 C CNN +F 3 "" H 7050 3250 60 0001 C CNN + 1 7050 3250 + 0 1 -1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30BFC6 +P 6550 3250 +F 0 "C3" H 6550 3400 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6550 3100 30 0000 C CNN +F 2 "" H 6550 3250 60 0001 C CNN +F 3 "" H 6550 3250 60 0001 C CNN + 1 6550 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30BFBD +P 4700 3250 +F 0 "C2" H 4700 3400 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4700 3100 30 0000 C CNN +F 2 "" H 4700 3250 60 0001 C CNN +F 3 "" H 4700 3250 60 0001 C CNN + 1 4700 3250 + 0 1 1 0 +$EndComp +$Comp +L HEATSINK_REVE_DATE15JUN2010 HS1 +U 1 1 4C30BFA9 +P 5650 1600 +F 0 "HS1" H 5650 1800 30 0000 C CNN +F 1 "HEATSINK_REVE_DATE15JUN2010" H 5650 1450 30 0000 C CNN +F 2 "" H 5650 1600 60 0001 C CNN +F 3 "" H 5650 1600 60 0001 C CNN + 1 5650 1600 + 1 0 0 -1 +$EndComp +$EndSCHEMATC diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.svg b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.svg new file mode 100644 index 00000000..d25b5ec7 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModA_RevA/79xx-Regler-BuildingBlock_ModA_RevA.svg @@ -0,0 +1,6912 @@ + + + +SVG Picture created as 79xx-Regler-BuildingBlock_ModA_RevA.svg date 2013/04/21 23:31:49 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA-cache.lib b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA-cache.lib new file mode 100644 index 00000000..01158d44 --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA-cache.lib @@ -0,0 +1,181 @@ +EESchema-LIBRARY Version 2.3 Date: 19.04.2011 15:39:44 +#encoding utf-8 +# +# CAPACITOR_POLARISED_RevE_Date15jun2010 +# +DEF CAPACITOR_POLARISED_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_POLARISED_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 2 0 0 0 -105 85 -105 85 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 2 0 0 0 -100 70 -100 70 N +P 3 0 0 0 -125 80 -130 80 -130 80 N +P 3 0 0 0 -125 80 -125 75 -125 75 N +P 3 0 0 0 -115 80 -115 75 -115 75 N +P 3 0 0 0 -110 80 -110 75 -110 75 N +P 3 0 0 0 -105 55 -100 55 -100 55 N +P 3 0 0 0 -105 60 -100 60 -100 60 N +P 3 0 0 0 -105 65 -100 65 -100 65 N +P 3 0 0 0 -105 70 -100 70 -100 70 N +P 3 0 0 0 -105 75 -105 50 -105 50 N +P 3 0 0 0 -105 80 -125 80 -125 80 N +P 3 0 0 0 -105 80 -105 75 -105 75 N +P 3 0 0 0 -105 80 -100 80 -100 80 N +P 3 0 0 0 -105 85 -100 85 -100 85 N +P 3 0 0 0 -100 85 -105 85 -105 85 N +P 3 0 0 0 -100 100 -105 100 -105 100 N +P 3 0 0 0 -100 100 -100 105 -100 105 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 75 -95 75 -95 75 N +P 3 0 0 0 -95 80 -95 75 -95 75 N +P 3 0 0 0 -90 80 -90 75 -90 75 N +P 3 0 0 0 -85 80 -85 75 -85 75 N +P 3 0 0 0 -80 80 -80 75 -80 75 N +P 4 0 0 0 -120 75 -120 75 -120 80 -120 80 N +P 4 0 0 0 -105 85 -100 85 -100 85 -100 85 N +P 4 0 0 0 -105 90 -100 90 -100 90 -100 90 N +P 4 0 0 0 -105 95 -100 95 -100 95 -100 95 N +P 4 0 0 0 -100 80 -100 50 -105 50 -105 50 N +P 4 0 0 0 -100 105 -105 105 -105 80 -105 80 N +P 5 0 0 0 -130 80 -130 75 -75 75 -75 80 -75 80 N +P 6 0 0 0 -75 80 -100 80 -100 100 -100 100 -100 100 -100 100 N +X ~ 1 -200 0 150 R 50 50 1 0 P +X ~ 2 200 0 150 L 50 50 1 0 P +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 -100 25 -75 25 -75 F +P 3 0 1 0 25 -75 25 -75 25 -75 F +P 3 0 1 0 25 100 25 -75 25 -75 F +P 3 0 1 0 50 0 25 0 25 0 F +ENDDRAW +ENDDEF +# +# CAPACITOR_RevE_Date15jun2010 +# +DEF CAPACITOR_RevE_Date15jun2010 C 0 0 N N 1 F N +F0 "C" 0 150 30 H V C CNN +F1 "CAPACITOR_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 1 0 -50 0 -25 0 -25 0 F +P 3 0 1 0 -25 100 -25 -100 -25 -100 F +P 3 0 1 0 25 100 25 -100 25 -100 F +P 3 0 1 0 50 0 25 0 25 0 F +X ~ 1 -200 0 150 R 50 50 1 1 P +X ~ 2 200 0 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DIODE-STANDARD_RevE_Date15jun2010 +# +DEF DIODE-STANDARD_RevE_Date15jun2010 D 0 0 N N 1 F N +F0 "D" 0 150 30 H V C CNN +F1 "DIODE-STANDARD_RevE_Date15jun2010" 0 -150 30 H V C CNN +DRAW +P 3 0 0 0 -50 0 50 0 50 0 N +P 3 0 0 0 50 100 50 -100 50 -100 N +P 5 0 0 0 50 0 -50 100 -50 -100 50 0 50 0 N +X A 1 -200 0 150 R 30 30 1 0 P +X K 2 200 0 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# LM79xxTYPE +# +DEF LM79xxTYPE IC 0 0 Y Y 1 F N +F0 "IC" 300 -300 30 H V C CNN +F1 "LM79xxTYPE" 50 250 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X GND 1 0 -450 300 U 50 50 1 1 I +X Uin 2 -600 0 300 R 50 50 1 1 I +X Uout 3 600 0 300 L 50 50 1 1 U +ENDDRAW +ENDDEF +# +# Symbol_GNU-Logo_RevE_Date07Mar2011 +# +DEF ~Symbol_GNU-Logo_RevE_Date07Mar2011 Sym 0 40 Y Y 1 F N +F0 "Sym" 26 -204 60 H I C CNN +F1 "Symbol_GNU-Logo_RevE_Date07Mar2011" -124 234 60 H I C CNN +DRAW +T 0 -250 -100 60 0 0 0 GNU Normal 0 C C +T 0 200 -100 60 0 0 0 GPL Normal 0 C C +P 5 0 0 1 -51 -120 -49 -118 -51 -116 -53 -118 -51 -120 F +P 5 0 0 1 -31 -110 -29 -108 -31 -106 -33 -108 -31 -110 F +P 5 0 0 1 -8 -36 -6 -35 -8 -33 -9 -35 -8 -36 F +P 5 0 0 1 50 -125 53 -125 56 -121 53 -121 50 -125 F +P 5 0 0 1 98 -33 100 -31 98 -30 96 -31 98 -33 F +P 5 0 0 1 121 9 123 11 121 13 120 11 121 9 F +P 6 0 0 1 -164 101 -164 105 -164 105 -166 103 -166 103 -164 101 F +P 6 0 0 1 -94 -45 -94 -41 -94 -41 -96 -43 -96 -43 -94 -45 F +P 6 0 0 1 -91 -68 -91 -64 -91 -64 -93 -66 -93 -66 -91 -68 F +P 6 0 0 1 -41 26 -41 32 -41 32 -43 28 -42 26 -41 26 F +P 6 0 0 1 -28 140 -25 145 -25 146 -26 148 -29 143 -28 140 F +P 6 0 0 1 -24 -98 -24 -94 -24 -94 -26 -96 -26 -96 -24 -98 F +P 6 0 0 1 48 -73 50 -72 50 -71 44 -71 43 -71 48 -73 F +P 6 0 0 1 58 -115 58 -111 58 -111 56 -113 56 -113 58 -115 F +P 6 0 0 1 99 -20 97 -12 95 -11 95 -16 96 -19 99 -20 F +P 6 0 0 1 115 156 117 157 117 158 110 158 110 158 115 156 F +P 6 0 0 1 172 116 172 122 171 122 170 118 170 116 172 116 F +P 6 0 0 1 175 96 175 102 174 102 173 98 173 96 175 96 F +P 7 0 0 1 91 134 101 134 100 135 98 136 84 135 82 134 91 134 F +P 7 0 0 1 130 -1 133 2 128 5 128 5 125 1 125 1 130 -1 F +P 7 0 0 1 139 30 144 33 145 33 141 34 141 34 136 31 139 30 F +P 8 0 0 1 -161 110 -156 119 -154 126 -158 121 -159 119 -163 112 -163 112 -161 110 F +P 8 0 0 1 -157 42 -154 41 -158 51 -162 58 -165 61 -165 59 -161 50 -157 42 F +P 8 0 0 1 -120 -36 -115 -33 -114 -32 -119 -32 -121 -32 -126 -35 -126 -35 -120 -36 F +P 8 0 0 1 72 136 70 141 69 141 68 147 67 149 63 147 67 140 72 136 F +P 9 0 0 1 -63 99 -61 100 -59 102 -46 111 -43 113 -42 116 -47 114 -57 105 -63 99 F +P 9 0 0 1 -58 -126 -57 -124 -60 -116 -65 -109 -66 -108 -69 -107 -65 -115 -62 -121 -58 -126 F +P 9 0 0 1 61 -23 61 -20 60 -18 52 -13 51 -12 47 -11 52 -16 53 -17 61 -23 F +P 9 0 0 1 150 36 151 36 156 41 157 42 159 46 158 46 153 41 152 40 150 36 F +P 11 0 0 1 -69 -20 -64 -14 -63 -11 -58 -2 -58 -1 -65 -7 -71 -12 -76 -15 -77 -15 -73 -19 -69 -20 F +P 12 0 0 1 118 16 117 21 117 23 125 27 126 27 130 28 122 29 119 29 111 28 113 23 114 21 118 16 F +P 12 0 0 1 168 127 169 129 165 139 161 144 147 155 131 159 130 158 137 155 154 146 165 132 165 131 168 127 F +P 13 0 0 1 -111 -4 -108 -2 -106 0 -102 11 -101 16 -102 17 -103 15 -114 14 -124 16 -115 12 -107 6 -109 -2 -111 -4 F +P 16 0 0 1 2 108 6 109 2 114 -10 118 -25 119 -31 118 -33 117 -22 117 -21 117 -9 115 -6 110 -6 109 -4 109 -3 111 1 109 2 108 F +P 2 0 1 0 -20 26 -20 26 N +P 2 0 1 0 -20 28 -20 28 N +P 2 0 1 0 6 -140 6 -140 N +P 2 0 1 0 8 -134 8 -134 N +P 2 0 1 0 14 -88 14 -88 N +P 2 0 1 0 66 20 66 20 N +P 3 0 1 0 -2 -88 -12 -118 -12 -118 N +P 3 0 1 0 2 -102 4 -128 4 -128 N +P 3 0 1 0 8 -90 8 -134 8 -134 N +P 3 0 1 0 10 -46 10 -48 10 -48 N +P 3 0 1 0 20 34 14 42 14 42 N +P 3 0 1 0 28 -32 30 -34 30 -34 N +P 3 0 1 0 32 -34 28 -38 28 -38 N +P 3 0 1 0 36 -90 -2 -84 -2 -84 N +P 3 0 1 0 36 -72 80 -76 80 -76 N +P 3 0 1 0 58 -100 14 -88 14 -88 N +P 3 0 1 0 62 -92 36 -90 36 -90 N +P 3 0 1 0 62 -68 84 -60 84 -60 N +P 3 0 1 0 80 -76 84 -66 84 -66 N +P 4 0 1 0 16 42 14 34 14 30 14 30 N +P 4 0 1 0 30 -100 36 -120 32 -132 32 -130 N +P 4 0 1 0 46 -100 48 -124 48 -126 48 -126 N +P 4 0 1 0 62 18 54 26 46 48 46 48 N +P 5 0 1 0 14 -92 24 -114 16 -122 22 -138 22 -138 N +P 5 0 1 0 30 36 56 -24 56 -24 58 -18 58 -18 N +P 5 0 1 0 54 40 76 40 84 28 72 18 72 18 N +P 6 0 1 0 58 -22 38 -8 18 -4 12 -14 8 -44 8 -44 N +P 6 0 1 0 60 -70 36 -72 6 -56 -10 -34 -42 4 -42 4 N +P 7 0 1 0 -6 42 22 44 24 32 -4 20 -28 24 -18 38 -18 38 N +P 7 0 1 0 46 -30 28 -20 22 -30 22 -44 36 -42 44 -32 44 -32 N +P 9 0 1 0 -96 -28 -92 -72 -58 -122 -22 -104 -22 -96 -58 -52 -66 -12 -96 -28 -96 -28 N +P 9 0 1 0 -2 24 8 28 8 36 4 40 -8 40 -16 34 -14 26 -6 24 -6 24 N +P 10 0 1 0 58 24 72 24 74 32 70 36 58 36 54 28 58 24 58 24 60 24 60 24 N +P 10 0 1 0 92 -32 76 -30 70 -40 70 -48 76 -50 82 -40 86 -32 80 -34 74 -44 74 -44 N +P 13 0 1 0 -104 6 -124 -34 -94 -26 -66 -12 -46 12 -38 36 -32 56 -10 74 -70 62 -90 40 -100 26 -106 6 -106 6 N +P 34 0 1 0 116 22 126 6 134 -2 104 -2 72 12 60 18 68 -2 76 -14 94 -12 100 -30 84 -50 88 -62 76 -90 58 -98 58 -122 32 -134 8 -146 -22 -110 -20 -98 -38 -78 -58 -52 -64 -28 -66 -14 -48 8 -34 44 -10 76 2 80 12 72 36 66 54 74 80 68 104 46 118 22 118 22 N +P 45 0 1 0 -36 142 -48 148 -56 140 -62 154 -72 142 -82 152 -88 138 -102 156 -104 132 -122 150 -120 120 -146 128 -126 102 -160 112 -128 86 -166 92 -122 72 -166 68 -116 62 -158 46 -110 52 -142 30 -102 48 -110 14 -124 18 -108 50 -148 38 -122 68 -158 54 -126 82 -168 76 -126 94 -166 102 -124 112 -158 122 -112 126 -138 138 -94 132 -114 154 -80 140 -88 154 -70 150 -44 144 -44 138 -44 138 N +P 63 0 1 0 -26 148 -34 138 -50 136 -68 142 -78 136 -96 134 -120 120 -126 98 -122 74 -112 56 -102 52 -72 92 -44 112 -6 116 10 104 40 116 72 102 100 68 104 62 120 66 136 78 136 94 128 126 114 134 92 136 78 132 68 136 64 146 82 144 92 148 104 156 126 158 162 146 174 110 174 74 162 44 118 24 98 52 82 66 54 74 32 66 12 70 4 80 -28 72 -66 64 -88 44 -102 20 -106 6 -130 18 -150 34 -166 60 -170 88 -160 120 -134 148 -112 158 -88 154 -74 154 -64 156 -52 154 -38 146 -30 144 -28 144 -28 144 N +P 77 0 1 0 -98 54 -96 38 -90 66 -84 52 -80 78 -76 60 -74 86 -64 66 -66 92 -54 68 -52 104 -46 72 -38 108 -36 72 -24 114 -24 72 -12 114 -10 78 -2 110 4 84 14 102 14 74 24 106 26 70 38 112 40 70 48 112 50 74 60 106 64 74 74 96 74 72 84 86 84 66 94 74 94 60 104 62 104 50 118 64 118 28 108 48 128 30 122 64 138 34 130 70 146 40 138 76 160 44 138 82 164 56 140 82 170 64 140 86 174 76 138 90 172 86 138 98 172 98 136 104 172 110 134 112 170 122 130 120 166 136 130 128 154 146 122 132 140 152 112 138 126 156 104 138 106 154 94 138 94 146 82 136 70 142 70 142 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA.bak b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA.bak new file mode 100644 index 00000000..abcc57cb --- /dev/null +++ b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA.bak @@ -0,0 +1,150 @@ +EESchema Schematic File Version 2 date So 21 Apr 2013 23:02:16 CEST +LIBS:79xx-Regler-BuildingBlock_ModB_RevA-cache +LIBS:79xx-Regler-BuildingBlock_ModB_RevA-cache +EELAYER 27 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "" +Date "21 apr 2013" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Connection ~ 4950 2650 +Wire Wire Line + 4950 2650 4950 2050 +Wire Wire Line + 4950 2050 5450 2050 +Wire Wire Line + 6250 2650 7600 2650 +Connection ~ 7050 2650 +Connection ~ 4300 2650 +Wire Wire Line + 3900 2650 5050 2650 +Connection ~ 4700 3850 +Wire Wire Line + 4700 3450 4700 3850 +Wire Wire Line + 6550 3850 6550 3450 +Connection ~ 6550 2650 +Wire Wire Line + 6550 3050 6550 2650 +Wire Wire Line + 7050 2650 7050 3050 +Wire Wire Line + 4300 2650 4300 3050 +Wire Wire Line + 4700 3050 4700 2650 +Connection ~ 4700 2650 +Wire Wire Line + 7050 3850 7050 3450 +Wire Wire Line + 4300 3850 4300 3450 +Connection ~ 6550 3850 +Wire Wire Line + 5650 3100 5650 3850 +Connection ~ 5650 3850 +Connection ~ 4300 3850 +Wire Wire Line + 7600 3850 3900 3850 +Connection ~ 7050 3850 +Wire Wire Line + 5850 2050 6400 2050 +Wire Wire Line + 6400 2050 6400 2650 +Connection ~ 6400 2650 +$Comp +L DIODE-STANDARD_REVE_DATE15JUN2010 D? +U 1 1 4DAD901E +P 5650 2050 +F 0 "D?" H 5650 2200 30 0000 C CNN +F 1 "DIODE-STANDARD_REVE_DATE15JUN2010" H 5650 1900 30 0000 C CNN +F 2 "" H 5650 2050 60 0001 C CNN +F 3 "" H 5650 2050 60 0001 C CNN + 1 5650 2050 + -1 0 0 -1 +$EndComp +$Comp +L SYMBOL_GNU-LOGO_REVE_DATE07MAR2011 Sym? +U 1 1 4DAD9012 +P 5450 6700 +F 0 "Sym?" H 5476 6496 60 0001 C CNN +F 1 "SYMBOL_GNU-LOGO_REVE_DATE07MAR2011" H 5326 6934 60 0001 C CNN +F 2 "" H 5450 6700 60 0001 C CNN +F 3 "" H 5450 6700 60 0001 C CNN + 1 5450 6700 + 1 0 0 -1 +$EndComp +$Comp +L LM79XXTYPE IC? +U 1 1 4C30C9E3 +P 5650 2650 +F 0 "IC?" H 5950 2350 30 0000 C CNN +F 1 "LM79XXTYPE" H 5700 2900 30 0000 C CNN +F 2 "" H 5650 2650 60 0001 C CNN +F 3 "" H 5650 2650 60 0001 C CNN + 1 5650 2650 + 1 0 0 -1 +$EndComp +Text Notes 7650 7550 0 60 ~ 0 +79xx Type Regulator. Mod.B, Rev.A 19Apr2011 +Text Notes 1400 7300 0 60 Italic 12 +Author: Bernd Wiebus, Uedem / Germany, 19th. Apr 2011 +Text HLabel 7600 3850 2 60 Output ~ 0 +GND +Text HLabel 7600 2650 2 60 Output ~ 0 +Stab +Text HLabel 3900 2650 0 60 Input ~ 0 +DCin- +Text HLabel 3900 3850 0 60 Input ~ 0 +DCin+ +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C1 +U 1 1 4C30BFFB +P 4300 3250 +F 0 "C1" H 4300 3400 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 4300 3100 30 0000 C CNN +F 2 "" H 4300 3250 60 0001 C CNN +F 3 "" H 4300 3250 60 0001 C CNN + 1 4300 3250 + 0 1 -1 0 +$EndComp +$Comp +L CAPACITOR_POLARISED_REVE_DATE15JUN2010 C4 +U 1 1 4C30BFEA +P 7050 3250 +F 0 "C4" H 7050 3400 30 0000 C CNN +F 1 "CAPACITOR_POLARISED_REVE_DATE15JUN2010" H 7050 3100 30 0000 C CNN +F 2 "" H 7050 3250 60 0001 C CNN +F 3 "" H 7050 3250 60 0001 C CNN + 1 7050 3250 + 0 1 -1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C3 +U 1 1 4C30BFC6 +P 6550 3250 +F 0 "C3" H 6550 3400 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 6550 3100 30 0000 C CNN +F 2 "" H 6550 3250 60 0001 C CNN +F 3 "" H 6550 3250 60 0001 C CNN + 1 6550 3250 + 0 1 1 0 +$EndComp +$Comp +L CAPACITOR_REVE_DATE15JUN2010 C2 +U 1 1 4C30BFBD +P 4700 3250 +F 0 "C2" H 4700 3400 30 0000 C CNN +F 1 "CAPACITOR_REVE_DATE15JUN2010" H 4700 3100 30 0000 C CNN +F 2 "" H 4700 3250 60 0001 C CNN +F 3 "" H 4700 3250 60 0001 C CNN + 1 4700 3250 + 0 1 1 0 +$EndComp +$EndSCHEMATC diff --git a/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA.pdf b/library/Buildingblocks/78xx_79xxRegler-BuildingBlocks_RevA/79xx-Regler-BuildingBlock_ModB_RevA/79xx-Regler-BuildingBlock_ModB_RevA.pdf new file mode 100644 index 0000000000000000000000000000000000000000..d1cd48933e9a80dc78fa6682cb71318db363d3e9 GIT binary patch literal 26721 zcmV)&K#ad7P((&8F)lO;CAICY`wBB4Fd%PYY6?6&ATLa1ZfA68AT%H_AW{k-ARsSB zX>4?5av(28Y+-a|L}g=dWMv9IJ_>Vma%Ev{3V58=z0IyAJ+37--%oMI4fr^u2q_|_ zchZ0X54t@xJTS_}Z5Vk5eLe8&JNUB}so3YM3}v0V7mdPKnR{i3q9}@@L{a+hujRAX zwbk=ux&HTG|MSQH{C_SV|N8%4@Lrc?=^y{!t9<+~*MI-d>wo`0K9KiECxZkcG)W`ub=1gu<~`QpWC{HCIFbwgxc4uFQki_ za4$cvzPo;|`*~52-|gxubZPCE(jWWqyYKzul}c=%$61`B{BEDi+T{0ftO;P3qr~e% z_RXkPZ4tGRWhT@U!ho8@?+G<=@kqCnBjh8$mo*EB-3Jx zAzo2x{oIx;r%>4_Hlf7Zd4ARwYUIBsv}h}*S};?Ki$c8`-zwE)t73+Am|w2xLM$t2>}HWRl^KavwGgRZD3WkiYSJui%dz%U z#v4bf&qWH|q$&zgjw3ZM5Xv>Q22v8FwJcdd&Jox?_kED9Xx7rR za0RLRK2#6wgLng>d{Z&D6r>-Br?>vOq*>5^Pbh8Mk81)~rIZ2t^+905%Cvp|+%`3D zi>iLx`)4;T?TZX{p?Z`AJZ~J-hd(FO2aS0dlBjaAi96;Ng}-4@ zPy!>LBw-s~tQ9&#zA~V!qYN82VNaY9+5!-zEdbFN)bR-hK4li>0qkd_`G`d^)(y&( z8|_5^uoo|aqi6fzjhh_wR6qNNko0pE(q!SfyOFcJ(M^ z_TC6QB~tovl`(hdOLT9o*YEyW4-w^R9soXgMD!?E?}-=3<{k34UgsKkEa&HboT-IJ zDLVS6nkZya3xvugxg$4H=pIX(Yo+($WvkV5M<3$%Gx3`dt|w{OP#0kBtI5B%LxIb% z8L*xPoU2Z$M2<^1C6_rqcc)=QkI!=x&aP_rCg$#%B~B$FbIuw7e>a^{e|?bA1RQ5m zK&D}1!)j?dS0^?uF_$s|ZO?pNE0Sh>fa-otFk7w{LM3MtQieW8S3w`AI!pBC*h}gy z(VKN|sk;PGM-$y8fUGuCe+7gNV=3>jpo<<8WYLunx=hr%>rC%R0O+G&IFMrNDfU`a zg>EA==(YrCw?z^58|k9o?y}14&5RFI?d_WN&#l<_5Ea_4Ili+HLUlj)P$~g7?IT|dZkKN%7RZPIsYlCY+UMmLWvWe`P6e#q?V6PiS8wBO z(=D3BJpr^2WhjA(*#!y~V)HHx5kQ|pOwwbQW0wUdP}#DyVUk01=+=;(AZ^*Q@G@l5 zi((L8kcRxCdI~U@gutR98PT?)RET3jhHby7T&v^Ou8v>T&%Bn)*F4g;W==-iSMwk; zAS|@4>pxulwu_0S8PuA&-+~szbtc{P3z4>I z)$XSg5~O&;t=J?t=35A&8=q*C(5>g1fu@EkB=ZtzFKrNCQ~k>#zLq(c)=D>+A`8-% zLy@L-PWfrF1w*Lms5_(g9<}NkAZwv;u2vmIJ>U@66Eeru;t3?KN#8&eO{b3d=8e#I#h&nU7Bz)p0IzX;v2y{kNDH&s z&gwQ2mi1iK7a{Nxq^p66LaPmOqbtDX!?*h)P(C?VQ=PJ|BOS`(T%?ZZnClA}*i$mU zd>q|krOCX!E0xp~k^Z^0H50j}jJ9X7_!lv|oXu?yW|x;hW}wjMCmTM?HJc{><+ssA zPYbfIc^C=;wen%umsM9<0SU6~lQutbW41+rnhd;9ts_v)n8#=m$a)&4ML+U6pt6`L z2!^{*7Plr@e5u^#Hb8>Na&*Sx_hq-8aa#N?VVEwOC+^Bai!X)Lo^x~yVcm~NGCw|R zuQx;;1NOp8Al>}nKoI1#me4ymr7q|qVjGNElgoAyZe~1 zYJzNg8uPszKK;QI3L=hfE_CWprzsS`97>o%MbyO76b7AWd6#%l^pkA6Y;&ft*Q$L= zmqY+F6KGMb?md$KYEdq*{}Q0|Y{~_xYqlft4TmKOLtm8}Glo!DWyifo*H;NP*VT*}s3 z8bEb3Cv>WNTlN)&NVoAH-P@?-Hc1I0k>@l?N!7CPGdD@qLnot9E!i#VscH%AFT)(Q zE}W2H_}1d0quR^<`^r{*#kvTazr_BReg3*<9g#hRye{byrP&9)y6o~g zl(`TMYLV^}&p|AqIK=IM14?A`P4h=&R@eI=Q=Gp(5wal}t2=We+eJ^QG>aA`W`HzT zqbV}MO#58UO_AN)+VstNIG{mwe)2}=n^KRsInCr=jS>+FH>WM@FT@u>7otldZ@3^n zdlPvHq!ULfwp*a$LPY5dS#`N}t=2n`lDgqV0Nop9ny%e4-KHl*j2){xNe3K;bkK^7 zSW83hkj8`rFRR}MtpLzfQ-3L-gZDz}Vo0^IO2v5zq|-N{Ch=u)4q0rDo37_q1Tep! zoyVF2K~3npmq0Cdo&RRXvB+))DX8x5ti7UYK;&*>)Kzysl6-;8Sdv5rg4wCC5JR`U zi-a`YyvTC7)NpAWFZPWdZ@G|VACc}G+EEH>{UYhm3Dh1601h)^t(Z}X)twMm$gAn= zwRqWjQqf0b{IE@Q1PN1KL^#G+YNoT+i!ZOrjnaiU;Kn6=g1Y$YT+F39{kTCT15whXP?g_t);3rK`UlBGBtNtpwd)rZKyVi@gP z%btm2vshN@oeNn@&vm75ynD8)fz8}KH$vYPd&JLXA@WNa;@p0z-?X@$5#%u$Ojq8^ zmv?xZF)qUD3(fI&Q0|b|>CSt|>$vreAnK}Td5wg=EB55%L>uZ2y((>_=1< z?5hgS(>Ce!6pfN ztM8IeG_nt?ENNx)ZgG!!zm(%>HvqfNzVRcFEq<}_qaU4h;P^5VC2xpGRkaKe4CQAT zrEy8F??bW91qMi8h^{ZNsVtSPt;v7XWoa-pSXmjqJ1B9@7Qv|{43T+5wP-4Lb0%dng}7t=2jo{_gXoeYudsSK;vQo zEOxLqDewhh6T)XqBGfY!3#9_(6qZBn(MoWbiq)G14TNDiRzDM#tDEKoq_CW9c%6A0 zkKcqeHrrLq(irJ{Q)-GEhULIbgrd?n5QVdoM)Qr(cf}s@b6&+O4Uo9m#RK**Ne{`W zP2f;nmzxE+Rw;;uJ{H!B9Yc;6AX8K}cS4#jLxhU#A`cP%i!NN3iMjbwjx{e)0-0EN ziTgEf+XeE6WNfi5NE;kg@!9s?Z-~Wh=s_e#isbNMr3{n!#8v`)hnre^J(q$ z1Cvk4?A846)QHog88foji=7b(GDIkgeKGGdWN{(7KFhK|?Gv(~Tej|UPUcHJEZurA z;2{xDrrC<@5PqrOrUx#jh#>w?B638)wrk4cn1lOd(Hx8YU%$7*T(bCLU)Jn^@tZrD z?@B%N(&W%5TJWX2--pc6b8Ye%eYC0jX|Dpmqj&^-v!k`XH?N+jO4B#z_3R#yhXIDB zD|+_H=?9vkA`kNiFV~`EvgR0mXoLSw%-pAkO9fA?{>MWi|RwRK-)$r7# zT83<&EN4h|Mf}*R&|Wm4Xa`XrJ9e6Wv=#S& z>ZP7_&mvEsxG>=QC1DI?zA5yGmuA~U-fm+?eW0tAWy3DJeG`({Y_pKxyb=1Y*dukc z^{Pax_ajFF9hozE;^!`BCLoppBTh{X1 zE{w9dapEU;GT#(>#EVDoQiI$SU5J=Wvpib$s>itkm=c_vY>;)oll@{-P<5D<1eoC= zD;hRbuP`wK1p2{J#W$Bpx1`0PRb3Dq19vQ=r!{IOn-FvNUb$}B-G6KebJezU@xwfxdyOP{Xp2MELA-wm1GnR@%p_hvy1{kJ7Q$8wV)jm zLH!R`^~X8b@oRd~gKIMemsGS&CNiZzr_D@cWh>LBA2h%SNX+noV4ln0;$V7go;~t} zOe?lel-hHeQ^Y=d7(CO>n?%Nu&{zrWEairX-=9>tEp~i3RG17>g^9pyvrrfzP^O_m z<)MjWd8VD$LQNN@F@If1Nj`>DwGNZ1AoVtxz62U)Qvks+3ek>|FlR7UPEmlrDCD`y ziEtnor&0Oy3C#C9LW>D(diwkq!`$ji+Mb^82MHnz8?Ae{BPthUPOk;f)9>!HGRjPG z7afJFqg!@6ubYtWlY8nY)XwSE_geInjAs1@Lgiwqq>`W=U4#1BdtfmEkn!^fx$Kne zG5udn?~4ThV?OJP9aIbb12W~#^~;)jxowf__Fs*+w5mu8)xwYhnio)t1F5 zKa%EnK<$tp`HM0ejU?y>g5i)Ve?A_1zfBAPCME_HF+DOGW~4Y>cgv;CP1=2wg&20a zV9%>QZEqyzlf7D4c4|IB23@S&kO~)rL-IX+hH>}eLd+|Hz+VAzl(85`B1u!P_kJ7X znptUslL`nVCL(a15Kj$Ea}#Uh+(eEzHxVfaw6YZX>lL)wsW+)59;bZfW3jU_G|2>J zJd53r3y=x{j?N3w1fwMQ(+<;R!JnM+J zjyUPE%P&MT8yw*Tnvy>VDyh-Qq%&?iD0!csB8k~S?P>&JXR787vfIr&k#-QxZe#Ybd9 z{ZJ;qxz99)$c48+fV9uBlKOdNBmSvisGmzFk?FsmBaRt&(*eXqgRk8$xb*o zJChs}*oCyAUj)&O3mQ0Sz}Wfi*8 zCD47xV8&}r-1wkk-5f&a?knhw*upyo6>I09l1n*sEO1Z};hdSK5ULwMw zMy?0Mw3{O|YQuO8j^H>1QkX=EQgj@GHu~o-wZ!9$kXoJ&@W}@b#aieq|=7z48mPVT)DW>hnWSECKAkcTW83GmexSeMt%0|10 zQ0y#4n-R1rL)H%|lSKk(#f;4;9_b2g0y3qPrE!eIPbRvD9d$^G$b$>hYPWgKp>u@n#_fSW0oT~9cil}9wO{NmI`x0 z+UyM0kNzTy1kj2Zb0GnMv=u5%?{*d`@z*(hx{IMXO~>G35Q>JPSO^28sRwJfV@^pA zN z?irQMj!C5H?9)tt&9ok&`;~m;Ar_$33w@WHiA4XrZ%YtVX^1ZFzc{Ym*-DWrGPtE%+cEw#O zCAf3utsNnZ(-Y6<4GE{D)sGO~U7j>e{3ayPZ!4rXLf;j8#E+bQJhM)=({d-Gc1cj$ z>kWY$0)8Z_k@vz9;8vN1=-`lW81r^|C)j^qsx{1g9r8!=;ng;dUL@7Var)6>=$XX<*1(R1cM2HzctZt zY@Bn90GV=u`f-bS2SS_EcX}LXlHcDK#;kXqrSL}G_xgk5nd1&fsgkR>?dCHildToo zIetKH05pW)g>pKXT+M9Xcutic`N&97A1s}RlbcKYI0#7>Ki$aM7u}%#75QA^%azj+ zJzOOY-$za+6QA&~16kfqd1Axwq6oz9p^YPar(ek#*<{r&8A`RwfuIU$*qSL2Zz)U7 zWP-VvQ$iUDPo3)xGC)FJcA73pr~3iSg_mx$t80%ym~@s3fnk&S%#pm%Hk^w~%H=10 z1F*w&S=sy$oSq%Rd0|7Mjb19)b-HXKt40&a9n0VVUXUdM{Y=~xcNv~$S}o@wiPaB- zv*A1-9EhK8OR~VJxR3>rU>e1uVIUpSK=qWycJFXmp4W9C3?!t%+NY$^KBI2G&ts{< z%p)4zlCONU2o*Z$Qv!EiV$cwD-V>6g6D(TV9}&-)CE|-(AfC ze*f*`BGT02$0tqRMhOc3)2jGe2IO4}6n~Y&QkPkU|NdJr!7qYsBVoT^QOSS%rfvW7 zNMPG~No@T~fuZ>!Fh{QSb=S99ezF=TdR24QpRZ^nFP@%T$nmw4btm!SPXZpl0)(sN)4Hd|UXi1Q8HDWDPH1feOf^#P^HboTe zy?H+%vsL`@mb)epA6I1=WVXt6{kM@Rk+0s;C-R!b86^0dV>2PzV3NSlLoG2l!3Vxb zoEwQ-Q*>~?HSY)1xgJI=$?RGT_cUC8M4i{w687qDV?|z-2}GajJk?xPd8VPst9XZw zUHq1wkzLu#=*1_yzNZHQ{3R9qEr71~2cYyz06CNrHQ4XJB_8kvSOf|C{fbKd+cz!y z30nrB*unl$GkP}2R-IA zViKZHb}oY|#}Es*%)QOUF=`2y8GP`I;M_@!O;Ka^);yJ2Na#^lT;qG(@Y$Ai(1Bmy zAhwD4o9POL7GHf4kz`cu<9B3=Fga!$7QCenI<+TZ1M8#8~{i1&TA`!*~7 zqMf?-=MM!+z2Eo)B<%4ED55Tx;8&LA&3OY zi<~1n??}@#7_TTMxdr}>QQq|?hFtxwGh1fSnxS;YmO3>2?{P!ca_b(;ZOYvf2)YNw)P}JrqXQ zGY?r9K+yC@WaRl^mJuq4DoqxkWtE2j1(CE>3h*U}=!_s;uSyF9E59fe(g9^i=M~jM z7UVDklEau~dJTa@S6j8!K2#1Q7_>sv0Z>h9GRWqkJWtW*+$#=Hobr~YDoI9k1gENx z#fAsj6DRjrvx;7bI2B1niG+%>7*&*%*$b?m@+6B%M~8Mjlf_Z=l?dTbyx2<^lIgmf zL>`i<+Q1}J5~fZN38A9YOz+8SqpRtST>!Zr%?Fhs56N^_Hj}83>C{jdGX$lK=U32%YDE2qK9>H=csyF_96{t*RH&FX&4GIO zCmdJHc|+v-5kq`nkp{@RL1ER=ef!-Ev7IROs3+{v>15vyJ7hVj7l)p#$tnnBhRkW_ zInh<(xyft1sqY>!bNVnRGm;9t50l}Bd)5s;{8 z(^Fa*X?kg6Hj@{$wrs`aQPONA<@cU#B!DoHUW5jcG-)7NF%2Y{3j=v;(?daY4ofJ+ zZqDwMMqFgFEfF%8)Yeg+;*!p?=TU=1_uzbDR^t(w!+h3(j3L3^saK+oh`OV>yX`e= znv!6Uwk9Dy&!<8#Vu`d{I#y3>NERnrx-E+yPK%ZXf_807(f6N5WLvUjdkG}nPsJ9X z`&??OEy&($YF8js?kEQJ4$4sPs2=JaEKNXpU`<0d_6@Y@Ax+mAt} zn;tNp?3&GobtYp-bVT!Ulo6YTQrEO_hY$b87=#jL#FQ`>awW{9O$noVv@2MgYN;=w z>2}FttNMcHvD>BV%DN5$17YYuAR(}QetPAqlC!iRqldTYp7c3MxGcW1q9}^ph%qM$ z$xz<1BuJO+5uqedXo_EXvOJ9!xpUhc$;acRta-g;M22O|CO5|ptO=sKB}vW8<}(N^ zken4iYPLYd9v@E@h)7r<7NZ3sWm+IsPYXmA!vc-%dMJzjxnzH9D|SD{)buJ%&^^~A z(tgu&A#4-K6np#Nw+Z26e$^s{>d2l0Q$$Y9(@8_6E}zpzL(bpVVFD!G7%D(0JeHba zxYl7wWxJ_)OXM+`B{@by21xK%eA>cTsCKV?jD=TJj)l;p%D2xx^nYD_krQ>VoJ)L~ z;5RXs$jN`-ezA3#P?o*75s|&OG+I$RC@Vjv=@`EmvGpcPVM!WV+T9K$o||us1cz;y0Uz?S`^qc$0TLqI-I)p*+UvIi zKh|@Z9~stnYG5 z+~dRiw8?8f`!I(|y2YMN=S!e*S`!2V#hka*E)q%3TS9Cgzj7%bI&1MQjA$r|%_z&d z4eKCj>E@ep(Js3dBsXH?UZCx$2y*&57p-U(1BmfY1iL~}3X1Ux($q{=PZcAJ(e`av z;5i{lksOOHgU+v(N1F6Xg~1s5`uwo1h=|&aPH4X}rAR)F-!9 zwzc0Eg+!v0Ezc=tmGZO*Mup~>LwerdJWgU^LTeAR9wU0qrV?LLCNVPjK(25iWeMAmDP!_@c zkn<}@pZelXuKv`x|F6U%kVofSsxF06Oa0_al01-`QYMQjhqm4<=<@fEQ(mpx%_=RL zk%=&kcBch`@d-`Y1*nugknK_+zdjI7N#-$q z12cOg^ke*;nym}jd@&Ruh`pnjzp*D(H4-5jz;E6N{Sbd*F=Y#RJBlj)m)cNSP72a} zyE(qud`sGfKz#E?=%?i8rw}$dF|*p(1+w`S9N!~yz)Fxajy#Oeo%D}!+tcxA$E<{8@drXX?+K6S+9q`2VP9%-w=K_-4SOw`G6n zihSP{zzDO)QAR|!U*s*A<^%H%;Z%vv`TMZ%U{(E~M=CqtGM+Xw--nq38HG4ITYRQo zu|sp10nxDw|6}ot`86H6mHYr9y>5R6u;szHIyQ%G@E*_f>>_^qJ*T+#*d_5 z(;E0&Bv=1qW^A{82{%Y_Hc<15o7tn?c1A~JF=z6y%?x>JM7z^2tNq2pf&3;ufp>YY z{KtA~*Fc(B{HkV6wptcdlIF>qf`|geWFp@BV)L3@KL(H9A@fS$;&blPLN&q98Jjec!ALCZQPg_SRpQDbQ8}Zok zxH0sD{;h;pMe&zj-M=*iUY;VtpAId!u5!_!Aa-G%dhGj_eavRt<;lm4LXB>|?(7KR zN;f(4^J5d4<=ctXItsH9_dtHd?l`TeAi7MZt$X40qd?gG7XNs^A-c6Z5E2(k5N5KC z9R1+vRP74yU$o2n(}o_0Omq#D>vA9LxWy|&rf*c!3t`qmJ=fId@4Yp7YqUKJD=o^Z#$uzC1!K;(j> zDaM8%yN`?on`et^Id@nEl6}hPxBQV$1e?d+3TA9NIK_po?u=<)(1Bl67#rNwE(_w3 zN!gs%5NI0DL%V)#`mhRQLblsp1u+fSXyxM@%ml@knBDf0S}3+rm%-UA94d860r?#k%CCW z9Po@hZjgmpf*BiqDJc1dJS2=j`3_0x^5rbPZS;;z^ngc-zv+QL?GhPB64sEtE$b5? zhYy20@{q9ZBKQVDbT&P&H{cQ3&13ww7PK6-=IcDWfBqeLNZ8^hR>%ljRZZVU`}Ga- zkg!g&L&rh&d~N@ROcCDgVr<#;k^^>e;NTs3MAtX5<>r#5eK$=1Y}|HtQBO7cCg3cY zU*8~8gc(A^4x!|)`qJf&JR&?wFB4{v?G1T2Hn4f-+dVN9%*UdHv3K?cJk$^y2+@-+ zV4k6%-piy}Zou9j*VOztTh!#Sn^;>X#Frm$$W(V1U2OY_gLBP+M6e?-TyN*M$jp3| zHCA0f@ohXGaphSx&)_~+KjBolkiH=^UB}feqfN)=;qvbh8@gE5zuuvTGFFND;@0L^ zJ+Z^(ZfHKd5$;ZT&-gCa2u&HF)gM)s-Yf3vo)EJ6$MAgx(Ip7i#4%$weXS}cNCFR; zu_dyd>&j+}p-p$FB*lJ(m#W?{XP^}At~dnqhCE^izHK%gwqiT=%CTRer4fCH`%4cg zg5t-*{l}sQXE!~}czlaYnF2dalPA*HesZ4Ei5np3n>4?*Pd8N_Fy=P!9eBbX&vk72 z7>^ye(A^&PXWEy;n6`Dv)nm4neI>*L>)c%F{$K~U31cs1ta>%tXG zL(u^4MA7Ap?JAxKOG8>#q{A#!xW56*TDS>fYV&%Brp9=*0j44pa{t^%HO5XmniX_X zOJg>GFvhyRL((|UAC1VPcG+B!{ZqG`N!Ps@SLfUF9~n6sEvFT;a}z1bnTj2Df+~#& zxGZ6KAjPnPH{j8zxyEAIxF7NL5xW?4yLm!t^KJXL5%5CHAZ>J<9$}0ATRa+d_%&6r zx3J@tHrzuZ&}qK;Rr^_M*5<=v7x{dV@A8y5lzl@UofuyHaOGl;dc<~O;Wk#a8%$$^ z={#*CBW>TLxiOB9n8psE8z(waglZop5pRY{Sh`M|d+! zo*|)8-Jd>-t)A}3`{+>Y_ReCSk@nr66bwfRs>uMIM}52c&b2X{v`kelL+c3D;m!mqw{*YyIJU+KJQ^T6^esz>+5 zN9>tn^BbVUiXh0&zS3P*)s@3jcMcU)TAEQDTy3Dt_q)D`5&ACl5QyKmo;|SC3cn#R zp9An?auiwr#1&)~hNX5Vq2fGq5*g&Ya{tpyr85&126VMeUfy73N--*j#oR&@d=H#T zhpVPSF<+r&@eyo%D9~w1NQ_rx#HxS2Lk~Aw(_v3L(`q&&+GX7ov3FeP#gX&Eg<1I< zcktq9(l?_ZddE*?<$ia&0?~6;Oj_3=FB`~d5I`2_(zG>>JCl5KPtIi*+{I*38GMrt zJy2PAQtRE!VKDKOI?W}oo)OiQLviWY5LJ`srKn|$p;3t8XN9ECBQ!etaAqLuv;o!-}$Dg$J8_!p{d4H>z)hyUrYzAb>87GMJZ!i2+_H4#1(C$?;!L zLb02fK8oqgZXb;$K}>l#&Ky%7CfMDk-aH*}Hf0zLtIO{kt(6%IFn`50h^q~nq{AQj z6~rdf{<+X>*<=u-r+P$)v!0I>bk4hJ(Prt{hQZpXZ^2%c0e>?{@KsA*2I?W(q&{&Z z{I8IQ%TzmFU{?MsS(2C>;PSGQua?@g39c-!u(TL(i0CIFLl!ZeLKYmjp$Cmew~Vtq zow~qiNpM0asnf{=brw+zFNX6pj;N>LB9P>Yd1&UF2qasIb#jUfhRUz-Rek3*ESm%2 z(fM}DzVX!b<_AqMmR{VU3QG$s8sp7(JL3~S5}(JduK-eAuvx*6t>1V&mq3yZj>xi0 ziG)G$RYM{S1gi0RH|l}FYoX1eiO5!L#kpubD-|wMoQhr2K?8H^f=;!OoQ|F1=mDgv z2NsHbgj=4y6ucij=HN?1+F5?XqY0&?*;UW39^)4_j=CqT}M&>v7%>RbEYGaif!`9u8hl_tGNP{lw zZ|o$nNRLa*t6Fz~aAmn2E2m5FBPl>2ZnoF(`>3~f!7eo z!G{#A1Le(+8|TQfH%ZD;^BJ{R;Kb9j9uMSAeOQ(Yzne>+!!G4sMN&EI}ddpXDX-3_&e`X z{`u&aD?MZfsmPX_-;)mHR44J5T^3@mr@3DvmfDf#Zp;PgT|{yQ#+*7?>C2Ur1ERO= zv6vu7O_X1P;KfkX4x|rP{rsj`n_ai+mD>vihU`AaPLT=eY<8`e_}#`{eBv%A9u~kS zZ)CnJ^@y9UQ8hHdUm7S!lJO)N4_N3MMO6`ZFvX#%HA##2_7i#GGL>A+F6x)#6Kw!S z{wA;ES?$_3Spaxnu6Avc1gq>|ksLWZ?SUT|mnLDogO`Xt6QOqurDDx)6mrsr_*+7< z=uAM>k?UlvP}RLVt6c+W?xD6I4SkR5IVc2@a|!|Rs;yM)bT2SSpB$6$I|zk@P%Ih(($QE{kKTBb(KEqr zRS!RetqEj`%mRY|DhmvP_yiZjTe15CqX}EFD`!&^F6i7!*0GBleNP}23;s+xkN8{d zeW1i()78k-Jh5$?-J2Yvi%2wd<2V7w8^;M7irW7HgDHBNY@rEV@6D$z}*LEe&OnQ=7z6}t^Wr^?01%+Kxa)- zPJnkrD&;rU3+3GwiXPq;XldurqmWPG<;2l;+#npt;}>+03sl7A^84p3-3rLBgp|SZ z{`N@%y{Rz~22!}wXX$-KmH@tnxBR8}<`vL7^Lm@@rh$%asmR%bT)RJ0H%HiS_)pF9 zXW^2(cDu>-LgL{%y#Q8RUA%7KZ^y}HiC9kR-N~0Q@N^rH!lgC}6*_Fb3RTEAOHh5Z z)Zelj5Nk$>EuLMyLy}fttlfc{-k;MV75BXa-J0H?v%2f&K1zoGT2SL9LbMZ0pTe!t4-R8%;w`^W|&`O^nT_T z4@9QOG<%K5JN)Y-kf}y(_VQh7)JXW3J}ID=7SHk5?YidFOduRnWmh+9MD%fuG|I|h z4qyzk3z7LFjWZ$@uR*d@<%S+p?GU2FE@BamMgQZ<~>WI6FPF{ibz#ZgEIcb%1j zOp|+zspbeauP})0!+ro*t706)%&hOzBUz$XjNn>4C>NW4aydwSnf4?ZGO*ry=lNX!Vb z)6<4ILUwwNHp~%{5|?KP@E0=#5z5QTPzPV68{$IUp_b%$s+qfP#U<^LGdEkditTK= z89pN76J_m@2Pv15wTDRX?8QP2Kssp;>QB;e9#gShPts)4fk1l_M~}6q%OTC44Jwh# z99iB?mnHqSC1WUv1c;L{6sYdWh_%xmlo}D6*w*J6HNg%#EGm!gIdxxRMC2xqp~Fs2 zt7f#C+!DC2$*vIy9Ydj{noc_Pj#tkfB#Q|NIa{emZQllMk+yF))qF%|)gxY+j3ZQD zL1aA_0uyAf*?=oQreS~tk=y8UvEb0e{D?z)Xeo@3Amte7c3nkhmK~XKAi6An_goPG zjI3T3H*)AHK}PrMV)%UP;M1+;Oa})yuG?2tatu04_`GR5YYN@&vc)iVCjnbIL?IZ5 zAPo2V6}1CO(&EGre#)#O5;kkDGxJ~d`a zhyFoNnw&4rH8qWmgrWb<(yA8C|~wVyBrzL;KBdyG!SxM9*RMAtF8Jmo-7gT4iLQwP^WyAHsEUKP7}J&l?Vo z+>_en6~ZIrb=6+$T?NxOK4Rsi!sN1Q?IWS@iap|I*Jwl%DiB)5UJpHfDxGc?Ze4W0 zBtCf&nyP#?4ry$szZ5>7qgm8afv1`~po&&;Yam#=w@3SZk`yyFvZ zw{TkO(C(4UH-#SYvbym!|3aAAXsBIS68f*%mlwnwTFln344KebD)`%OPOsE%4sEF9 zR((o}raKZA1577m^ZADBJl4jQ9y#JlFObs{E}|5-AV@n#%Vn*|^L!EB&f9)u@5^m2>D|r%%(*Ll&8A!Et~c|ZK0!sk z;hTg!lKH04BVL-%N5$T*>wV{b#p5B}w_yMa70Z51!=dlDCBPHHazeBWBTv#q&e^5* zZn-jI|;P9sWQXF+LJVPUmFJEtw`of&Lo#%u8|bJWteM##596Z z$+4q^q8(m;ta&M+K#u!nTS$m@FeLOi?9?UYb?IqL9M$z_VoXT-&^GL8$V*}48bEH& zsC z{aqgdN9^zVFae7LGVYK)YWs29MsQ%tih~GOymGO}Ie1Kxdt25#SIJ4?A^7tW2)@_z z%1>-%9)6#fAi5nKqgpPG+J{jsA&4WdSY86{lA&9|R7+F4@5D#LI;|X9(P0Q-_9SO_9egc$%Asw!dw_(IL8(|5JLQ;m zQF$mX7)&kqMkJSC0t3gew=3z&!=UIVwrw$P&W)B5+k=2oE3iQwEp0UC=u@vfne&^V zAN9_@luHAK8TveK+y0NpEVt7cL{cYT4P4edL(6Mg`!GSH@|g*GU)peMh zn{Su|8AsTLU7j^?MYT2vvieS=H-fd_8gX1p-DUra+KZe5m7NdCHJSHNC z8(ZDH2|`8O&boLD$|CE+jh{TEYiUTW7N4^OkzA!oGELLUGnsbT!KRJ0g@zzv^y=oL zsw~}nHrDhKX!nl{5_ak`77GS*#N;;&+9TA7!D3fq0C`MC4%0&F(#~sgkg=KCd96-b z&m0$hx;nSB$U8%mGA@2NCR9KUBcE9Z9+9yNbut;dxu(_X>ooc1kds}PY|L^Avh7(~ zFR9(s7*OyN#V)s8)@8C;yF3+g>4OFehnIStbr5NzqL>m0(#0&*)#rowrn3=Xj zWzWBFS)_6pu+FN#1PXM_RCld}OzA_jtKHv{L{k@6+>mH3X1r!9m&id4SxMz~3tf^` z`o`@?wYyy7n>Rw=6?@1}FVRrr$A(P^1K)6HRZDt~Z{7%fSL_i#^4Lxky7-Y)hqdGZ zAo&nY0b7^}U_*Ar&Z$B*=_sj$xa1O=!NS$kJ3aKY>NP95Ck{Qno@Ok`1QBm6kaf}1 zxq`U!Lv|K-kOYu?4?)a{ibfQlmV*vNs^T#%jLsPaW-KnHPs)8=&HHg_h}v|NXGQL`h_Kez!ZYzle&xEIyDU`H)31dKC#$^nCW8&$}Vf0wP6xV*ao*! zLJ+E|Gd@Kk<3nKcGi#yoTh*-i5!sGo7z488@{!}VW|J{+CUeA3Xw)u5k3uJtx>cY0 zLtrZ>dYALXv?R}th|Rrah@SnX?Wfynx`b`L*&Bp*c z@IoZveNN%qcK2)BX674)IDg`XxXIdeu+=v*-<5jA&B2w7tCO5$Z`&Z!uDOCE(&|2_ zQ|mrzX;NF)>p#-Cxe%Mos48-hKHDo}s0=3cLf{Xh)HT?TW1xI+7Kcf_DzhnP#-w&j zk4$}hA^WmVs!CscxY1wf3+DxIRxdZ_xAf{mJ7&@gGIyAkxXTfyEssflgJF9CHrg<< zUsBo8TIw)CM&DLgw8<0ORF4W{ryY{H3R!Qk^%u7q-Wtdos>cU%+A+BRT-&=We+Qs|w~JA}|d=~6@~0Y#7! zI?@TfC4fkij#LXs6#+p?KtP((L6jy<1*M7j#^-s?d(L^kf4==?c6Y9wyUo4XnM`Kq z#%c|n@x&XndNAmsCfyESeXbIph=&IaO zQ#;0Yq{vmQCr7z$8I!d#pU{dZ;!NF&x0;r>iR= zp_U=09^zNQ@W}p2!}0=Mk666*kYD6xNpvREjABZhO_M?1W|`%tp0^EqZEP9gSI;N1 zA2wgIVqT7Kiwfp?wHJm;W<9d^Vo-|@XuXccjGSFdqOF(@N5|1FJbUT_v~JG6^Xbkk zi=h|n%be*UQ@Y;JOq;mv`ulC)q3zZnw9>KD)vpg)I_bHOD)tyn4Hn#QhE`sE96MlC z;l>@X%e4@`)qlsf;7V~u+1snf-DM_KZfR}-nFUWXiZ}x#uKNW`cM9`asHg1x=66;0 zygj;=xj68Gfiq~cHm}a_Nf9pR|YyiFpuFFd}(sy}&5-Z&n2{ionegV7ste+GFwXN*ffpu8*q45PoMU9-Glr5VGu$Cdn}K(5wH zODO4GONan%{PjzBTBs?salVmjP!4-jXVFK)V}VD%$!!w$9UkLwo8RcrRlL#%aUQK+vscfbf@$21+TQC>8ZI$XFAJc zg-e12hnejS(sZGg(=I)!wn>gcN1q^V5Vx<-CNDO~j@z=UBh7&8XyFJ8+4yV{OrFQf zyN3bv3uN{N`uoo zf85%3xZb)F)~1J^FELrc<7-FeoxNBq>YQaOT&U4IrSgT-XC`|pGvA(IskHVw?orr? zzHjbuFC-r5IzqW38yP}J<~a-umNKG8HaZT!OP$ayCEZ=WQd5k@&3An1)KI4~YgG@X zHt$%<&RJ`o({UfNn5Fmf{Aq4g^4i5x9o3pu%E;*v6WlaZhB_O{YlYoU7jIiNrgG-- zNW5g9!C||jcl1gE;3Nv?Hc8v=;5JLQ&03Mt6vK{`XSnV9M=#c!@^F0Kd}jA9M?5c3 zHWJ2s(|(uZ?Bq@Z?@8Fj{a;@)Ta~e2)kCkb#hgS1g~==pr@OwsSWBHWx})`mMo?=c z?5bF=hX>aXBsN?%A9=blVw67r>=&DS^XVh#sKi{7dEHe9i-*mATQ*VGLA2Zi*{*M- zfGkKFmjp6U)5{4=;>fmB zl!^=`Fi-X|bzVfV^-r&B=X`5l4YE77$)Hcxk5-YMcZa1%yu+UB3c0udTN=K6k`^T$ zp!(+QbNxOIf+sV0@?dE!>rv61nkZ&iemBMYAtuBZnh)a6Y{PwPGr3PUqkPtd*_nAJ zcUXP2&-o^WcZQ|!Q?WY#?(AS$*HF@N{^m%^*lLSNGItB@dbSTKE8}`LB;jL%f$T(5 zi%VTvXF#}&#^DaDM{0gusa|_Vc{v8&!wKEoNw9ti*zWRJ9aXG->$%Gv@me^!!dKa- zw)GuZTB_G3{rhe9t7_CSOD(xfYdw>zvdNH^GThwQlLrqRJen z8f7fxY1aO8^-lHgYHcqLw%#qr>wYM>-M4y(y3^O=bK$dgqPq4W_@%}MY z?Q-mtCyhDo$iFLb+^pB_8`W7*xlAzTjhCkQ`su$jN@5U>Ki~uy7WRm?m3TJk0DHvt0xbn(gC@?k`Y`xrm znn5De-L>T!-@{EBc2EJMy7K17NPVKFCE8ovwU5W}jl;|yxMeyZ)1j4)czU;cIsk>V z6B~E}cMs&=xut>AJ6f8R0=jggecO2>`G0(5Tx@2NboUHg?~rw#NcbeV@=E1|dzBMl zMoXLuqb)8qnp53Z8xSS@YKBs*>fuqQ4t zb5*i7OI;z{mJE#Zvg|(5DQ3TRIq{%?^&58JV{0-d$`CYEbi>(R%}x$>WUQ5qn1STc zMz<(WOI}rH1EQC_qU7(#@dONb&Aff{JofQfF7rmTszCX%wu-{dmx<bYPA?9%$N@uRNKM<9FI@B9M;MG7Q*iNWksw3X{%HsR#cVoqAtWx`(P&)Xyp^1N;6ID z2^k?hO)LinRPMd%EB^9l&x6{DSEUJtWuY?VG!N2y9z8} zyYS0rM&(#an^5$+Ba)r+`CLab%~afmK7gLDJ+^&|nz*K>_fb7#Pf2VJ-@8mvGprtm zqBHOBJfY=?`~EAZueJDdw@lc!In;eoqitn*)gfC;H?3fADmshPtny18VGj)*H zZwRp<0(zl=(+^)zaOwUoWua<_Z)A4u84BnX;lI26ZFky*SBbhuFW&dfdB4IyF=uP( zD*WLWLzCRfujff4?rhYyL6a}y>NehsW65`NRK0CluMd0LwtbBr`MSA5Hzql1AN)F_ zdb-D+P2|2&Tz~Z_paP{(WN} z-P5D3MjQwJH+1M^YOwiqHCa2|F*BNt$u$M?zRS-4JTEWxv8t+~FjT4ay zXNm(Wc!S5lPk6bXGYSM=rm?#x#90~)7%oH?oPp|hGdEo?9AUuTvg=jydmOz$)oH8< z0(HS7^=EIAT`g;aTZF#+S^h9+jYu3R>tsuMtgx(|Nr>FN^gV3_b$hh+dZlkzx@$ELHRs+?g7#?8ZFuj-@cu43;TSJGRNhIDFYT{5B$*QiVrI8|92 z^@=>6V2a=)*O6!Y1v%(vz+tY)rl135X$XO938{ynn=2PTTmpX`&MXf9*m-gKJ^lPm z(uoPC+;lhgEb{n3hfDOpw{AJT&z&b3>2cxo!)0y2}B(&=%BRu*XLAmg-VE^m(<)#b)}v>Q+krtQ+rUtNsdFQoI= zyBK;J6Z|`P=!X0|x@~y$rz`|*A{xz?t;6H*ay&s;FADSZ&@QUU&`Z`2=F!9QtAYgw#&Id=qjImUUyrrP2g zROOut&105YJGc0HwmX3``Y`zMX(3aKMLT{>?E;B#%MM+7 z2YLVMboCG=r|44a3q(n6402jv(&TaSaP%&4sBt#kg}g#+mB5XCna#(-cSszHB&#ed zEjbq`9_pG?XM0ZJWvRO7mT6dSMk+I^z(v*`79YM_KT3C%{i+kC{FSt-Zx7*XqLy|ywx`V{WalK_4jH}J4@nK_^XfRe zEA33FImlwLA0jz&z3XWcUOI>@sqeq6U5 zUMX+0?PAUglS%J+?~mgfbX*AADERpFGpa}>{1D_D_WaaeNv93=fuS-x1$;|yrDZ$2 zV9tJu&r`qo($9&Y`-2oxC#p$`bn2Uu9y+@IUmKVyc?~wLo5M+7eXvxa>Ac%iOG}B5 zFdEQ}MQ_p$w^Xc_CaNAh+Y12>jTVY_N(bz$i3DH4mbt~~Z!A7FZ6%#({>cHK{Uww6 z$lv^jfLS~FcXq5-t6^`ID1}on&kM*={Ko!uXMOt&NhgXDwi08g-a6@`7*D}zHSbH4 zGpWIAO};a`jY{`zf(oz0Fpf23UMo>c3@2Btrkl01_LVZJTHl6q_f7U52Es)OSXdnD zijLz>+y(ugK;ozyTCp#;HmjVyrkLD??v@v1xXE(0ypZ!8^S}x69K-L?EjH&e*6wNX z9}2e8KCtb891CYibi&)clX<4b9+v-QZ)cJE>vC5=rUVBCtMvz-{z2Ai~MwUI5!r+{HvNKFV#!{iVKf;QVo>UfrIZGVI1t`^h?4I z_4{A5zHUn>Gpc>d;F=p)BCFX^M67S`+bHHmZte;N!*ZJOu-t-n=H51FH zp4=JX;pB76NLVxT(r;8c2pqGEA7*O>ByIM-Lqn#R<}r`@E0V|Y(_`xu7F)YwRabp` z#xPsWP-?ep}+O=ome~A;cun80>p8j*a5GE6^H12e=W&EwYiOOx#2F1!iy^93C=gX6vWIZ8mL2DUQ8McgH z2z*X&3J7T9g=M^OFQEX4AY=yX@qi^-UnZs6TOg5wcXX=C0xT?rUsT!BK{*s`fK{R@#v2ng>PT1Wh#GtS=s2e zT(dmnKBYVmSj#Jx_D15M-pDAZH;lAMp$DPwVA|MR`90n`-F_Jh!8juh1OOZd0{N;s z%ju=#5pN1WYdH!~)4TQ`rQo;wB8cx_q9kDthYE4XDuGB#jl(OS*}vu*HYj#4&K*@Y z;&1a!LOv=C99^m{@brJEXP62?8>fQSa7K95a>(zaG&xphw-`nqMmWIMl>yH|zcBYD z2~5^310_oh0WZv{U+rWS7ELl{!A=p%$%WN+Z z`LM# z=cXfsKb^b1cqx>SoOp4gms(R)GY^se;!>OK%e;D^{LpjQ$vv1T?2O759L;4@ z9krm~NX!!cB>ypjrs_I`*uH-YWE!3(4_!9))?7i5!c}dy8RQg9KP_BLw@CJ?%Oem617$>M8@Qh$AF%eMaNC6jNa1Wh1Op!I0v8Mqf z4E|oaaYxUANPw=q_HHm6NZUb3EYTbEk4^P_txh_{s{eor%++NaSyG@3*&c3W*YoB> zVNG|!EAtc=y{W)jp)e?HEe@N={z*Tvq~8gNvK+%Eet71?!9n=$Ie-NwN z6+YB6i~tJV#|SH%#V^XkN3n_3zuOpE^N7drO~Rk%S!VQm!igIU8ne&B1XEO#hQfew zC=6Z%0{6eS$w;LF`!D7WzVhXw0<$aESjtWxK*{n53BVjY10MXM{5_z8kN9ziNKpHkI4|t4 zhIm7Jv0t_F3!VXN@{piUi1Vr=7V!ynQU_E$AWCX3tX zs4)CUO?+%_-r;MfkDE`}6E_siQqKTXjHQ|{OKS!9xAWbEk$zaO6LU#OkJ(bL5d9V2 z^W8Zqd+#z;o$_&Ku>L7(^Z@1FAk~>dk@)h!HkE@pD+q5kd_`L|-hX=@O3;hx#+}VQ)}#F>LWy^H<2?>L+mfVuiZ?cjRJFrRU}Ig|1+! zwstT}UrY~2gUW%a;k~xwl6vJ2c+As6Aba69g^CsKr_--6uJp1d`EX?kqm-VAi05Y_ zzIO^)?hVMq+kTt4>`!CKtNCO7W@;l1<`&iKUF2eEuT%$aDOELoF78CMc|tl$alDM3 z!VdSyuH=l}9gP4r#a7N*iddY6aos4BWwjBpZZf95#yo$;VM_q9u==w~X3<7f-QpX` zFJ{R=LwSoiUvpsZLg?iJ*3&PGwmq*FlqqkVe(#{W^h}#VNXG%TJ}qKh3`+4tH8@nA z8_(0vC_}qxCmD=z+AvQFLmdmPQxjxX`18*szo=6ho0ABahh%M-F;hNdc;Ho@XJF3W zP}4xCO?I&=ncV&?aEAv&Jvf*8ICH#HlFRH}x`SiH)DOC0B`w*dIFlI7 z-7rH?OD17aZg)SkBM7jQk+%vXJzgigUO#x3A;0tWu@yYS;4SyiISZDhbdzyhy?Qe+ zxiq)}%oNhsU;55N3qry}if3Djw;b6cnmP4$zMSR5*T+tN%l4@0=n=pR+aF~{&-kW7 z9}Q8P9d8QG=*NToVI6~9ZYOn=SKjmYzVUOt2@3q8MwdJ9*2G>f8|kWm+xG}PIHG7; z4OmTJnY)zNUN(BnS4HqtEYS06Y3h3(brxUl#ZDdYy4TeB!dyV~mKCf2+xs`4`1Z3; zU8z2?0YE62;$d~cFRk*p*DyWN?(c)~;t zm^IAqUono!b~+H@3~WlOf1>nMsKr?q`dMxu@x|SP-WyfV>o-ZPiw=9AC7Ive3VckL z@J`mqMA&cwXq)3s9I?JDbe3)5rR_vWe=MZm+B@5gBl}^ueZnbt33sY9O1e5OVLcX@ z*@J}~3_7d2XilnjzB&_4?~TzeR05{34uWP)bIN4(^B7ZN!3tV$-OtN1fE6`5^a3NE zqfx_mA8zXB+ex5~;wGmtR?V8#<8SijZD;mplwJFdgCS388WfgE-Nd3p+ zf~()E_>hPhzT6ZS=&)m`s1Y+$(`l)?D+B>vR5}aN2}xvqpK7Wv))Rc?%=Oe!hD680 zHu(Uu&L;!V@G85&Lq5LX;Su}vDHU~s`p9_z&p5h!H~7Hp&e0oL^UTuej{6R43zMPps8LY47Pt<*O{~O%zK?0ENYV~ zz9iO&I6i{W7)3!cQqD$7`*4tyh7J%V zZH4;V5!C{Wq!p}Atp|KO8i4soU}ZV zmKwHTj3Q=4{Cp**Ibs2;`xzggh_-F;!3YFDoj6t^boq{oodsUY{k|BQorBg9hG0kN zqNUi0sVgs$Ru_t*h?OGqj)o|*HEN-y(6Q{rkjBJh#S;Ibwd2&pz*{H^@=Q;7rZvCK zwy6p(Y1}c9yR=8MD1k!zI*=~j2Xr~rTL2j=J+3Ot{)zS*ah1=KpCs&;Q_T}ceG8={ z27L$Ec?WH=6y>)_d@CxQANuO!;%`XFvLBFUMQ5|W2BwtNj6d2e?ZFKoE#i`ioW%OZ z9U)AmTA0{z$45U;PU&;BZcD*g3(CK;zjA3s-NwN)@S0laL4NoXAu(Oo8lUYxG_jMOUeL3G5Em*`U^x+zW~9+MTwE(O#lIu zHT$%O6Tt{(_K!n}^b11&SWMe~3=qK$f;=_^_yv!-T z*)NUKN5fF;R&bO)aT!*Ew;K|xMFH*A6hLCl6p+}wub)6rJ)kp|)EGb&%K@Oe^exxX z=a}M8PAmo+ZQS=61Epc4P_Vb#vo)sDLef)EQ0dq-R;k!3)gp0HpuVv(w(W4kB%xBI zk|8@uufG{}!^@HpDJZ2>Y>aY524{&8L(a#OG$AK_xmrpjy_tv|^)Qnek~-B4N$;ym z1TKR?60Ng?9ii8R4R^#)dgFa#}23MO$1Z)vO6)`D^*5)r~c))nbx32 zznTcfjH85QnWc%wJ7aqg&RCAmgHpmW#%y;TQ>E;pqj>eyonu}E)}FTR0JO2C3MHH` zSOUuPdTv5^V5!c)Npg3Y|^?<+nxh9mWRWM&7)oHRk)xg zUS{Nr6KYp4^goeLh-ZIdru+$%^5;klm4c8{l$H8Z;!hs&53Z{ER}>YUV1GRee_{j` z$lt)9$SO`!#0*4ITMHr$k#un)?ul_#h!==^;^!Yea{tQ*B54`o;|h^9b-LyH7f(|s zjH{PFk?dcgT1;Hg?oLSWV2C{tl7cA8A|zy`q@)nC5IH4zh{In*+TLFNL@GbXpP(;) zM|jc0cnA3WhhBe+`3wC+Lfj-Rh{7>WUVc7*M7o6h3)Uv$DAz!D7guvVF10>B| z{k#J(F0OvWs0V+f{M(#A%KoXIKZgI?9KXL*Gjd1!5mowZlyNjQMH|CbG@Lys~G2Z9^ z7h*`8|9`T7>#y)1;{VoP+sWU_)BD!ntckAi`^O>wrU}Nlx=|C|K~40N7emM?$q;Mxzse!xr4U3-{||;xQu==| zSp=~{|5eT( + + +SVG Picture created as 79xx-Regler-BuildingBlock_ModB_RevA.svg date 2013/04/21 23:03:40 + Picture generated by Eeschema-SVG + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/$savepcb.000 b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/$savepcb.000 new file mode 100644 index 00000000..c507f287 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/$savepcb.000 @@ -0,0 +1,229 @@ +PCBNEW-BOARD Version 1 date 28.05.2010 17:48:51 + +# Created by Pcbnew(2010-05-05 BZR 2356)-stable + +$GENERAL +LayerCount 2 +Ly 1FFF8001 +EnabledLayers 1FFF8001 +Links 0 +NoConn 0 +Di 28174 15040 53826 29960 +Ndraw 0 +Ntrack 1 +Nzone 0 +BoardThickness 630 +Nmodule 9 +Nnets 1 +$EndGENERAL + +$SHEETDESCR +Sheet A4 11700 8267 +Title "" +Date "28 may 2010" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndSHEETDESCR + +$SETUP +InternalUnit 0.000100 INCH +ZoneGridSize 250 +Layers 2 +Layer[0] Rückseite signal +Layer[15] Vorderseite signal +TrackWidth 80 +TrackClearence 100 +ZoneClearence 200 +TrackMinWidth 80 +DrawSegmWidth 150 +EdgeSegmWidth 150 +ViaSize 350 +ViaDrill 250 +ViaMinSize 350 +ViaMinDrill 200 +MicroViaSize 200 +MicroViaDrill 50 +MicroViasAllowed 0 +MicroViaMinSize 200 +MicroViaMinDrill 50 +TextPcbWidth 120 +TextPcbSize 600 800 +EdgeModWidth 150 +TextModSize 600 600 +TextModWidth 120 +PadSize 591 591 +PadDrill 394 +Pad2MaskClearance 100 +AuxiliaryAxisOrg 0 0 +$EndSETUP + +$EQUIPOT +Na 0 "" +St ~ +$EndEQUIPOT +$NCLASS +Name "Default" +Desc "Dies ist die voreingestellte Netzklasse." +Clearance 100 +TrackWidth 80 +ViaDia 350 +ViaDrill 250 +uViaDia 200 +uViaDrill 50 +AddNet "" +$EndNCLASS +$MODULE hole4 +Po 36000 17500 0 15 4BFFDA07 4BFFD471 ~~ +Li hole4 +Sc 4BFFD471 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole" +T1 0 2000 600 600 0 120 N V 21 N"VAL**" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00008000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole4 +$MODULE hole3 +Po 30000 27500 0 15 4BFFD21B 4BFFD477 ~~ +Li hole3 +Sc 4BFFD477 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole" +T1 0 2000 600 600 0 120 N V 21 N"VAL**" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole3 +$MODULE hole3 +Po 42000 17500 0 15 4BFFDA7A 4BFFD496 ~~ +Li hole3 +Sc 4BFFD496 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"loch" +T1 0 2000 600 600 0 120 N V 21 N"durchm" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole3 +$MODULE hole3 +Po 46000 21000 0 15 4BFFD21B 4BFFD49D ~~ +Li hole3 +Sc 4BFFD49D +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole" +T1 0 2000 600 600 0 120 N V 21 N"VAL**" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole3 +$MODULE hole5 +Po 31500 17500 0 15 4BFFDB9C 4BFFDC15 ~~ +Li hole5 +Sc 4BFFDC15 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole5" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00008000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole5 +$MODULE hole6 +Po 36000 22500 0 15 4BFFDB9C 4BFFE0BD ~~ +Li hole6 +Sc 4BFFE0BD +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole6" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole6 +$MODULE hole7 +Po 39000 21000 0 15 4BFFDB9C 4BFFE38A ~~ +Li hole7 +Sc 4BFFE38A +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole7" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00010000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole7 +$MODULE hole8 +Po 44500 25500 0 15 4BFFE46E 4BFFE393 ~~ +Li hole8 +Sc 4BFFE393 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole8" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00100000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole8 +$MODULE hole9 +Po 51000 21000 0 15 4BFFDB9C 4BFFE39C ~~ +Li hole9 +Sc 4BFFE39C +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole9" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00110000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole9 +$TRACK +Po 0 30000 20500 37500 20500 80 -1 +De 15 0 0 0 0 +$EndTRACK +$ZONE +$EndZONE +$EndBOARD diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/$savepcb.brd b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/$savepcb.brd new file mode 100644 index 00000000..4332fd19 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/$savepcb.brd @@ -0,0 +1,273 @@ +PCBNEW-BOARD Version 1 date 28.05.2010 18:11:06 + +# Created by Pcbnew(2010-05-05 BZR 2356)-stable + +$GENERAL +LayerCount 2 +Ly 1FFF8001 +EnabledLayers 1FFF8001 +Links 0 +NoConn 0 +Di 28174 15040 70326 29960 +Ndraw 7 +Ntrack 1 +Nzone 0 +BoardThickness 630 +Nmodule 10 +Nnets 1 +$EndGENERAL + +$SHEETDESCR +Sheet A4 11700 8267 +Title "" +Date "28 may 2010" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndSHEETDESCR + +$SETUP +InternalUnit 0.000100 INCH +ZoneGridSize 250 +Layers 2 +Layer[0] Rückseite signal +Layer[15] Vorderseite signal +TrackWidth 80 +TrackClearence 100 +ZoneClearence 200 +TrackMinWidth 80 +DrawSegmWidth 150 +EdgeSegmWidth 150 +ViaSize 350 +ViaDrill 250 +ViaMinSize 350 +ViaMinDrill 200 +MicroViaSize 200 +MicroViaDrill 50 +MicroViasAllowed 0 +MicroViaMinSize 200 +MicroViaMinDrill 50 +TextPcbWidth 120 +TextPcbSize 600 800 +EdgeModWidth 150 +TextModSize 600 600 +TextModWidth 120 +PadSize 591 591 +PadDrill 394 +Pad2MaskClearance 100 +AuxiliaryAxisOrg 0 0 +$EndSETUP + +$EQUIPOT +Na 0 "" +St ~ +$EndEQUIPOT +$NCLASS +Name "Default" +Desc "Dies ist die voreingestellte Netzklasse." +Clearance 100 +TrackWidth 80 +ViaDia 350 +ViaDrill 250 +uViaDia 200 +uViaDrill 50 +AddNet "" +$EndNCLASS +$MODULE hole4 +Po 36000 17500 0 15 4BFFDA07 4BFFD471 ~~ +Li hole4 +Sc 4BFFD471 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole" +T1 0 2000 600 600 0 120 N V 21 N"VAL**" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00008000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole4 +$MODULE hole3 +Po 30000 27500 0 15 4BFFD21B 4BFFD477 ~~ +Li hole3 +Sc 4BFFD477 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole" +T1 0 2000 600 600 0 120 N V 21 N"VAL**" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole3 +$MODULE hole3 +Po 42000 17500 0 15 4BFFDA7A 4BFFD496 ~~ +Li hole3 +Sc 4BFFD496 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"loch" +T1 0 2000 600 600 0 120 N V 21 N"durchm" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole3 +$MODULE hole3 +Po 46000 21000 0 15 4BFFD21B 4BFFD49D ~~ +Li hole3 +Sc 4BFFD49D +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole" +T1 0 2000 600 600 0 120 N V 21 N"VAL**" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole3 +$MODULE hole5 +Po 31500 17500 0 15 4BFFDB9C 4BFFDC15 ~~ +Li hole5 +Sc 4BFFDC15 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole5" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00008000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole5 +$MODULE hole6 +Po 36000 22500 0 15 4BFFDB9C 4BFFE0BD ~~ +Li hole6 +Sc 4BFFE0BD +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole6" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole6 +$MODULE hole7 +Po 54500 18000 0 15 4BFFDB9C 4BFFE5F9 ~~ +Li hole7 +Sc 4BFFE5F9 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole7" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00010000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole7 +$MODULE hole8 +Po 57000 18000 0 15 4BFFDB9C 4BFFE603 ~~ +Li hole8 +Sc 4BFFE603 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole8" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00100000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole8 +$MODULE hole9 +Po 59000 18000 0 15 4BFFDB9C 4BFFE612 ~~ +Li hole9 +Sc 4BFFE612 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole9" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 01000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole9 +$MODULE hole10 +Po 67500 18500 0 15 4BFFDB9C 4BFFE61D ~~ +Li hole10 +Sc 4BFFE61D +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole10" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00E0FFFF +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole10 +$DRAWSEGMENT +Po 0 59500 24000 58500 24000 150 +De 24 0 900 0 0 +$EndDRAWSEGMENT +$DRAWSEGMENT +Po 0 59500 21500 59500 24000 150 +De 24 0 900 0 0 +$EndDRAWSEGMENT +$DRAWSEGMENT +Po 0 58500 21500 59500 21500 150 +De 24 0 900 0 0 +$EndDRAWSEGMENT +$DRAWSEGMENT +Po 0 58500 22500 58500 21500 150 +De 24 0 900 0 0 +$EndDRAWSEGMENT +$DRAWSEGMENT +Po 0 59500 22500 58500 22500 150 +De 24 0 900 0 0 +$EndDRAWSEGMENT +$DRAWSEGMENT +Po 0 67000 22000 67000 25500 150 +De 24 0 900 0 0 +$EndDRAWSEGMENT +$DRAWSEGMENT +Po 0 66000 23000 67000 22000 150 +De 24 0 900 0 0 +$EndDRAWSEGMENT +$TRACK +Po 0 30000 20500 37500 20500 80 -1 +De 15 0 0 0 0 +$EndTRACK +$ZONE +$EndZONE +$EndBOARD diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/12VRegulatorBuildingBlock.sch b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/12VRegulatorBuildingBlock.sch new file mode 100644 index 00000000..46b3babc --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/12VRegulatorBuildingBlock.sch @@ -0,0 +1,212 @@ +EESchema Schematic File Version 2 date 26.05.2010 12:57:49 +LIBS:UnderVoltageDetector24V-2Group_Experimental-cache +LIBS:UnderVoltageDetector24V-2Group_Experimental-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11700 8267 +Sheet 2 8 +Title "" +Date "26 may 2010" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SMALL_SYMBOL_CREATIVECOMMONS_TYP1 Sym1 +U 1 1 4BF58849 +P 3800 7400 +F 0 "Sym1" H 3800 7600 60 0001 C CNN +F 1 "SMALL_SYMBOL_CREATIVECOMMONS_TYP1" H 3850 7200 60 0001 C CNN + 1 3800 7400 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_SYMBOL_CC-SHAREALIKE Sym2 +U 1 1 4BF58848 +P 5100 7400 +F 0 "Sym2" H 5100 7600 60 0001 C CNN +F 1 "SMALL_SYMBOL_CC-SHAREALIKE" H 5150 7200 60 0001 C CNN + 1 5100 7400 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_SYMBOL_CC-ATTRIBUTION Sym3 +U 1 1 4BC1B8B9 +P 5500 7400 +F 0 "Sym3" H 5500 7600 60 0001 C CNN +F 1 "SMALL_SYMBOL_CC-ATTRIBUTION" H 5550 7200 60 0001 C CNN + 1 5500 7400 + 1 0 0 -1 +$EndComp +Text Notes 750 7600 0 60 ~ 0 +Author: Bernd Wiebus, Uedem /Germany, 11th April 2010 +Text Notes 7550 7550 0 60 ~ 0 +317 Type Regulator Building Block +Connection ~ 6350 4450 +Wire Wire Line + 6350 3700 6350 4450 +Connection ~ 5550 2900 +Wire Wire Line + 5550 3250 5550 2500 +Connection ~ 6350 2900 +Wire Wire Line + 6350 3200 6350 2900 +Connection ~ 7200 2050 +Wire Wire Line + 7200 2250 7200 2050 +Connection ~ 6400 1450 +Wire Wire Line + 6400 2050 6400 850 +Wire Wire Line + 6400 850 5650 850 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 8550 2850 8550 2050 +Wire Wire Line + 9050 3200 9050 4450 +Connection ~ 4700 2050 +Connection ~ 3650 4450 +Connection ~ 4400 2050 +Connection ~ 8550 4450 +Connection ~ 8550 2050 +Wire Wire Line + 4950 2050 1800 2050 +Wire Wire Line + 1750 4450 9750 4450 +Wire Wire Line + 9700 2050 6150 2050 +Connection ~ 9050 2050 +Connection ~ 9050 4450 +Connection ~ 3650 2050 +Connection ~ 4400 4450 +Connection ~ 6400 2050 +Wire Wire Line + 9050 2800 9050 2050 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 5250 850 4700 850 +Wire Wire Line + 4700 850 4700 2050 +Wire Wire Line + 6650 2250 6650 2050 +Connection ~ 6650 2050 +Wire Wire Line + 7200 2750 7200 2900 +Wire Wire Line + 7200 2900 5550 2900 +Wire Wire Line + 6650 2650 6650 2900 +Connection ~ 6650 2900 +Wire Wire Line + 5550 3650 5550 4450 +Connection ~ 5550 4450 +$Comp +L SMALL_DIODE-STANDARD D12 +U 1 1 4BF58846 +P 6450 2800 +F 0 "D12" H 6750 2800 30 0000 C CNN +F 1 "SMALL_DIODE-STANDARD" H 6750 3150 30 0000 C CNN + 1 6450 2800 + 0 1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C9 +U 1 1 4BF58845 +P 5350 3200 +F 0 "C9" H 5600 3250 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 5600 3600 30 0000 C CNN + 1 5350 3200 + 0 1 1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R10 +U 1 1 4BB8F2FB +P 6550 3750 +F 0 "R10" H 6850 4050 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 6900 3850 30 0000 C CNN + 1 6550 3750 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R11 +U 1 1 4BB8F2CE +P 7000 2200 +F 0 "R11" H 7300 2500 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 7350 2300 30 0000 C CNN + 1 7000 2200 + 0 1 1 0 +$EndComp +$Comp +L SMALL_DIODE-STANDARD D11 +U 1 1 4BF58842 +P 5800 1050 +F 0 "D11" H 6250 1300 30 0000 C CNN +F 1 "SMALL_DIODE-STANDARD" H 6150 1100 30 0000 C CNN + 1 5800 1050 + -1 0 0 -1 +$EndComp +$Comp +L SMALL_CAPACITOR C8 +U 1 1 4B8F91C2 +P 4200 2750 +F 0 "C8" H 4300 2800 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 4500 3250 30 0000 C CNN + 1 4200 2750 + 0 1 1 0 +$EndComp +$Comp +L SMALL_CAPACITOR_POLARISED C7 +U 1 1 4B8F91B9 +P 3450 2650 +F 0 "C7" H 3550 2700 30 0000 C CNN +F 1 "SMALL_CAPACITOR_POLARISED" H 3900 3100 30 0000 C CNN + 1 3450 2650 + 0 1 1 0 +$EndComp +$Comp +L SMALL_CAPACITOR_POLARISED C11 +U 1 1 4B8F918B +P 8850 2650 +F 0 "C11" H 8950 2700 30 0000 C CNN +F 1 "SMALL_CAPACITOR_POLARISED" H 9200 3050 30 0000 C CNN + 1 8850 2650 + 0 1 1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C10 +U 1 1 4B8F9171 +P 8350 2800 +F 0 "C10" H 8450 2800 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 8600 3150 30 0000 C CNN + 1 8350 2800 + 0 1 1 0 +$EndComp +$Comp +L REGULATOR317TYPE U6 +U 1 1 4B38ACD0 +P 5550 2050 +F 0 "U6" H 5850 1750 60 0000 C CNN +F 1 "REGULATOR317TYPE" H 5600 2350 60 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/5VRegulatorBuildingBlock.sch b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/5VRegulatorBuildingBlock.sch new file mode 100644 index 00000000..b58f67b1 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/5VRegulatorBuildingBlock.sch @@ -0,0 +1,238 @@ +EESchema Schematic File Version 2 date 26.05.2010 12:57:49 +LIBS:UnderVoltageDetector24V-2Group_Experimental-cache +LIBS:UnderVoltageDetector24V-2Group_Experimental-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11700 8267 +Sheet 7 8 +Title "" +Date "26 may 2010" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SMALL_SYMBOL_CREATIVECOMMONS_TYP1 Sym7 +U 1 1 4BC1B8D7 +P 3800 7400 +AR Path="/4BF576BE/4BF54CF4/4BC1B8D7" Ref="Sym7" Part="1" +AR Path="/4BF57658/4BF54CF4/4BC1B8D7" Ref="Sym4" Part="1" +F 0 "Sym4" H 3800 7600 60 0001 C CNN +F 1 "SMALL_SYMBOL_CREATIVECOMMONS_TYP1" H 3850 7200 60 0001 C CNN + 1 3800 7400 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_SYMBOL_CC-SHAREALIKE Sym8 +U 1 1 4BC1B8C7 +P 5100 7400 +AR Path="/4BF576BE/4BF54CF4/4BC1B8C7" Ref="Sym8" Part="1" +AR Path="/4BF57658/4BF54CF4/4BC1B8C7" Ref="Sym5" Part="1" +F 0 "Sym5" H 5100 7600 60 0001 C CNN +F 1 "SMALL_SYMBOL_CC-SHAREALIKE" H 5150 7200 60 0001 C CNN + 1 5100 7400 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_SYMBOL_CC-ATTRIBUTION Sym9 +U 1 1 4BF58847 +P 5500 7400 +AR Path="/4BF576BE/4BF54CF4/4BF58847" Ref="Sym9" Part="1" +AR Path="/4BF57658/4BF54CF4/4BF58847" Ref="Sym6" Part="1" +F 0 "Sym6" H 5500 7600 60 0001 C CNN +F 1 "SMALL_SYMBOL_CC-ATTRIBUTION" H 5550 7200 60 0001 C CNN + 1 5500 7400 + 1 0 0 -1 +$EndComp +Text Notes 750 7600 0 60 ~ 0 +Author: Bernd Wiebus, Uedem /Germany, 11th April 2010 +Text Notes 7550 7550 0 60 ~ 0 +317 Type Regulator Building Block +Connection ~ 6350 4450 +Wire Wire Line + 6350 3700 6350 4450 +Connection ~ 5550 2900 +Wire Wire Line + 5550 3250 5550 2500 +Connection ~ 6350 2900 +Wire Wire Line + 6350 3200 6350 2900 +Connection ~ 7200 2050 +Wire Wire Line + 7200 2250 7200 2050 +Connection ~ 6400 1450 +Wire Wire Line + 6400 2050 6400 850 +Wire Wire Line + 6400 850 5650 850 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 8550 2850 8550 2050 +Wire Wire Line + 9050 3200 9050 4450 +Connection ~ 4700 2050 +Connection ~ 3650 4450 +Connection ~ 4400 2050 +Connection ~ 8550 4450 +Connection ~ 8550 2050 +Wire Wire Line + 4950 2050 1800 2050 +Wire Wire Line + 1750 4450 9750 4450 +Wire Wire Line + 9700 2050 6150 2050 +Connection ~ 9050 2050 +Connection ~ 9050 4450 +Connection ~ 3650 2050 +Connection ~ 4400 4450 +Connection ~ 6400 2050 +Wire Wire Line + 9050 2800 9050 2050 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 5250 850 4700 850 +Wire Wire Line + 4700 850 4700 2050 +Wire Wire Line + 6650 2250 6650 2050 +Connection ~ 6650 2050 +Wire Wire Line + 7200 2750 7200 2900 +Wire Wire Line + 7200 2900 5550 2900 +Wire Wire Line + 6650 2650 6650 2900 +Connection ~ 6650 2900 +Wire Wire Line + 5550 3650 5550 4450 +Connection ~ 5550 4450 +$Comp +L SMALL_DIODE-STANDARD D16 +U 1 1 4BB8F372 +P 6450 2800 +AR Path="/4BF576BE/4BF54CF4/4BB8F372" Ref="D16" Part="1" +AR Path="/4BF57658/4BF54CF4/4BB8F372" Ref="D14" Part="1" +F 0 "D14" H 6750 2800 30 0000 C CNN +F 1 "SMALL_DIODE-STANDARD" H 6750 3150 30 0000 C CNN + 1 6450 2800 + 0 1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C26 +U 1 1 4BB8F343 +P 5350 3200 +AR Path="/4BF576BE/4BF54CF4/4BB8F343" Ref="C26" Part="1" +AR Path="/4BF57658/4BF54CF4/4BB8F343" Ref="C14" Part="1" +F 0 "C14" H 5600 3250 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 5600 3600 30 0000 C CNN + 1 5350 3200 + 0 1 1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R25 +U 1 1 4BF58844 +P 6550 3750 +AR Path="/4BF576BE/4BF54CF4/4BF58844" Ref="R25" Part="1" +AR Path="/4BF57658/4BF54CF4/4BF58844" Ref="R12" Part="1" +F 0 "R12" H 6850 4050 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 6900 3850 30 0000 C CNN + 1 6550 3750 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R26 +U 1 1 4BF58843 +P 7000 2200 +AR Path="/4BF576BE/4BF54CF4/4BF58843" Ref="R26" Part="1" +AR Path="/4BF57658/4BF54CF4/4BF58843" Ref="R13" Part="1" +F 0 "R13" H 7300 2500 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 7350 2300 30 0000 C CNN + 1 7000 2200 + 0 1 1 0 +$EndComp +$Comp +L SMALL_DIODE-STANDARD D15 +U 1 1 4BB8F23C +P 5800 1050 +AR Path="/4BF576BE/4BF54CF4/4BB8F23C" Ref="D15" Part="1" +AR Path="/4BF57658/4BF54CF4/4BB8F23C" Ref="D13" Part="1" +F 0 "D13" H 6250 1300 30 0000 C CNN +F 1 "SMALL_DIODE-STANDARD" H 6150 1100 30 0000 C CNN + 1 5800 1050 + -1 0 0 -1 +$EndComp +$Comp +L SMALL_CAPACITOR C25 +U 1 1 4BF58841 +P 4200 2750 +AR Path="/4BF576BE/4BF54CF4/4BF58841" Ref="C25" Part="1" +AR Path="/4BF57658/4BF54CF4/4BF58841" Ref="C13" Part="1" +F 0 "C13" H 4300 2800 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 4500 3250 30 0000 C CNN + 1 4200 2750 + 0 1 1 0 +$EndComp +$Comp +L SMALL_CAPACITOR_POLARISED C24 +U 1 1 4BF58840 +P 3450 2650 +AR Path="/4BF576BE/4BF54CF4/4BF58840" Ref="C24" Part="1" +AR Path="/4BF57658/4BF54CF4/4BF58840" Ref="C12" Part="1" +F 0 "C12" H 3550 2700 30 0000 C CNN +F 1 "SMALL_CAPACITOR_POLARISED" H 3900 3100 30 0000 C CNN + 1 3450 2650 + 0 1 1 0 +$EndComp +$Comp +L SMALL_CAPACITOR_POLARISED C28 +U 1 1 4BF5883F +P 8850 2650 +AR Path="/4BF576BE/4BF54CF4/4BF5883F" Ref="C28" Part="1" +AR Path="/4BF57658/4BF54CF4/4BF5883F" Ref="C16" Part="1" +F 0 "C16" H 8950 2700 30 0000 C CNN +F 1 "SMALL_CAPACITOR_POLARISED" H 9200 3050 30 0000 C CNN + 1 8850 2650 + 0 1 1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C27 +U 1 1 4BF5883E +P 8350 2800 +AR Path="/4BF576BE/4BF54CF4/4BF5883E" Ref="C27" Part="1" +AR Path="/4BF57658/4BF54CF4/4BF5883E" Ref="C15" Part="1" +F 0 "C15" H 8450 2800 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 8600 3150 30 0000 C CNN + 1 8350 2800 + 0 1 1 0 +$EndComp +$Comp +L REGULATOR317TYPE U8 +U 1 1 4BF5883D +P 5550 2050 +AR Path="/4BF576BE/4BF54CF4/4BF5883D" Ref="U8" Part="1" +AR Path="/4BF57658/4BF54CF4/4BF5883D" Ref="U7" Part="1" +F 0 "U7" H 5850 1750 60 0000 C CNN +F 1 "REGULATOR317TYPE" H 5600 2350 60 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageDetectorBuildingBlock-cache.lib b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageDetectorBuildingBlock-cache.lib new file mode 100644 index 00000000..03d04b91 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageDetectorBuildingBlock-cache.lib @@ -0,0 +1,73 @@ +EESchema-LIBRARY Version 2.3 Date: 26.05.2010 13:10:50 +# +# NE555 +# +DEF NE555 IC 0 40 Y Y 1 F N +F0 "IC" 0 250 30 H V C CNN +F1 "NE555" 0 -250 30 H V C CNN +DRAW +X GND 1 -300 150 100 R 30 30 0 0 W +X TR 2 -300 50 100 R 30 30 0 0 I +X Q 3 -300 -50 100 R 30 30 0 0 O +X R 4 -300 -150 100 R 30 30 0 0 I I +X CV 5 300 -150 100 L 30 30 0 0 I +X THR 6 300 -50 100 L 30 30 0 0 I +X DIS 7 300 50 100 L 30 30 0 0 O +X VCC 8 300 150 100 L 30 30 0 0 W +S -200 200 200 -200 0 1 0 N +ENDDRAW +ENDDEF +# +# Small_CAPACITOR +# +DEF Small_CAPACITOR C 0 0 N N 1 F N +F0 "C" 150 250 30 H V C CNN +F1 "Small_CAPACITOR" 250 50 30 H V C CNN +DRAW +P 3 0 1 0 200 200 225 200 225 200 F +P 3 0 1 0 225 300 225 100 225 100 F +P 3 0 1 0 275 300 275 100 275 100 F +P 3 0 1 0 300 200 275 200 275 200 F +X ~ 1 50 200 150 R 50 50 1 1 P +X ~ 2 450 200 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Small_CAPACITOR_POLARISED_OLD_TYPE +# +DEF Small_CAPACITOR_POLARISED_OLD_TYPE C 0 0 N N 1 F N +F0 "C" 400 350 30 H V C CNN +F1 "Small_CAPACITOR_POLARISED_OLD_TYPE" 600 50 30 H V C CNN +DRAW +X ~ 1 200 200 150 R 30 30 1 0 P +X ~ 2 600 200 150 L 30 30 1 0 P +S 265 270 265 275 0 1 0 F +S 265 275 270 265 0 1 0 F +S 270 260 265 270 0 1 0 F +S 270 275 325 260 0 1 0 F +S 295 295 310 230 0 1 0 F +S 300 290 310 305 0 1 0 F +S 300 295 295 305 0 1 0 F +S 300 300 295 300 0 1 0 F +S 325 275 335 260 0 1 0 F +S 370 310 390 90 0 1 0 N +S 410 310 430 90 0 1 0 F +S 455 280 510 265 0 1 0 F +P 3 0 1 0 350 200 370 200 370 200 F +P 3 0 1 0 450 200 425 200 425 200 F +ENDDRAW +ENDDEF +# +# Small_RESISTOR +# +DEF Small_RESISTOR R 0 0 N N 1 F N +F0 "R" 300 300 30 H V C CNN +F1 "Small_RESISTOR " 350 100 30 H V C CNN +DRAW +X ~ 1 50 200 150 R 50 50 0 0 P +X ~ 2 550 200 150 L 50 50 0 0 P +S 200 250 400 150 0 1 0 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageDetectorBuildingBlock.pro b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageDetectorBuildingBlock.pro new file mode 100644 index 00000000..433d056f --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageDetectorBuildingBlock.pro @@ -0,0 +1,39 @@ +update=26.05.2010 13:10:55 +last_client=eeschema +[eeschema] +version=1 +LibDir=..\\BuildingBlocksExperimental +NetFmt=1 +HPGLSpd=20 +HPGLDm=15 +HPGLNum=1 +offX_A4=0 +offY_A4=0 +offX_A3=0 +offY_A3=0 +offX_A2=0 +offY_A2=0 +offX_A1=0 +offY_A1=0 +offX_A0=0 +offY_A0=0 +offX_A=0 +offY_A=0 +offX_B=0 +offY_B=0 +offX_C=0 +offY_C=0 +offX_D=0 +offY_D=0 +offX_E=0 +offY_E=0 +RptD_X=0 +RptD_Y=100 +RptLab=1 +SimCmd= +UseNetN=0 +LabSize=60 +PrintMonochrome=1 +ShowSheetReferenceAndTitleBlock=1 +[eeschema/libraries] +LibName1=VoltageDetectorBuildingBlock-cache diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageDetectorBuildingBlock.sch b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageDetectorBuildingBlock.sch new file mode 100644 index 00000000..2a27e528 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageDetectorBuildingBlock.sch @@ -0,0 +1,368 @@ +EESchema Schematic File Version 2 date 26.05.2010 13:10:50 +LIBS:VoltageDetectorBuildingBlock-cache +LIBS:VoltageDetectorBuildingBlock-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11700 8267 +Sheet 1 1 +Title "" +Date "20 may 2010" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Connection ~ 6200 5150 +Wire Wire Line + 8950 5150 2500 5150 +Connection ~ 7450 5150 +Connection ~ 5050 5150 +Connection ~ 4350 5150 +Connection ~ 2900 5150 +Connection ~ 8950 4200 +Wire Wire Line + 8950 4600 8950 4200 +Wire Wire Line + 10500 4200 9700 4200 +Wire Wire Line + 2900 5150 2900 4550 +Wire Wire Line + 7450 5150 7450 5000 +Wire Wire Line + 7100 3750 7850 3750 +Wire Wire Line + 3550 5150 3550 4550 +Connection ~ 3200 3650 +Connection ~ 2900 3650 +Wire Wire Line + 3200 3650 2900 3650 +Connection ~ 4350 3650 +Connection ~ 4650 3650 +Wire Wire Line + 4350 3650 4650 3650 +Wire Wire Line + 3200 5150 3200 4550 +Wire Wire Line + 4350 5150 4350 4550 +Wire Wire Line + 4350 3500 4350 4050 +Wire Wire Line + 2300 2850 4650 2850 +Connection ~ 6200 2700 +Wire Wire Line + 5700 2700 6650 2700 +Connection ~ 6200 3950 +Wire Wire Line + 6200 5150 6200 2700 +Wire Wire Line + 5050 5150 5050 4550 +Wire Wire Line + 7850 3750 7850 4500 +Wire Wire Line + 7100 3950 7450 3950 +Wire Wire Line + 7450 3950 7450 4600 +Wire Wire Line + 6650 3050 6350 3050 +Wire Wire Line + 6350 3050 6350 3650 +Wire Wire Line + 6350 3650 6500 3650 +Connection ~ 7300 3050 +Wire Wire Line + 7300 2700 7150 2700 +Wire Wire Line + 4650 2850 4650 3000 +Wire Wire Line + 3200 2850 3200 3000 +Wire Wire Line + 3200 3500 3200 4050 +Connection ~ 5050 3950 +Wire Wire Line + 5050 4150 5050 3950 +Wire Wire Line + 4650 3500 4650 4050 +Connection ~ 4650 3950 +Connection ~ 3200 3750 +Wire Wire Line + 7300 3350 7050 3350 +Wire Wire Line + 7100 3650 7300 3650 +Wire Wire Line + 7300 3050 7050 3050 +Connection ~ 7300 3350 +Wire Wire Line + 6500 3850 6400 3850 +Wire Wire Line + 6400 3850 6400 4200 +Wire Wire Line + 6200 3950 6500 3950 +Wire Wire Line + 6650 3350 6350 3350 +Connection ~ 6350 3350 +Wire Wire Line + 4650 3950 5900 3950 +Wire Wire Line + 5900 3950 5900 4400 +Wire Wire Line + 5900 4400 7250 4400 +Wire Wire Line + 7250 4400 7250 3850 +Wire Wire Line + 7250 3850 7100 3850 +Wire Wire Line + 7300 3650 7300 2300 +Connection ~ 7300 2700 +Connection ~ 3200 2850 +Wire Wire Line + 2500 4100 2500 2850 +Connection ~ 2500 2850 +Wire Wire Line + 4350 3000 4350 2850 +Connection ~ 4350 2850 +Wire Wire Line + 2900 3000 2900 2850 +Connection ~ 2900 2850 +Wire Wire Line + 4650 5150 4650 4550 +Wire Wire Line + 2900 3500 2900 4050 +Wire Wire Line + 3550 4150 3550 3750 +Wire Wire Line + 3550 3750 3600 3750 +Connection ~ 3600 3750 +Wire Wire Line + 6500 3750 3200 3750 +Wire Wire Line + 8950 5150 8950 5000 +Wire Wire Line + 7850 5150 7850 5000 +Wire Wire Line + 2500 5150 2500 4500 +Wire Wire Line + 6400 4200 9200 4200 +Connection ~ 3200 5150 +Connection ~ 3550 5150 +Connection ~ 4650 5150 +Connection ~ 7850 5150 +Text HLabel 10500 4200 2 60 UnSpc ~ 0 +Signal +$Comp +L SMALL_CAPACITOR C35 +U 1 1 4BF5464D +P 9150 5050 +AR Path="/4BF576BE/4BF54D51/4BF5464D" Ref="C35" Part="1" +AR Path="/4BF57658/4BF54D51/4BF5464D" Ref="C23" Part="1" +F 0 "C35" H 9300 5300 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 9400 5100 30 0000 C CNN + 1 9150 5050 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R28 +U 1 1 4BF545F2 +P 3100 4600 +AR Path="/4BF576BE/4BF54D51/4BF545F2" Ref="R28" Part="1" +AR Path="/4BF57658/4BF54D51/4BF545F2" Ref="R15" Part="1" +F 0 "R28" H 3400 4900 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 3450 4700 30 0000 C CNN + 1 3100 4600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R32 +U 1 1 4BF5459D +P 4550 4600 +AR Path="/4BF576BE/4BF54D51/4BF5459D" Ref="R32" Part="1" +AR Path="/4BF57658/4BF54D51/4BF5459D" Ref="R19" Part="1" +F 0 "R32" H 4850 4900 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 4900 4700 30 0000 C CNN + 1 4550 4600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R31 +U 1 1 4BF54567 +P 4550 3550 +AR Path="/4BF576BE/4BF54D51/4BF54567" Ref="R31" Part="1" +AR Path="/4BF57658/4BF54D51/4BF54567" Ref="R18" Part="1" +F 0 "R31" H 4850 3850 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 4900 3650 30 0000 C CNN + 1 4550 3550 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R27 +U 1 1 4BF54562 +P 3100 3550 +AR Path="/4BF576BE/4BF54D51/4BF54562" Ref="R27" Part="1" +AR Path="/4BF57658/4BF54D51/4BF54562" Ref="R14" Part="1" +F 0 "R27" H 3400 3850 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 3450 3650 30 0000 C CNN + 1 3100 3550 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C29 +U 1 1 4BF53A6A +P 2700 4550 +AR Path="/4BF576BE/4BF54D51/4BF53A6A" Ref="C29" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53A6A" Ref="C17" Part="1" +F 0 "C29" H 2850 4800 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 2950 4600 30 0000 C CNN + 1 2700 4550 + 0 -1 -1 0 +$EndComp +Text HLabel 7300 2300 1 60 UnSpc ~ 0 ++Ustab +Text HLabel 5700 2700 0 60 UnSpc ~ 0 +Common- +Text HLabel 2300 2850 0 60 UnSpc ~ 0 +VMeasure +$Comp +L SMALL_RESISTOR~ R36 +U 1 1 4BF53415 +P 8050 5050 +AR Path="/4BF576BE/4BF54D51/4BF53415" Ref="R36" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53415" Ref="R23" Part="1" +F 0 "R36" H 8350 5350 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 8400 5150 30 0000 C CNN + 1 8050 5050 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R35 +U 1 1 4BF533C8 +P 6600 2900 +AR Path="/4BF576BE/4BF54D51/4BF533C8" Ref="R35" Part="1" +AR Path="/4BF57658/4BF54D51/4BF533C8" Ref="R22" Part="1" +F 0 "R35" H 6900 3200 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 6950 3000 30 0000 C CNN + 1 6600 2900 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_RESISTOR~ R29 +U 1 1 4BF531B8 +P 3400 3550 +AR Path="/4BF576BE/4BF54D51/4BF531B8" Ref="R29" Part="1" +AR Path="/4BF57658/4BF54D51/4BF531B8" Ref="R16" Part="1" +F 0 "R29" H 3700 3850 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 3750 3650 30 0000 C CNN + 1 3400 3550 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R30 +U 1 1 4BF531B6 +P 3400 4600 +AR Path="/4BF576BE/4BF54D51/4BF531B6" Ref="R30" Part="1" +AR Path="/4BF57658/4BF54D51/4BF531B6" Ref="R17" Part="1" +F 0 "R30" H 3700 4900 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 3750 4700 30 0000 C CNN + 1 3400 4600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C30 +U 1 1 4BF531B5 +P 3750 4600 +AR Path="/4BF576BE/4BF54D51/4BF531B5" Ref="C30" Part="1" +AR Path="/4BF57658/4BF54D51/4BF531B5" Ref="C18" Part="1" +F 0 "C30" H 3900 4850 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 4000 4650 30 0000 C CNN + 1 3750 4600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C31 +U 1 1 4BF531A4 +P 5250 4600 +AR Path="/4BF576BE/4BF54D51/4BF531A4" Ref="C31" Part="1" +AR Path="/4BF57658/4BF54D51/4BF531A4" Ref="C19" Part="1" +F 0 "C31" H 5400 4850 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 5500 4650 30 0000 C CNN + 1 5250 4600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R34 +U 1 1 4BF53197 +P 4850 4600 +AR Path="/4BF576BE/4BF54D51/4BF53197" Ref="R34" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53197" Ref="R21" Part="1" +F 0 "R34" H 5150 4900 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 5200 4700 30 0000 C CNN + 1 4850 4600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R33 +U 1 1 4BF53185 +P 4850 3550 +AR Path="/4BF576BE/4BF54D51/4BF53185" Ref="R33" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53185" Ref="R20" Part="1" +F 0 "R33" H 5150 3850 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 5200 3650 30 0000 C CNN + 1 4850 3550 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR_POLARISED_OLD_TYPE C33 +U 1 1 4BF5314C +P 7250 3250 +AR Path="/4BF576BE/4BF54D51/4BF5314C" Ref="C33" Part="1" +AR Path="/4BF57658/4BF54D51/4BF5314C" Ref="C21" Part="1" +F 0 "C33" H 7650 3600 30 0000 C CNN +F 1 "SMALL_CAPACITOR_POLARISED_OLD_TYPE" H 7850 3300 30 0000 C CNN + 1 7250 3250 + -1 0 0 -1 +$EndComp +$Comp +L SMALL_CAPACITOR C34 +U 1 1 4BF53132 +P 7650 5050 +AR Path="/4BF576BE/4BF54D51/4BF53132" Ref="C34" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53132" Ref="C22" Part="1" +F 0 "C34" H 7800 5300 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 7900 5100 30 0000 C CNN + 1 7650 5050 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C32 +U 1 1 4BF53126 +P 6600 3550 +AR Path="/4BF576BE/4BF54D51/4BF53126" Ref="C32" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53126" Ref="C20" Part="1" +F 0 "C32" H 6750 3800 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 6850 3600 30 0000 C CNN + 1 6600 3550 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_RESISTOR~ R37 +U 1 1 4BF53118 +P 9150 4400 +AR Path="/4BF576BE/4BF54D51/4BF53118" Ref="R37" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53118" Ref="R24" Part="1" +F 0 "R37" H 9450 4700 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 9500 4500 30 0000 C CNN + 1 9150 4400 + 1 0 0 -1 +$EndComp +$Comp +L NE555 IC4 +U 1 1 4BF52FB8 +P 6800 3800 +AR Path="/4BF576BE/4BF54D51/4BF52FB8" Ref="IC4" Part="1" +AR Path="/4BF57658/4BF54D51/4BF52FB8" Ref="IC3" Part="1" +F 0 "IC4" H 6800 4050 30 0000 C CNN +F 1 "NE555" H 6800 3550 30 0000 C CNN + 1 6800 3800 + 1 0 0 -1 +$EndComp +$EndSCHEMATC diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageRegulatorBuildingBlock-cache.lib b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageRegulatorBuildingBlock-cache.lib new file mode 100644 index 00000000..650f426c --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageRegulatorBuildingBlock-cache.lib @@ -0,0 +1,150 @@ +EESchema-LIBRARY Version 2.3 Date: 26.05.2010 13:10:35 +# +# REGULATOR317TYPE +# +DEF REGULATOR317TYPE IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "REGULATOR317TYPE" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 U +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Small_CAPACITOR +# +DEF Small_CAPACITOR C 0 0 N N 1 F N +F0 "C" 150 250 30 H V C CNN +F1 "Small_CAPACITOR" 250 50 30 H V C CNN +DRAW +P 3 0 1 0 200 200 225 200 225 200 F +P 3 0 1 0 225 300 225 100 225 100 F +P 3 0 1 0 275 300 275 100 275 100 F +P 3 0 1 0 300 200 275 200 275 200 F +X ~ 1 50 200 150 R 50 50 1 1 P +X ~ 2 450 200 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Small_CAPACITOR_POLARISED +# +DEF Small_CAPACITOR_POLARISED C 0 0 N N 1 F N +F0 "C" 450 250 30 H V C CNN +F1 "Small_CAPACITOR_POLARISED" 450 50 30 H V C CNN +DRAW +P 2 0 0 0 245 285 245 285 N +P 2 0 0 0 250 270 250 270 N +P 2 0 0 0 250 270 250 270 N +P 2 0 0 0 250 270 250 270 N +P 3 0 0 0 225 280 220 280 220 280 N +P 3 0 0 0 225 280 225 275 225 275 N +P 3 0 0 0 235 280 235 275 235 275 N +P 3 0 0 0 240 280 240 275 240 275 N +P 3 0 0 0 245 255 250 255 250 255 N +P 3 0 0 0 245 260 250 260 250 260 N +P 3 0 0 0 245 265 250 265 250 265 N +P 3 0 0 0 245 270 250 270 250 270 N +P 3 0 0 0 245 275 245 250 245 250 N +P 3 0 0 0 245 280 225 280 225 280 N +P 3 0 0 0 245 280 245 275 245 275 N +P 3 0 0 0 245 280 250 280 250 280 N +P 3 0 0 0 245 285 250 285 250 285 N +P 3 0 0 0 250 285 245 285 245 285 N +P 3 0 0 0 250 300 245 300 245 300 N +P 3 0 0 0 250 300 250 305 250 305 N +P 3 0 0 0 255 275 255 275 255 275 N +P 3 0 0 0 255 275 255 275 255 275 N +P 3 0 0 0 255 280 255 275 255 275 N +P 3 0 0 0 260 280 260 275 260 275 N +P 3 0 0 0 265 280 265 275 265 275 N +P 3 0 0 0 270 280 270 275 270 275 N +P 4 0 0 0 230 275 230 275 230 280 230 280 N +P 4 0 0 0 245 285 250 285 250 285 250 285 N +P 4 0 0 0 245 290 250 290 250 290 250 290 N +P 4 0 0 0 245 295 250 295 250 295 250 295 N +P 4 0 0 0 250 280 250 250 245 250 245 250 N +P 4 0 0 0 250 305 245 305 245 280 245 280 N +P 5 0 0 0 220 280 220 275 275 275 275 280 275 280 N +P 6 0 0 0 275 280 250 280 250 300 250 300 250 300 250 300 N +X ~ 1 150 200 150 R 50 50 1 0 P +X ~ 2 550 200 150 L 50 50 1 0 P +P 3 0 1 0 300 200 325 200 325 200 F +P 3 0 1 0 325 300 325 100 325 100 F +P 3 0 1 0 375 100 375 125 375 125 F +P 3 0 1 0 375 125 375 125 375 125 F +P 3 0 1 0 375 300 375 125 375 125 F +P 3 0 1 0 400 200 375 200 375 200 F +ENDDRAW +ENDDEF +# +# Small_DIODE-STANDARD +# +DEF Small_DIODE-STANDARD D 0 0 N N 1 F N +F0 "D" 450 250 30 H V C CNN +F1 "Small_DIODE-STANDARD" 350 50 30 H V C CNN +DRAW +P 3 0 0 0 300 200 400 200 400 200 N +P 3 0 0 0 400 300 400 100 400 100 N +P 5 0 0 0 400 200 300 300 300 100 400 200 400 200 N +X A 1 150 200 150 R 30 30 1 0 P +X K 2 550 200 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# Small_RESISTOR +# +DEF Small_RESISTOR R 0 0 N N 1 F N +F0 "R" 300 300 30 H V C CNN +F1 "Small_RESISTOR " 350 100 30 H V C CNN +DRAW +X ~ 1 50 200 150 R 50 50 0 0 P +X ~ 2 550 200 150 L 50 50 0 0 P +S 200 250 400 150 0 1 0 N +ENDDRAW +ENDDEF +# +# Small_SYMBOL_CC-Attribution +# +DEF ~Small_SYMBOL_CC-Attribution Sym 0 40 Y Y 1 F N +F0 "Sym" 0 200 60 H I C CNN +F1 "Small_SYMBOL_CC-Attribution" 50 -200 60 H I C CNN +DRAW +C 0 0 126 0 0 39 N +C 0 75 11 0 0 20 N +S -35 45 30 -15 0 0 20 F +S -10 -25 10 -80 0 0 20 N +ENDDRAW +ENDDEF +# +# Small_SYMBOL_CC-ShareAlike +# +DEF ~Small_SYMBOL_CC-ShareAlike Sym 0 40 Y Y 1 F N +F0 "Sym" 0 200 60 H I C CNN +F1 "Small_SYMBOL_CC-ShareAlike" 50 -200 60 H I C CNN +DRAW +A 0 2 72 -899 899 0 0 20 N 0 -70 0 75 +A 4 2 73 931 1615 0 0 20 N 0 75 -65 25 +A 6 -5 65 -952 -1713 0 0 20 N 0 -70 -60 -15 +C 0 0 126 0 0 39 N +P 3 0 0 20 -65 25 -70 45 -70 45 N +P 3 0 0 20 -65 25 -45 35 -45 35 N +ENDDRAW +ENDDEF +# +# Small_SYMBOL_CREATIVECOMMONS_Typ1 +# +DEF ~Small_SYMBOL_CREATIVECOMMONS_Typ1 Sym 0 40 Y Y 1 F N +F0 "Sym" 0 200 60 H I C CNN +F1 "Small_SYMBOL_CREATIVECOMMONS_Typ1" 50 -200 60 H I C CNN +DRAW +A -30 -5 45 901 -901 0 0 39 N -30 40 -30 -50 +A 60 -5 45 901 -901 0 0 39 N 60 40 60 -50 +C 0 0 126 0 0 39 N +T 0 590 -90 118 0 0 0 Commons Normal 0 C C +T 0 520 60 118 0 0 0 Creative Normal 0 C C +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageRegulatorBuildingBlock.pro b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageRegulatorBuildingBlock.pro new file mode 100644 index 00000000..a681e04c --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageRegulatorBuildingBlock.pro @@ -0,0 +1,39 @@ +update=26.05.2010 13:10:39 +last_client=eeschema +[eeschema] +version=1 +LibDir=..\\BuildingBlocksExperimental +NetFmt=1 +HPGLSpd=20 +HPGLDm=15 +HPGLNum=1 +offX_A4=0 +offY_A4=0 +offX_A3=0 +offY_A3=0 +offX_A2=0 +offY_A2=0 +offX_A1=0 +offY_A1=0 +offX_A0=0 +offY_A0=0 +offX_A=0 +offY_A=0 +offX_B=0 +offY_B=0 +offX_C=0 +offY_C=0 +offX_D=0 +offY_D=0 +offX_E=0 +offY_E=0 +RptD_X=0 +RptD_Y=100 +RptLab=1 +SimCmd= +UseNetN=0 +LabSize=60 +PrintMonochrome=1 +ShowSheetReferenceAndTitleBlock=1 +[eeschema/libraries] +LibName1=VoltageRegulatorBuildingBlock-cache diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageRegulatorBuildingBlock.sch b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageRegulatorBuildingBlock.sch new file mode 100644 index 00000000..15889de6 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/BuildingBlocksExperimental/VoltageRegulatorBuildingBlock.sch @@ -0,0 +1,212 @@ +EESchema Schematic File Version 2 date 26.05.2010 13:10:35 +LIBS:VoltageRegulatorBuildingBlock-cache +LIBS:VoltageRegulatorBuildingBlock-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11700 8267 +Sheet 1 1 +Title "" +Date "26 may 2010" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L SMALL_SYMBOL_CREATIVECOMMONS_TYP1 Sym1 +U 1 1 4BF58849 +P 3800 7400 +F 0 "Sym1" H 3800 7600 60 0001 C CNN +F 1 "SMALL_SYMBOL_CREATIVECOMMONS_TYP1" H 3850 7200 60 0001 C CNN + 1 3800 7400 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_SYMBOL_CC-SHAREALIKE Sym2 +U 1 1 4BF58848 +P 5100 7400 +F 0 "Sym2" H 5100 7600 60 0001 C CNN +F 1 "SMALL_SYMBOL_CC-SHAREALIKE" H 5150 7200 60 0001 C CNN + 1 5100 7400 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_SYMBOL_CC-ATTRIBUTION Sym3 +U 1 1 4BC1B8B9 +P 5500 7400 +F 0 "Sym3" H 5500 7600 60 0001 C CNN +F 1 "SMALL_SYMBOL_CC-ATTRIBUTION" H 5550 7200 60 0001 C CNN + 1 5500 7400 + 1 0 0 -1 +$EndComp +Text Notes 750 7600 0 60 ~ 0 +Author: Bernd Wiebus, Uedem /Germany, 11th April 2010 +Text Notes 7550 7550 0 60 ~ 0 +317 Type Regulator Building Block +Connection ~ 6350 4450 +Wire Wire Line + 6350 3700 6350 4450 +Connection ~ 5550 2900 +Wire Wire Line + 5550 3250 5550 2500 +Connection ~ 6350 2900 +Wire Wire Line + 6350 3200 6350 2900 +Connection ~ 7200 2050 +Wire Wire Line + 7200 2250 7200 2050 +Connection ~ 6400 1450 +Wire Wire Line + 6400 2050 6400 850 +Wire Wire Line + 6400 850 5650 850 +Wire Wire Line + 3650 2800 3650 2050 +Wire Wire Line + 4400 2800 4400 2050 +Wire Wire Line + 8550 2850 8550 2050 +Wire Wire Line + 9050 3200 9050 4450 +Connection ~ 4700 2050 +Connection ~ 3650 4450 +Connection ~ 4400 2050 +Connection ~ 8550 4450 +Connection ~ 8550 2050 +Wire Wire Line + 4950 2050 1800 2050 +Wire Wire Line + 1750 4450 9750 4450 +Wire Wire Line + 9700 2050 6150 2050 +Connection ~ 9050 2050 +Connection ~ 9050 4450 +Connection ~ 3650 2050 +Connection ~ 4400 4450 +Connection ~ 6400 2050 +Wire Wire Line + 9050 2800 9050 2050 +Wire Wire Line + 8550 3250 8550 4450 +Wire Wire Line + 4400 3200 4400 4450 +Wire Wire Line + 3650 3200 3650 4450 +Wire Wire Line + 5250 850 4700 850 +Wire Wire Line + 4700 850 4700 2050 +Wire Wire Line + 6650 2250 6650 2050 +Connection ~ 6650 2050 +Wire Wire Line + 7200 2750 7200 2900 +Wire Wire Line + 7200 2900 5550 2900 +Wire Wire Line + 6650 2650 6650 2900 +Connection ~ 6650 2900 +Wire Wire Line + 5550 3650 5550 4450 +Connection ~ 5550 4450 +$Comp +L SMALL_DIODE-STANDARD D12 +U 1 1 4BF58846 +P 6450 2800 +F 0 "D12" H 6750 2800 30 0000 C CNN +F 1 "SMALL_DIODE-STANDARD" H 6750 3150 30 0000 C CNN + 1 6450 2800 + 0 1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C9 +U 1 1 4BF58845 +P 5350 3200 +F 0 "C9" H 5600 3250 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 5600 3600 30 0000 C CNN + 1 5350 3200 + 0 1 1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R10 +U 1 1 4BB8F2FB +P 6550 3750 +F 0 "R10" H 6850 4050 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 6900 3850 30 0000 C CNN + 1 6550 3750 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R11 +U 1 1 4BB8F2CE +P 7000 2200 +F 0 "R11" H 7300 2500 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 7350 2300 30 0000 C CNN + 1 7000 2200 + 0 1 1 0 +$EndComp +$Comp +L SMALL_DIODE-STANDARD D11 +U 1 1 4BF58842 +P 5800 1050 +F 0 "D11" H 6250 1300 30 0000 C CNN +F 1 "SMALL_DIODE-STANDARD" H 6150 1100 30 0000 C CNN + 1 5800 1050 + -1 0 0 -1 +$EndComp +$Comp +L SMALL_CAPACITOR C8 +U 1 1 4B8F91C2 +P 4200 2750 +F 0 "C8" H 4300 2800 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 4500 3250 30 0000 C CNN + 1 4200 2750 + 0 1 1 0 +$EndComp +$Comp +L SMALL_CAPACITOR_POLARISED C7 +U 1 1 4B8F91B9 +P 3450 2650 +F 0 "C7" H 3550 2700 30 0000 C CNN +F 1 "SMALL_CAPACITOR_POLARISED" H 3900 3100 30 0000 C CNN + 1 3450 2650 + 0 1 1 0 +$EndComp +$Comp +L SMALL_CAPACITOR_POLARISED C11 +U 1 1 4B8F918B +P 8850 2650 +F 0 "C11" H 8950 2700 30 0000 C CNN +F 1 "SMALL_CAPACITOR_POLARISED" H 9200 3050 30 0000 C CNN + 1 8850 2650 + 0 1 1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C10 +U 1 1 4B8F9171 +P 8350 2800 +F 0 "C10" H 8450 2800 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 8600 3150 30 0000 C CNN + 1 8350 2800 + 0 1 1 0 +$EndComp +$Comp +L REGULATOR317TYPE U6 +U 1 1 4B38ACD0 +P 5550 2050 +F 0 "U6" H 5850 1750 60 0000 C CNN +F 1 "REGULATOR317TYPE" H 5600 2350 60 0000 C CNN + 1 5550 2050 + 1 0 0 -1 +$EndComp +Text HLabel 9700 2050 2 60 Input ~ 0 +Stab. +Text HLabel 9750 4450 2 60 Input ~ 0 +GND +Text HLabel 1750 4450 0 60 Input ~ 0 +DCin- +Text HLabel 1800 2050 0 60 Input ~ 0 +DCin+ +$EndSCHEMATC diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental-cache.lib b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental-cache.lib new file mode 100644 index 00000000..dd87b1b5 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental-cache.lib @@ -0,0 +1,397 @@ +EESchema-LIBRARY Version 2.3 Date: 26.05.2010 12:57:49 +# +# Big_Terminal_Block-3Pin +# +DEF Big_Terminal_Block-3Pin X 0 0 Y N 1 F N +F0 "X" 800 450 60 H V C CNN +F1 "Big_Terminal_Block-3Pin" 600 50 30 H V C CNN +DRAW +X ~ 1 500 150 300 R 50 50 0 0 P +X ~ 2 500 250 300 R 50 50 0 0 P +X ~ 3 500 350 300 R 50 50 0 0 P +S 800 100 1000 200 0 1 0 N +S 800 200 1000 300 0 1 0 N +S 800 300 1000 400 0 1 0 N +ENDDRAW +ENDDEF +# +# Big_Terminal_Block-5Pin +# +DEF Big_Terminal_Block-5Pin X 0 0 Y N 1 F N +F0 "X" 800 650 60 H V C CNN +F1 "Big_Terminal_Block-5Pin" 600 50 60 H V C CNN +DRAW +X ~ 1 500 150 300 R 50 50 0 0 P +X ~ 2 500 250 300 R 50 50 0 0 P +X ~ 3 500 350 300 R 50 50 0 0 P +X ~ 4 500 450 300 R 50 50 0 0 P +X ~ 5 500 550 300 R 50 50 0 0 P +S 800 100 1000 200 0 1 0 N +S 800 200 1000 300 0 1 0 N +S 800 300 1000 400 0 1 0 N +S 800 500 1000 400 0 1 0 N +S 800 600 1000 500 0 1 0 N +ENDDRAW +ENDDEF +# +# NE555 +# +DEF NE555 IC 0 40 Y Y 1 F N +F0 "IC" 0 250 30 H V C CNN +F1 "NE555" 0 -250 30 H V C CNN +DRAW +X GND 1 -300 150 100 R 30 30 0 0 W +X TR 2 -300 50 100 R 30 30 0 0 I +X Q 3 -300 -50 100 R 30 30 0 0 O +X R 4 -300 -150 100 R 30 30 0 0 I I +X CV 5 300 -150 100 L 30 30 0 0 I +X THR 6 300 -50 100 L 30 30 0 0 I +X DIS 7 300 50 100 L 30 30 0 0 O +X VCC 8 300 150 100 L 30 30 0 0 W +S -200 200 200 -200 0 1 0 N +ENDDRAW +ENDDEF +# +# Optocoupler_SFH617A-1_SingleChannel +# +DEF Optocoupler_SFH617A-1_SingleChannel IC 0 40 Y Y 1 F N +F0 "IC" 0 150 30 H V C CNN +F1 "Optocoupler_SFH617A-1_SingleChannel" 0 -150 30 H V C CNN +DRAW +S -200 100 200 -100 0 1 0 N +P 3 0 1 0 -155 0 -110 0 -110 0 N +P 3 0 1 0 -30 25 10 5 10 5 N +P 3 0 1 0 10 -20 0 -20 0 -20 N +P 3 0 1 0 10 5 0 5 0 5 N +P 3 0 1 0 10 5 5 15 5 15 N +P 3 0 1 0 60 30 60 -25 60 -25 N +P 3 0 1 0 85 -35 75 -35 75 -35 N +P 3 0 1 0 85 -35 85 -25 85 -25 N +P 4 0 1 0 -130 0 -130 -50 -180 -50 -180 -50 N +P 4 0 1 0 -130 25 -130 50 -180 50 -180 50 N +P 4 0 1 0 -30 0 10 -20 5 -10 5 -10 N +P 4 0 1 0 60 -5 95 -50 165 -50 165 -50 N +P 4 0 1 0 60 10 90 50 165 50 165 50 N +P 5 0 1 0 -130 0 -145 25 -115 25 -130 0 -130 0 N +X ~ 1 -300 50 100 R 39 50 1 1 P +X ~ 2 -300 -50 100 R 39 50 1 1 P +X ~ 3 300 -50 100 L 39 50 1 1 P +X ~ 4 300 50 100 L 39 50 1 1 P +ENDDRAW +ENDDEF +# +# REGULATOR317TYPE +# +DEF REGULATOR317TYPE IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "REGULATOR317TYPE" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 U +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Small_CAPACITOR +# +DEF Small_CAPACITOR C 0 0 N N 1 F N +F0 "C" 150 250 30 H V C CNN +F1 "Small_CAPACITOR" 250 50 30 H V C CNN +DRAW +P 3 0 1 0 200 200 225 200 225 200 F +P 3 0 1 0 225 300 225 100 225 100 F +P 3 0 1 0 275 300 275 100 275 100 F +P 3 0 1 0 300 200 275 200 275 200 F +X ~ 1 50 200 150 R 50 50 1 1 P +X ~ 2 450 200 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Small_CAPACITOR_POLARISED +# +DEF Small_CAPACITOR_POLARISED C 0 0 N N 1 F N +F0 "C" 450 250 30 H V C CNN +F1 "Small_CAPACITOR_POLARISED" 450 50 30 H V C CNN +DRAW +P 2 0 0 0 245 285 245 285 N +P 2 0 0 0 250 270 250 270 N +P 2 0 0 0 250 270 250 270 N +P 2 0 0 0 250 270 250 270 N +P 3 0 0 0 225 280 220 280 220 280 N +P 3 0 0 0 225 280 225 275 225 275 N +P 3 0 0 0 235 280 235 275 235 275 N +P 3 0 0 0 240 280 240 275 240 275 N +P 3 0 0 0 245 255 250 255 250 255 N +P 3 0 0 0 245 260 250 260 250 260 N +P 3 0 0 0 245 265 250 265 250 265 N +P 3 0 0 0 245 270 250 270 250 270 N +P 3 0 0 0 245 275 245 250 245 250 N +P 3 0 0 0 245 280 225 280 225 280 N +P 3 0 0 0 245 280 245 275 245 275 N +P 3 0 0 0 245 280 250 280 250 280 N +P 3 0 0 0 245 285 250 285 250 285 N +P 3 0 0 0 250 285 245 285 245 285 N +P 3 0 0 0 250 300 245 300 245 300 N +P 3 0 0 0 250 300 250 305 250 305 N +P 3 0 0 0 255 275 255 275 255 275 N +P 3 0 0 0 255 275 255 275 255 275 N +P 3 0 0 0 255 280 255 275 255 275 N +P 3 0 0 0 260 280 260 275 260 275 N +P 3 0 0 0 265 280 265 275 265 275 N +P 3 0 0 0 270 280 270 275 270 275 N +P 4 0 0 0 230 275 230 275 230 280 230 280 N +P 4 0 0 0 245 285 250 285 250 285 250 285 N +P 4 0 0 0 245 290 250 290 250 290 250 290 N +P 4 0 0 0 245 295 250 295 250 295 250 295 N +P 4 0 0 0 250 280 250 250 245 250 245 250 N +P 4 0 0 0 250 305 245 305 245 280 245 280 N +P 5 0 0 0 220 280 220 275 275 275 275 280 275 280 N +P 6 0 0 0 275 280 250 280 250 300 250 300 250 300 250 300 N +X ~ 1 150 200 150 R 50 50 1 0 P +X ~ 2 550 200 150 L 50 50 1 0 P +P 3 0 1 0 300 200 325 200 325 200 F +P 3 0 1 0 325 300 325 100 325 100 F +P 3 0 1 0 375 100 375 125 375 125 F +P 3 0 1 0 375 125 375 125 375 125 F +P 3 0 1 0 375 300 375 125 375 125 F +P 3 0 1 0 400 200 375 200 375 200 F +ENDDRAW +ENDDEF +# +# Small_CAPACITOR_POLARISED_OLD_TYPE +# +DEF Small_CAPACITOR_POLARISED_OLD_TYPE C 0 0 N N 1 F N +F0 "C" 400 350 30 H V C CNN +F1 "Small_CAPACITOR_POLARISED_OLD_TYPE" 600 50 30 H V C CNN +DRAW +X ~ 1 200 200 150 R 30 30 1 0 P +X ~ 2 600 200 150 L 30 30 1 0 P +S 265 270 265 275 0 1 0 F +S 265 275 270 265 0 1 0 F +S 270 260 265 270 0 1 0 F +S 270 275 325 260 0 1 0 F +S 295 295 310 230 0 1 0 F +S 300 290 310 305 0 1 0 F +S 300 295 295 305 0 1 0 F +S 300 300 295 300 0 1 0 F +S 325 275 335 260 0 1 0 F +S 370 310 390 90 0 1 0 N +S 410 310 430 90 0 1 0 F +S 455 280 510 265 0 1 0 F +P 3 0 1 0 350 200 370 200 370 200 F +P 3 0 1 0 450 200 425 200 425 200 F +ENDDRAW +ENDDEF +# +# Small_DIODE-STANDARD +# +DEF Small_DIODE-STANDARD D 0 0 N N 1 F N +F0 "D" 450 250 30 H V C CNN +F1 "Small_DIODE-STANDARD" 350 50 30 H V C CNN +DRAW +P 3 0 0 0 300 200 400 200 400 200 N +P 3 0 0 0 400 300 400 100 400 100 N +P 5 0 0 0 400 200 300 300 300 100 400 200 400 200 N +X A 1 150 200 150 R 30 30 1 0 P +X K 2 550 200 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# Small_DIODE_LIGHT-EMITTING_LED +# +DEF Small_DIODE_LIGHT-EMITTING_LED D 0 0 N N 1 F N +F0 "D" 700 250 30 H V C CNN +F1 "Small_DIODE_LIGHT-EMITTING_LED" 550 50 30 H V C CNN +DRAW +P 2 0 0 0 480 375 480 375 N +P 2 0 0 0 555 290 555 290 N +P 2 0 0 0 565 285 565 285 N +P 3 0 0 0 500 200 600 200 600 200 N +P 3 0 0 0 500 375 500 375 500 375 N +P 3 0 0 0 520 395 520 395 520 395 N +P 3 0 0 0 525 300 600 375 600 375 F +P 3 0 0 0 550 275 625 350 625 350 F +P 3 0 0 0 600 300 600 100 600 100 N +P 5 0 0 0 600 200 500 300 500 100 600 200 600 200 N +X A 1 350 200 150 R 30 30 1 0 P +X K 2 750 200 150 L 30 30 1 0 P +P 4 0 1 0 595 335 610 320 625 350 625 350 F +P 5 0 1 0 600 375 570 360 585 345 600 375 600 375 F +ENDDRAW +ENDDEF +# +# Small_DIODE_ZENER-DIODE +# +DEF Small_DIODE_ZENER-DIODE D 0 0 Y Y 1 F N +F0 "D" 550 300 30 H V C CNN +F1 "Small_DIODE_ZENER-DIODE" 400 50 30 H V C CNN +DRAW +P 3 0 0 0 350 200 450 200 450 200 N +P 3 0 0 0 450 100 400 100 400 100 N +P 3 0 0 0 450 300 450 100 450 100 N +P 5 0 0 0 450 200 350 300 350 100 450 200 450 200 N +X A 1 200 200 150 R 30 30 1 0 P +X K 2 600 200 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# Small_GND +# +DEF Small_GND U 0 0 N N 1 F N +F0 "U" 300 200 30 H V C CNN +F1 "Small_GND" 200 50 30 H V C CNN +DRAW +X GND 1 200 200 100 D 30 30 0 0 w +P 3 0 1 0 150 100 250 100 250 100 N +ENDDRAW +ENDDEF +# +# Small_INDUCTANCE +# +DEF Small_INDUCTANCE L 0 0 N N 1 F N +F0 "L" 300 200 30 H V C CNN +F1 "Small_INDUCTANCE" 300 50 30 H V C CNN +DRAW +X ~ 1 50 100 100 R 50 50 0 0 P +X ~ 2 550 100 100 L 50 50 0 0 P +A 188 100 37 1 1799 0 1 0 N 225 100 150 100 +A 263 100 37 1 1799 0 1 0 N 300 100 225 100 +A 338 100 37 1 1799 0 1 0 N 375 100 300 100 +A 413 100 37 1 1799 0 1 0 N 450 100 375 100 +ENDDRAW +ENDDEF +# +# Small_MEASUREMENT_POINT_Round +# +DEF Small_MEASUREMENT_POINT_Round MP 0 40 Y Y 1 F N +F0 "MP" -25 200 60 H V C CNN +F1 "Small_MEASUREMENT_POINT_Round" 0 -100 30 H V C CNN +DRAW +C 0 125 25 0 1 0 N +X ~ 1 0 0 100 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Small_RELAY-1XCHANGE_SPDT +# +DEF Small_RELAY-1XCHANGE_SPDT K 0 0 N N 1 F N +F0 "K" 600 650 30 H V C CNN +F1 "Small_RELAY-1XCHANGE_SPDT" 550 100 30 H V C CNN +DRAW +P 3 0 0 0 550 425 600 425 600 425 N +P 3 0 0 0 650 425 700 425 700 425 N +P 3 0 0 0 750 425 800 425 800 425 N +X 11 11 750 200 100 U 50 50 0 0 P +X A1 A1 350 650 100 D 50 50 0 0 P +X 12 12 900 650 100 D 50 50 0 0 P +X A2 A2 350 200 100 U 50 50 0 0 P +X 14 14 750 650 100 D 50 50 0 0 P +S 140 550 550 300 0 1 0 N +P 3 0 1 0 410 550 285 300 285 300 N +P 3 0 1 0 900 550 825 550 825 550 F +P 4 0 1 0 750 300 850 565 850 565 850 565 F +ENDDRAW +ENDDEF +# +# Small_RESISTOR +# +DEF Small_RESISTOR R 0 0 N N 1 F N +F0 "R" 300 300 30 H V C CNN +F1 "Small_RESISTOR " 350 100 30 H V C CNN +DRAW +X ~ 1 50 200 150 R 50 50 0 0 P +X ~ 2 550 200 150 L 50 50 0 0 P +S 200 250 400 150 0 1 0 N +ENDDRAW +ENDDEF +# +# Small_RESISTOR_THERMISTOR_PTC +# +DEF Small_RESISTOR_THERMISTOR_PTC R 0 0 N N 1 F N +F0 "R" 700 250 30 H V C CNN +F1 "Small_RESISTOR_THERMISTOR_PTC" 500 50 30 H V C CNN +DRAW +T 0 425 250 30 0 0 0 PTC Normal 0 C C +T 0 450 300 30 0 0 0 Temp. Normal 0 C C +P 2 0 0 0 150 0 150 0 N +P 3 0 0 0 575 350 575 250 575 250 N +P 3 0 0 0 600 250 600 350 600 350 F +P 4 0 0 0 575 350 585 310 565 310 565 310 F +P 4 0 0 0 600 350 610 310 590 310 590 310 F +X ~ 1 250 150 150 R 50 50 0 0 P +X ~ 2 750 150 150 L 50 50 0 0 P +S 400 200 600 100 0 1 0 N +P 3 0 1 0 300 150 325 150 325 150 N +P 3 0 1 0 450 75 425 75 425 75 N +P 3 0 1 0 700 150 675 150 675 150 N +P 4 0 1 0 450 75 550 225 550 225 550 225 N +ENDDRAW +ENDDEF +# +# Small_SYMBOL_CC-Attribution +# +DEF ~Small_SYMBOL_CC-Attribution Sym 0 40 Y Y 1 F N +F0 "Sym" 0 200 60 H I C CNN +F1 "Small_SYMBOL_CC-Attribution" 50 -200 60 H I C CNN +DRAW +C 0 0 126 0 0 39 N +C 0 75 11 0 0 20 N +S -35 45 30 -15 0 0 20 F +S -10 -25 10 -80 0 0 20 N +ENDDRAW +ENDDEF +# +# Small_SYMBOL_CC-ShareAlike +# +DEF ~Small_SYMBOL_CC-ShareAlike Sym 0 40 Y Y 1 F N +F0 "Sym" 0 200 60 H I C CNN +F1 "Small_SYMBOL_CC-ShareAlike" 50 -200 60 H I C CNN +DRAW +A 0 2 72 -899 899 0 0 20 N 0 -70 0 75 +A 4 2 73 931 1615 0 0 20 N 0 75 -65 25 +A 6 -5 65 -952 -1713 0 0 20 N 0 -70 -60 -15 +C 0 0 126 0 0 39 N +P 3 0 0 20 -65 25 -70 45 -70 45 N +P 3 0 0 20 -65 25 -45 35 -45 35 N +ENDDRAW +ENDDEF +# +# Small_SYMBOL_CREATIVECOMMONS_Typ1 +# +DEF ~Small_SYMBOL_CREATIVECOMMONS_Typ1 Sym 0 40 Y Y 1 F N +F0 "Sym" 0 200 60 H I C CNN +F1 "Small_SYMBOL_CREATIVECOMMONS_Typ1" 50 -200 60 H I C CNN +DRAW +A -30 -5 45 901 -901 0 0 39 N -30 40 -30 -50 +A 60 -5 45 901 -901 0 0 39 N 60 40 60 -50 +C 0 0 126 0 0 39 N +T 0 590 -90 118 0 0 0 Commons Normal 0 C C +T 0 520 60 118 0 0 0 Creative Normal 0 C C +ENDDRAW +ENDDEF +# +# Small_TRANSISTOR-N-MOSFET-ENHANCEMENT +# +DEF Small_TRANSISTOR-N-MOSFET-ENHANCEMENT T 0 0 N Y 1 F N +F0 "T" 600 300 30 H V C CNN +F1 "Small_TRANSISTOR-N-MOSFET-ENHANCEMENT" 550 50 30 H V C CNN +DRAW +X D D 600 400 100 L 25 25 0 0 P +X G G 300 150 100 R 25 25 0 0 I +X S S 600 150 100 L 25 25 0 0 P +C 520 150 9 0 1 0 F +S 440 130 460 210 0 1 0 F +S 440 230 460 310 0 1 0 F +S 440 330 460 410 0 1 0 F +P 3 0 1 0 420 150 420 400 420 400 F +P 3 0 1 0 500 150 450 150 450 150 F +P 3 0 1 0 500 400 460 400 460 400 F +P 3 0 1 0 520 270 520 150 520 150 F +P 4 0 1 0 400 150 420 150 420 150 420 150 F +P 4 0 1 0 460 270 496 279 496 259 496 259 F +P 5 0 1 0 460 270 510 270 520 270 520 270 520 270 F +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.000 b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.000 new file mode 100644 index 00000000..7a8f2c31 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.000 @@ -0,0 +1,165 @@ +PCBNEW-BOARD Version 1 date 28.05.2010 17:07:54 + +# Created by Pcbnew(2010-05-05 BZR 2356)-stable + +$GENERAL +LayerCount 2 +Ly 1FFF8001 +EnabledLayers 1FFF8001 +Links 0 +NoConn 0 +Di 28174 15040 47826 29960 +Ndraw 0 +Ntrack 1 +Nzone 0 +BoardThickness 630 +Nmodule 5 +Nnets 1 +$EndGENERAL + +$SHEETDESCR +Sheet A4 11700 8267 +Title "" +Date "28 may 2010" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndSHEETDESCR + +$SETUP +InternalUnit 0.000100 INCH +ZoneGridSize 250 +Layers 2 +Layer[0] Rückseite signal +Layer[15] Vorderseite signal +TrackWidth 80 +TrackClearence 100 +ZoneClearence 200 +TrackMinWidth 80 +DrawSegmWidth 150 +EdgeSegmWidth 150 +ViaSize 350 +ViaDrill 250 +ViaMinSize 350 +ViaMinDrill 200 +MicroViaSize 200 +MicroViaDrill 50 +MicroViasAllowed 0 +MicroViaMinSize 200 +MicroViaMinDrill 50 +TextPcbWidth 120 +TextPcbSize 600 800 +EdgeModWidth 150 +TextModSize 600 600 +TextModWidth 120 +PadSize 591 591 +PadDrill 394 +Pad2MaskClearance 100 +AuxiliaryAxisOrg 0 0 +$EndSETUP + +$EQUIPOT +Na 0 "" +St ~ +$EndEQUIPOT +$NCLASS +Name "Default" +Desc "Dies ist die voreingestellte Netzklasse." +Clearance 100 +TrackWidth 80 +ViaDia 350 +ViaDrill 250 +uViaDia 200 +uViaDrill 50 +AddNet "" +$EndNCLASS +$MODULE hole4 +Po 36000 17500 0 15 4BFFDA07 4BFFD471 ~~ +Li hole4 +Sc 4BFFD471 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole" +T1 0 2000 600 600 0 120 N V 21 N"VAL**" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00008000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole4 +$MODULE hole3 +Po 30000 27500 0 15 4BFFD21B 4BFFD477 ~~ +Li hole3 +Sc 4BFFD477 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole" +T1 0 2000 600 600 0 120 N V 21 N"VAL**" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole3 +$MODULE hole3 +Po 42000 17500 0 15 4BFFDA7A 4BFFD496 ~~ +Li hole3 +Sc 4BFFD496 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"loch" +T1 0 2000 600 600 0 120 N V 21 N"durchm" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole3 +$MODULE hole3 +Po 46000 21000 0 15 4BFFD21B 4BFFD49D ~~ +Li hole3 +Sc 4BFFD49D +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole" +T1 0 2000 600 600 0 120 N V 21 N"VAL**" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole3 +$MODULE hole5 +Po 31500 17500 0 15 4BFFDB9C 4BFFDC15 ~~ +Li hole5 +Sc 4BFFDC15 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole5" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00008000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole5 +$TRACK +Po 0 30000 20500 37500 20500 80 -1 +De 15 0 0 0 0 +$EndTRACK +$ZONE +$EndZONE +$EndBOARD diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.brd b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.brd new file mode 100644 index 00000000..16106f26 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.brd @@ -0,0 +1,181 @@ +PCBNEW-BOARD Version 1 date 28.05.2010 17:26:58 + +# Created by Pcbnew(2010-05-05 BZR 2356)-stable + +$GENERAL +LayerCount 2 +Ly 1FFF8001 +EnabledLayers 1FFF8001 +Links 0 +NoConn 0 +Di 28174 15040 47826 29960 +Ndraw 0 +Ntrack 1 +Nzone 0 +BoardThickness 630 +Nmodule 6 +Nnets 1 +$EndGENERAL + +$SHEETDESCR +Sheet A4 11700 8267 +Title "" +Date "28 may 2010" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndSHEETDESCR + +$SETUP +InternalUnit 0.000100 INCH +ZoneGridSize 250 +Layers 2 +Layer[0] Rückseite signal +Layer[15] Vorderseite signal +TrackWidth 80 +TrackClearence 100 +ZoneClearence 200 +TrackMinWidth 80 +DrawSegmWidth 150 +EdgeSegmWidth 150 +ViaSize 350 +ViaDrill 250 +ViaMinSize 350 +ViaMinDrill 200 +MicroViaSize 200 +MicroViaDrill 50 +MicroViasAllowed 0 +MicroViaMinSize 200 +MicroViaMinDrill 50 +TextPcbWidth 120 +TextPcbSize 600 800 +EdgeModWidth 150 +TextModSize 600 600 +TextModWidth 120 +PadSize 591 591 +PadDrill 394 +Pad2MaskClearance 100 +AuxiliaryAxisOrg 0 0 +$EndSETUP + +$EQUIPOT +Na 0 "" +St ~ +$EndEQUIPOT +$NCLASS +Name "Default" +Desc "Dies ist die voreingestellte Netzklasse." +Clearance 100 +TrackWidth 80 +ViaDia 350 +ViaDrill 250 +uViaDia 200 +uViaDrill 50 +AddNet "" +$EndNCLASS +$MODULE hole4 +Po 36000 17500 0 15 4BFFDA07 4BFFD471 ~~ +Li hole4 +Sc 4BFFD471 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole" +T1 0 2000 600 600 0 120 N V 21 N"VAL**" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00008000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole4 +$MODULE hole3 +Po 30000 27500 0 15 4BFFD21B 4BFFD477 ~~ +Li hole3 +Sc 4BFFD477 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole" +T1 0 2000 600 600 0 120 N V 21 N"VAL**" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole3 +$MODULE hole3 +Po 42000 17500 0 15 4BFFDA7A 4BFFD496 ~~ +Li hole3 +Sc 4BFFD496 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"loch" +T1 0 2000 600 600 0 120 N V 21 N"durchm" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole3 +$MODULE hole3 +Po 46000 21000 0 15 4BFFD21B 4BFFD49D ~~ +Li hole3 +Sc 4BFFD49D +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole" +T1 0 2000 600 600 0 120 N V 21 N"VAL**" +$PAD +Sh "1" C 394 394 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole3 +$MODULE hole5 +Po 31500 17500 0 15 4BFFDB9C 4BFFDC15 ~~ +Li hole5 +Sc 4BFFDC15 +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole5" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00008000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole5 +$MODULE hole6 +Po 36000 22500 0 15 4BFFDB9C 4BFFE0BD ~~ +Li hole6 +Sc 4BFFE0BD +AR +Op 0 0 0 +T0 0 -2000 600 600 0 120 N V 21 N"hole6" +T1 0 2000 600 600 0 120 N V 21 N"VALzzz**" +$PAD +Sh "1" C 591 591 0 0 0 +Dr 394 0 0 +At STD N 00000000 +Ne 0 "" +Po 0 0 +$EndPAD +$EndMODULE hole6 +$TRACK +Po 0 30000 20500 37500 20500 80 -1 +De 15 0 0 0 0 +$EndTRACK +$ZONE +$EndZONE +$EndBOARD diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.lib b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.lib new file mode 100644 index 00000000..dd87b1b5 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.lib @@ -0,0 +1,397 @@ +EESchema-LIBRARY Version 2.3 Date: 26.05.2010 12:57:49 +# +# Big_Terminal_Block-3Pin +# +DEF Big_Terminal_Block-3Pin X 0 0 Y N 1 F N +F0 "X" 800 450 60 H V C CNN +F1 "Big_Terminal_Block-3Pin" 600 50 30 H V C CNN +DRAW +X ~ 1 500 150 300 R 50 50 0 0 P +X ~ 2 500 250 300 R 50 50 0 0 P +X ~ 3 500 350 300 R 50 50 0 0 P +S 800 100 1000 200 0 1 0 N +S 800 200 1000 300 0 1 0 N +S 800 300 1000 400 0 1 0 N +ENDDRAW +ENDDEF +# +# Big_Terminal_Block-5Pin +# +DEF Big_Terminal_Block-5Pin X 0 0 Y N 1 F N +F0 "X" 800 650 60 H V C CNN +F1 "Big_Terminal_Block-5Pin" 600 50 60 H V C CNN +DRAW +X ~ 1 500 150 300 R 50 50 0 0 P +X ~ 2 500 250 300 R 50 50 0 0 P +X ~ 3 500 350 300 R 50 50 0 0 P +X ~ 4 500 450 300 R 50 50 0 0 P +X ~ 5 500 550 300 R 50 50 0 0 P +S 800 100 1000 200 0 1 0 N +S 800 200 1000 300 0 1 0 N +S 800 300 1000 400 0 1 0 N +S 800 500 1000 400 0 1 0 N +S 800 600 1000 500 0 1 0 N +ENDDRAW +ENDDEF +# +# NE555 +# +DEF NE555 IC 0 40 Y Y 1 F N +F0 "IC" 0 250 30 H V C CNN +F1 "NE555" 0 -250 30 H V C CNN +DRAW +X GND 1 -300 150 100 R 30 30 0 0 W +X TR 2 -300 50 100 R 30 30 0 0 I +X Q 3 -300 -50 100 R 30 30 0 0 O +X R 4 -300 -150 100 R 30 30 0 0 I I +X CV 5 300 -150 100 L 30 30 0 0 I +X THR 6 300 -50 100 L 30 30 0 0 I +X DIS 7 300 50 100 L 30 30 0 0 O +X VCC 8 300 150 100 L 30 30 0 0 W +S -200 200 200 -200 0 1 0 N +ENDDRAW +ENDDEF +# +# Optocoupler_SFH617A-1_SingleChannel +# +DEF Optocoupler_SFH617A-1_SingleChannel IC 0 40 Y Y 1 F N +F0 "IC" 0 150 30 H V C CNN +F1 "Optocoupler_SFH617A-1_SingleChannel" 0 -150 30 H V C CNN +DRAW +S -200 100 200 -100 0 1 0 N +P 3 0 1 0 -155 0 -110 0 -110 0 N +P 3 0 1 0 -30 25 10 5 10 5 N +P 3 0 1 0 10 -20 0 -20 0 -20 N +P 3 0 1 0 10 5 0 5 0 5 N +P 3 0 1 0 10 5 5 15 5 15 N +P 3 0 1 0 60 30 60 -25 60 -25 N +P 3 0 1 0 85 -35 75 -35 75 -35 N +P 3 0 1 0 85 -35 85 -25 85 -25 N +P 4 0 1 0 -130 0 -130 -50 -180 -50 -180 -50 N +P 4 0 1 0 -130 25 -130 50 -180 50 -180 50 N +P 4 0 1 0 -30 0 10 -20 5 -10 5 -10 N +P 4 0 1 0 60 -5 95 -50 165 -50 165 -50 N +P 4 0 1 0 60 10 90 50 165 50 165 50 N +P 5 0 1 0 -130 0 -145 25 -115 25 -130 0 -130 0 N +X ~ 1 -300 50 100 R 39 50 1 1 P +X ~ 2 -300 -50 100 R 39 50 1 1 P +X ~ 3 300 -50 100 L 39 50 1 1 P +X ~ 4 300 50 100 L 39 50 1 1 P +ENDDRAW +ENDDEF +# +# REGULATOR317TYPE +# +DEF REGULATOR317TYPE IC 0 0 Y Y 1 F N +F0 "IC" 0 -50 30 H V C CNN +F1 "REGULATOR317TYPE" 0 200 30 H V C CNN +DRAW +S 300 -150 -300 150 0 1 0 N +X Reg 1 0 -450 300 U 50 50 1 1 I +X Uout 2 600 0 300 L 50 50 1 1 U +X Uin 3 -600 0 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Small_CAPACITOR +# +DEF Small_CAPACITOR C 0 0 N N 1 F N +F0 "C" 150 250 30 H V C CNN +F1 "Small_CAPACITOR" 250 50 30 H V C CNN +DRAW +P 3 0 1 0 200 200 225 200 225 200 F +P 3 0 1 0 225 300 225 100 225 100 F +P 3 0 1 0 275 300 275 100 275 100 F +P 3 0 1 0 300 200 275 200 275 200 F +X ~ 1 50 200 150 R 50 50 1 1 P +X ~ 2 450 200 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Small_CAPACITOR_POLARISED +# +DEF Small_CAPACITOR_POLARISED C 0 0 N N 1 F N +F0 "C" 450 250 30 H V C CNN +F1 "Small_CAPACITOR_POLARISED" 450 50 30 H V C CNN +DRAW +P 2 0 0 0 245 285 245 285 N +P 2 0 0 0 250 270 250 270 N +P 2 0 0 0 250 270 250 270 N +P 2 0 0 0 250 270 250 270 N +P 3 0 0 0 225 280 220 280 220 280 N +P 3 0 0 0 225 280 225 275 225 275 N +P 3 0 0 0 235 280 235 275 235 275 N +P 3 0 0 0 240 280 240 275 240 275 N +P 3 0 0 0 245 255 250 255 250 255 N +P 3 0 0 0 245 260 250 260 250 260 N +P 3 0 0 0 245 265 250 265 250 265 N +P 3 0 0 0 245 270 250 270 250 270 N +P 3 0 0 0 245 275 245 250 245 250 N +P 3 0 0 0 245 280 225 280 225 280 N +P 3 0 0 0 245 280 245 275 245 275 N +P 3 0 0 0 245 280 250 280 250 280 N +P 3 0 0 0 245 285 250 285 250 285 N +P 3 0 0 0 250 285 245 285 245 285 N +P 3 0 0 0 250 300 245 300 245 300 N +P 3 0 0 0 250 300 250 305 250 305 N +P 3 0 0 0 255 275 255 275 255 275 N +P 3 0 0 0 255 275 255 275 255 275 N +P 3 0 0 0 255 280 255 275 255 275 N +P 3 0 0 0 260 280 260 275 260 275 N +P 3 0 0 0 265 280 265 275 265 275 N +P 3 0 0 0 270 280 270 275 270 275 N +P 4 0 0 0 230 275 230 275 230 280 230 280 N +P 4 0 0 0 245 285 250 285 250 285 250 285 N +P 4 0 0 0 245 290 250 290 250 290 250 290 N +P 4 0 0 0 245 295 250 295 250 295 250 295 N +P 4 0 0 0 250 280 250 250 245 250 245 250 N +P 4 0 0 0 250 305 245 305 245 280 245 280 N +P 5 0 0 0 220 280 220 275 275 275 275 280 275 280 N +P 6 0 0 0 275 280 250 280 250 300 250 300 250 300 250 300 N +X ~ 1 150 200 150 R 50 50 1 0 P +X ~ 2 550 200 150 L 50 50 1 0 P +P 3 0 1 0 300 200 325 200 325 200 F +P 3 0 1 0 325 300 325 100 325 100 F +P 3 0 1 0 375 100 375 125 375 125 F +P 3 0 1 0 375 125 375 125 375 125 F +P 3 0 1 0 375 300 375 125 375 125 F +P 3 0 1 0 400 200 375 200 375 200 F +ENDDRAW +ENDDEF +# +# Small_CAPACITOR_POLARISED_OLD_TYPE +# +DEF Small_CAPACITOR_POLARISED_OLD_TYPE C 0 0 N N 1 F N +F0 "C" 400 350 30 H V C CNN +F1 "Small_CAPACITOR_POLARISED_OLD_TYPE" 600 50 30 H V C CNN +DRAW +X ~ 1 200 200 150 R 30 30 1 0 P +X ~ 2 600 200 150 L 30 30 1 0 P +S 265 270 265 275 0 1 0 F +S 265 275 270 265 0 1 0 F +S 270 260 265 270 0 1 0 F +S 270 275 325 260 0 1 0 F +S 295 295 310 230 0 1 0 F +S 300 290 310 305 0 1 0 F +S 300 295 295 305 0 1 0 F +S 300 300 295 300 0 1 0 F +S 325 275 335 260 0 1 0 F +S 370 310 390 90 0 1 0 N +S 410 310 430 90 0 1 0 F +S 455 280 510 265 0 1 0 F +P 3 0 1 0 350 200 370 200 370 200 F +P 3 0 1 0 450 200 425 200 425 200 F +ENDDRAW +ENDDEF +# +# Small_DIODE-STANDARD +# +DEF Small_DIODE-STANDARD D 0 0 N N 1 F N +F0 "D" 450 250 30 H V C CNN +F1 "Small_DIODE-STANDARD" 350 50 30 H V C CNN +DRAW +P 3 0 0 0 300 200 400 200 400 200 N +P 3 0 0 0 400 300 400 100 400 100 N +P 5 0 0 0 400 200 300 300 300 100 400 200 400 200 N +X A 1 150 200 150 R 30 30 1 0 P +X K 2 550 200 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# Small_DIODE_LIGHT-EMITTING_LED +# +DEF Small_DIODE_LIGHT-EMITTING_LED D 0 0 N N 1 F N +F0 "D" 700 250 30 H V C CNN +F1 "Small_DIODE_LIGHT-EMITTING_LED" 550 50 30 H V C CNN +DRAW +P 2 0 0 0 480 375 480 375 N +P 2 0 0 0 555 290 555 290 N +P 2 0 0 0 565 285 565 285 N +P 3 0 0 0 500 200 600 200 600 200 N +P 3 0 0 0 500 375 500 375 500 375 N +P 3 0 0 0 520 395 520 395 520 395 N +P 3 0 0 0 525 300 600 375 600 375 F +P 3 0 0 0 550 275 625 350 625 350 F +P 3 0 0 0 600 300 600 100 600 100 N +P 5 0 0 0 600 200 500 300 500 100 600 200 600 200 N +X A 1 350 200 150 R 30 30 1 0 P +X K 2 750 200 150 L 30 30 1 0 P +P 4 0 1 0 595 335 610 320 625 350 625 350 F +P 5 0 1 0 600 375 570 360 585 345 600 375 600 375 F +ENDDRAW +ENDDEF +# +# Small_DIODE_ZENER-DIODE +# +DEF Small_DIODE_ZENER-DIODE D 0 0 Y Y 1 F N +F0 "D" 550 300 30 H V C CNN +F1 "Small_DIODE_ZENER-DIODE" 400 50 30 H V C CNN +DRAW +P 3 0 0 0 350 200 450 200 450 200 N +P 3 0 0 0 450 100 400 100 400 100 N +P 3 0 0 0 450 300 450 100 450 100 N +P 5 0 0 0 450 200 350 300 350 100 450 200 450 200 N +X A 1 200 200 150 R 30 30 1 0 P +X K 2 600 200 150 L 30 30 1 0 P +ENDDRAW +ENDDEF +# +# Small_GND +# +DEF Small_GND U 0 0 N N 1 F N +F0 "U" 300 200 30 H V C CNN +F1 "Small_GND" 200 50 30 H V C CNN +DRAW +X GND 1 200 200 100 D 30 30 0 0 w +P 3 0 1 0 150 100 250 100 250 100 N +ENDDRAW +ENDDEF +# +# Small_INDUCTANCE +# +DEF Small_INDUCTANCE L 0 0 N N 1 F N +F0 "L" 300 200 30 H V C CNN +F1 "Small_INDUCTANCE" 300 50 30 H V C CNN +DRAW +X ~ 1 50 100 100 R 50 50 0 0 P +X ~ 2 550 100 100 L 50 50 0 0 P +A 188 100 37 1 1799 0 1 0 N 225 100 150 100 +A 263 100 37 1 1799 0 1 0 N 300 100 225 100 +A 338 100 37 1 1799 0 1 0 N 375 100 300 100 +A 413 100 37 1 1799 0 1 0 N 450 100 375 100 +ENDDRAW +ENDDEF +# +# Small_MEASUREMENT_POINT_Round +# +DEF Small_MEASUREMENT_POINT_Round MP 0 40 Y Y 1 F N +F0 "MP" -25 200 60 H V C CNN +F1 "Small_MEASUREMENT_POINT_Round" 0 -100 30 H V C CNN +DRAW +C 0 125 25 0 1 0 N +X ~ 1 0 0 100 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Small_RELAY-1XCHANGE_SPDT +# +DEF Small_RELAY-1XCHANGE_SPDT K 0 0 N N 1 F N +F0 "K" 600 650 30 H V C CNN +F1 "Small_RELAY-1XCHANGE_SPDT" 550 100 30 H V C CNN +DRAW +P 3 0 0 0 550 425 600 425 600 425 N +P 3 0 0 0 650 425 700 425 700 425 N +P 3 0 0 0 750 425 800 425 800 425 N +X 11 11 750 200 100 U 50 50 0 0 P +X A1 A1 350 650 100 D 50 50 0 0 P +X 12 12 900 650 100 D 50 50 0 0 P +X A2 A2 350 200 100 U 50 50 0 0 P +X 14 14 750 650 100 D 50 50 0 0 P +S 140 550 550 300 0 1 0 N +P 3 0 1 0 410 550 285 300 285 300 N +P 3 0 1 0 900 550 825 550 825 550 F +P 4 0 1 0 750 300 850 565 850 565 850 565 F +ENDDRAW +ENDDEF +# +# Small_RESISTOR +# +DEF Small_RESISTOR R 0 0 N N 1 F N +F0 "R" 300 300 30 H V C CNN +F1 "Small_RESISTOR " 350 100 30 H V C CNN +DRAW +X ~ 1 50 200 150 R 50 50 0 0 P +X ~ 2 550 200 150 L 50 50 0 0 P +S 200 250 400 150 0 1 0 N +ENDDRAW +ENDDEF +# +# Small_RESISTOR_THERMISTOR_PTC +# +DEF Small_RESISTOR_THERMISTOR_PTC R 0 0 N N 1 F N +F0 "R" 700 250 30 H V C CNN +F1 "Small_RESISTOR_THERMISTOR_PTC" 500 50 30 H V C CNN +DRAW +T 0 425 250 30 0 0 0 PTC Normal 0 C C +T 0 450 300 30 0 0 0 Temp. Normal 0 C C +P 2 0 0 0 150 0 150 0 N +P 3 0 0 0 575 350 575 250 575 250 N +P 3 0 0 0 600 250 600 350 600 350 F +P 4 0 0 0 575 350 585 310 565 310 565 310 F +P 4 0 0 0 600 350 610 310 590 310 590 310 F +X ~ 1 250 150 150 R 50 50 0 0 P +X ~ 2 750 150 150 L 50 50 0 0 P +S 400 200 600 100 0 1 0 N +P 3 0 1 0 300 150 325 150 325 150 N +P 3 0 1 0 450 75 425 75 425 75 N +P 3 0 1 0 700 150 675 150 675 150 N +P 4 0 1 0 450 75 550 225 550 225 550 225 N +ENDDRAW +ENDDEF +# +# Small_SYMBOL_CC-Attribution +# +DEF ~Small_SYMBOL_CC-Attribution Sym 0 40 Y Y 1 F N +F0 "Sym" 0 200 60 H I C CNN +F1 "Small_SYMBOL_CC-Attribution" 50 -200 60 H I C CNN +DRAW +C 0 0 126 0 0 39 N +C 0 75 11 0 0 20 N +S -35 45 30 -15 0 0 20 F +S -10 -25 10 -80 0 0 20 N +ENDDRAW +ENDDEF +# +# Small_SYMBOL_CC-ShareAlike +# +DEF ~Small_SYMBOL_CC-ShareAlike Sym 0 40 Y Y 1 F N +F0 "Sym" 0 200 60 H I C CNN +F1 "Small_SYMBOL_CC-ShareAlike" 50 -200 60 H I C CNN +DRAW +A 0 2 72 -899 899 0 0 20 N 0 -70 0 75 +A 4 2 73 931 1615 0 0 20 N 0 75 -65 25 +A 6 -5 65 -952 -1713 0 0 20 N 0 -70 -60 -15 +C 0 0 126 0 0 39 N +P 3 0 0 20 -65 25 -70 45 -70 45 N +P 3 0 0 20 -65 25 -45 35 -45 35 N +ENDDRAW +ENDDEF +# +# Small_SYMBOL_CREATIVECOMMONS_Typ1 +# +DEF ~Small_SYMBOL_CREATIVECOMMONS_Typ1 Sym 0 40 Y Y 1 F N +F0 "Sym" 0 200 60 H I C CNN +F1 "Small_SYMBOL_CREATIVECOMMONS_Typ1" 50 -200 60 H I C CNN +DRAW +A -30 -5 45 901 -901 0 0 39 N -30 40 -30 -50 +A 60 -5 45 901 -901 0 0 39 N 60 40 60 -50 +C 0 0 126 0 0 39 N +T 0 590 -90 118 0 0 0 Commons Normal 0 C C +T 0 520 60 118 0 0 0 Creative Normal 0 C C +ENDDRAW +ENDDEF +# +# Small_TRANSISTOR-N-MOSFET-ENHANCEMENT +# +DEF Small_TRANSISTOR-N-MOSFET-ENHANCEMENT T 0 0 N Y 1 F N +F0 "T" 600 300 30 H V C CNN +F1 "Small_TRANSISTOR-N-MOSFET-ENHANCEMENT" 550 50 30 H V C CNN +DRAW +X D D 600 400 100 L 25 25 0 0 P +X G G 300 150 100 R 25 25 0 0 I +X S S 600 150 100 L 25 25 0 0 P +C 520 150 9 0 1 0 F +S 440 130 460 210 0 1 0 F +S 440 230 460 310 0 1 0 F +S 440 330 460 410 0 1 0 F +P 3 0 1 0 420 150 420 400 420 400 F +P 3 0 1 0 500 150 450 150 450 150 F +P 3 0 1 0 500 400 460 400 460 400 F +P 3 0 1 0 520 270 520 150 520 150 F +P 4 0 1 0 400 150 420 150 420 150 420 150 F +P 4 0 1 0 460 270 496 279 496 259 496 259 F +P 5 0 1 0 460 270 510 270 520 270 520 270 520 270 F +ENDDRAW +ENDDEF +# +#End Library diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.pro b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.pro new file mode 100644 index 00000000..a2fbcce7 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.pro @@ -0,0 +1,78 @@ +update=31.05.2010 20:13:54 +version=1 +last_client=pcbnew +[general] +version=1 +[cvpcb] +version=1 +NetIExt=net +[cvpcb/libraries] +EquName1=devcms +[eeschema] +version=1 +LibDir=..\\Experimentalprojekt23052010 +NetFmt=1 +HPGLSpd=20 +HPGLDm=15 +HPGLNum=1 +offX_A4=0 +offY_A4=0 +offX_A3=0 +offY_A3=0 +offX_A2=0 +offY_A2=0 +offX_A1=0 +offY_A1=0 +offX_A0=0 +offY_A0=0 +offX_A=0 +offY_A=0 +offX_B=0 +offY_B=0 +offX_C=0 +offY_C=0 +offX_D=0 +offY_D=0 +offX_E=0 +offY_E=0 +RptD_X=0 +RptD_Y=100 +RptLab=1 +SimCmd= +UseNetN=0 +LabSize=60 +PrintMonochrome=1 +ShowSheetReferenceAndTitleBlock=1 +[eeschema/libraries] +LibName1=UnderVoltageDetector24V-2Group_Experimental-cache +[pcbnew] +version=1 +PadDrlX=394 +PadDimH=591 +PadDimV=591 +BoardThickness=630 +SgPcb45=1 +TxtPcbV=800 +TxtPcbH=600 +TxtModV=600 +TxtModH=600 +TxtModW=120 +VEgarde=100 +DrawLar=150 +EdgeLar=150 +TxtLar=120 +MSegLar=150 +LastNetListRead= +[pcbnew/libraries] +LibDir= +LibName1=F:\\KiCad-Daten\\Devices\\Module\\Transistor_TO-220_RevA +LibName2=F:\\KiCad-Daten\\Devices\\Module\\Capacitors_ThroughHole_RevA +LibName3=F:\\KiCad-Daten\\Devices\\Module\\Choke_Axial_ThroughHole_RevA +LibName4=F:\\KiCad-Daten\\Devices\\Module\\Dioden_ThroughHole_RevB +LibName5=F:\\KiCad-Daten\\Devices\\Module\\Elko_ThroughHole_RevA +LibName6=F:\\KiCad-Daten\\Devices\\Module\\EuroBoardoutline_RevA +LibName7=F:\\KiCad-Daten\\Devices\\Module\\Footprint-Symbols_RevB +LibName8=F:\\KiCad-Daten\\Devices\\Module\\Relay_ThroughHole_RevB +LibName9=F:\\KiCad-Daten\\Devices\\Module\\Resistor_ThroughHole_RevA +LibName10=F:\\KiCad-Daten\\Devices\\Module\\TerminalBlock_WAGO236-RM5mm_RevA +LibName11=F:\\KiCad-Daten\\Devices\\Module\\Muell\\hole2 diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.sch b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.sch new file mode 100644 index 00000000..51ac6c91 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetector24V-2Group_Experimental.sch @@ -0,0 +1,674 @@ +EESchema Schematic File Version 2 date 26.05.2010 12:57:49 +LIBS:UnderVoltageDetector24V-2Group_Experimental-cache +LIBS:UnderVoltageDetector24V-2Group_Experimental-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11700 8267 +Sheet 1 8 +Title "" +Date "26 may 2010" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Connection ~ 2200 4300 +Wire Wire Line + 2200 4300 2200 4800 +Wire Wire Line + 1150 4300 2450 4300 +Wire Wire Line + 2450 3850 1150 3850 +Connection ~ 1700 2200 +Wire Wire Line + 1700 2350 1700 2200 +Connection ~ 1700 1650 +Wire Wire Line + 1700 1650 1700 1550 +Connection ~ 7650 900 +Wire Wire Line + 7650 800 7650 900 +Connection ~ 7950 1600 +Wire Wire Line + 7950 1550 7950 1700 +Wire Wire Line + 9000 2450 8800 2450 +Connection ~ 8900 2300 +Wire Wire Line + 8900 2150 8900 2300 +Wire Wire Line + 7750 2300 7750 2200 +Wire Wire Line + 7750 2300 9250 2300 +Wire Wire Line + 9850 2300 9750 2300 +Connection ~ 8150 1600 +Wire Wire Line + 7950 1600 9850 1600 +Connection ~ 8150 900 +Wire Wire Line + 8150 1000 8150 900 +Wire Wire Line + 7750 2200 7600 2200 +Wire Wire Line + 7600 1600 7750 1600 +Wire Wire Line + 7750 1600 7750 900 +Wire Wire Line + 10350 900 10500 900 +Wire Wire Line + 10500 900 10500 1500 +Wire Wire Line + 10500 1500 10650 1500 +Connection ~ 9150 1600 +Wire Wire Line + 9150 1450 9150 1600 +Wire Wire Line + 10650 1600 10350 1600 +Wire Wire Line + 9850 3900 9650 3900 +Wire Wire Line + 9650 3900 9650 4800 +Wire Wire Line + 9850 3700 8900 3700 +Wire Wire Line + 8900 3700 8900 3550 +Wire Wire Line + 8900 3550 8550 3550 +Connection ~ 6150 2950 +Connection ~ 7550 4700 +Wire Wire Line + 7050 4700 9100 4700 +Wire Wire Line + 7050 4700 7050 3400 +Wire Wire Line + 7050 3400 6150 3400 +Wire Wire Line + 6150 3400 6150 1600 +Wire Wire Line + 6900 5800 6000 5800 +Wire Wire Line + 6000 5800 6000 4100 +Wire Wire Line + 6000 4100 5200 4100 +Wire Wire Line + 5300 4500 5100 4500 +Wire Wire Line + 5250 2300 5050 2300 +Wire Wire Line + 7900 3950 7900 3550 +Connection ~ 7550 6600 +Wire Wire Line + 7350 6600 8550 6600 +Connection ~ 7850 5900 +Wire Wire Line + 7850 6000 7850 5900 +Connection ~ 8550 4700 +Wire Wire Line + 8550 4850 8550 4700 +Wire Wire Line + 7550 6600 7550 6400 +Wire Wire Line + 8400 5900 8550 5900 +Wire Wire Line + 9100 5250 9100 5400 +Wire Wire Line + 9100 5400 8550 5400 +Connection ~ 7550 5800 +Wire Wire Line + 7400 5800 7550 5800 +Wire Wire Line + 7550 5350 7550 6000 +Wire Wire Line + 6150 1600 6350 1600 +Wire Wire Line + 6150 2950 6400 2950 +Wire Wire Line + 7750 3550 7750 3450 +Wire Wire Line + 7500 2950 8050 2950 +Wire Wire Line + 4400 4100 4600 4100 +Wire Wire Line + 4350 2700 2200 2700 +Wire Wire Line + 4350 2700 4350 2050 +Wire Wire Line + 4350 2050 4500 2050 +Wire Wire Line + 3700 1950 3900 1950 +Wire Wire Line + 4300 1950 4500 1950 +Wire Wire Line + 4000 4100 3700 4100 +Wire Wire Line + 4600 4200 4350 4200 +Wire Wire Line + 4350 4200 4350 4800 +Wire Wire Line + 4350 4800 2200 4800 +Wire Wire Line + 6800 2950 7000 2950 +Wire Wire Line + 7750 3050 7750 2950 +Connection ~ 7750 2950 +Connection ~ 7750 3550 +Wire Wire Line + 8050 3550 5900 3550 +Wire Wire Line + 5900 3550 5900 2200 +Wire Wire Line + 5900 2200 6350 2200 +Connection ~ 7550 5900 +Wire Wire Line + 7400 5500 7550 5500 +Connection ~ 7550 5500 +Wire Wire Line + 8550 5250 8550 5650 +Wire Wire Line + 8550 5650 8400 5650 +Connection ~ 8550 5400 +Wire Wire Line + 7550 4700 7550 4850 +Wire Wire Line + 9100 4700 9100 4800 +Wire Wire Line + 8100 5900 7550 5900 +Wire Wire Line + 7850 6500 7850 6600 +Connection ~ 7850 6600 +Wire Wire Line + 8550 5900 8550 6750 +Connection ~ 8550 6600 +Connection ~ 7900 3550 +Wire Wire Line + 7600 3750 7900 3750 +Connection ~ 7900 3750 +Wire Wire Line + 5250 2450 5250 2050 +Wire Wire Line + 5250 2050 5100 2050 +Connection ~ 5250 2300 +Wire Wire Line + 5300 4700 5300 4200 +Wire Wire Line + 5300 4200 5200 4200 +Connection ~ 5300 4500 +Wire Wire Line + 5100 1950 5650 1950 +Wire Wire Line + 5650 1950 5650 3950 +Wire Wire Line + 5650 3950 6700 3950 +Wire Wire Line + 6700 3950 6700 5500 +Wire Wire Line + 6700 5500 6900 5500 +Wire Wire Line + 8550 2950 9250 2950 +Wire Wire Line + 9250 2950 9250 3600 +Wire Wire Line + 9250 3600 9850 3600 +Wire Wire Line + 9500 5250 9500 5450 +Wire Wire Line + 9500 5450 10100 5450 +Wire Wire Line + 10100 5450 10100 4200 +Wire Wire Line + 10100 4200 9700 4200 +Wire Wire Line + 9700 4200 9700 4000 +Wire Wire Line + 9700 4000 9850 4000 +Wire Wire Line + 9500 4800 9500 3800 +Wire Wire Line + 9500 3800 9850 3800 +Wire Wire Line + 10650 1700 10500 1700 +Wire Wire Line + 10500 1700 10500 2300 +Wire Wire Line + 10500 2300 10350 2300 +Wire Wire Line + 8150 1600 8150 1400 +Wire Wire Line + 8300 1600 8300 1750 +Connection ~ 8300 1600 +Wire Wire Line + 9850 900 9750 900 +Wire Wire Line + 8300 2150 8300 2300 +Connection ~ 8300 2300 +Wire Wire Line + 8900 1750 8900 1600 +Connection ~ 8900 1600 +Wire Wire Line + 9150 1050 9150 900 +Connection ~ 9150 900 +Wire Wire Line + 9000 2300 9000 2600 +Connection ~ 9000 2300 +Connection ~ 9000 2450 +Wire Wire Line + 7500 900 9250 900 +Connection ~ 7750 900 +Wire Wire Line + 1150 1650 2450 1650 +Wire Wire Line + 1150 2200 2450 2200 +Wire Wire Line + 2200 2700 2200 2200 +Connection ~ 2200 2200 +Wire Wire Line + 1700 3750 1700 3850 +Connection ~ 1700 3850 +Wire Wire Line + 1700 4400 1700 4300 +Connection ~ 1700 4300 +$Comp +L SMALL_MEASUREMENT_POINT_ROUND MP4 +U 1 1 4BF5997E +P 1700 4400 +F 0 "MP4" H 1675 4600 60 0000 C CNN +F 1 "SMALL_MEASUREMENT_POINT_ROUND" H 1700 4300 30 0001 C CNN + 1 1700 4400 + -1 0 0 1 +$EndComp +Text GLabel 1150 4300 0 60 Input ~ 0 +GND +$Comp +L SMALL_MEASUREMENT_POINT_ROUND MP3 +U 1 1 4BF59954 +P 1700 3750 +F 0 "MP3" H 1675 3950 60 0000 C CNN +F 1 "SMALL_MEASUREMENT_POINT_ROUND" H 1700 3650 30 0001 C CNN + 1 1700 3750 + 1 0 0 -1 +$EndComp +Text GLabel 1150 3850 0 60 Input ~ 0 +12-14V +Text GLabel 1150 2200 0 60 Input ~ 0 +12-14V +Text GLabel 1150 1650 0 60 Input ~ 0 +24-28V +$Comp +L SMALL_MEASUREMENT_POINT_ROUND MP2 +U 1 1 4BF598B4 +P 1700 2350 +F 0 "MP2" H 1675 2550 60 0000 C CNN +F 1 "SMALL_MEASUREMENT_POINT_ROUND" H 1700 2250 30 0001 C CNN + 1 1700 2350 + -1 0 0 1 +$EndComp +$Comp +L SMALL_MEASUREMENT_POINT_ROUND MP1 +U 1 1 4BF598AB +P 1700 1550 +F 0 "MP1" H 1675 1750 60 0000 C CNN +F 1 "SMALL_MEASUREMENT_POINT_ROUND" H 1700 1450 30 0001 C CNN + 1 1700 1550 + 1 0 0 -1 +$EndComp +$Comp +L BIG_TERMINAL_BLOCK-3PIN X2 +U 1 1 4BF59852 +P 10150 1850 +F 0 "X2" H 10950 2300 60 0000 C CNN +F 1 "BIG_TERMINAL_BLOCK-3PIN" H 10750 1900 30 0000 C CNN + 1 10150 1850 + 1 0 0 -1 +$EndComp +Text GLabel 7500 900 0 60 Input ~ 0 +24-28V +$Comp +L SMALL_MEASUREMENT_POINT_ROUND MP5 +U 1 1 4BF597AE +P 7650 800 +F 0 "MP5" H 7625 1000 60 0000 C CNN +F 1 "SMALL_MEASUREMENT_POINT_ROUND" H 7650 700 30 0001 C CNN + 1 7650 800 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_MEASUREMENT_POINT_ROUND MP6 +U 1 1 4BF59788 +P 7950 1550 +F 0 "MP6" H 7925 1750 60 0000 C CNN +F 1 "SMALL_MEASUREMENT_POINT_ROUND" H 7950 1450 30 0000 C CNN + 1 7950 1550 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_RESISTOR_THERMISTOR_PTC R7 +U 1 1 4BF594E3 +P 9000 2450 +F 0 "R7" H 9700 2700 30 0000 C CNN +F 1 "SMALL_RESISTOR_THERMISTOR_PTC" H 9500 2500 30 0000 C CNN + 1 9000 2450 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_DIODE_ZENER-DIODE D5 +U 1 1 4BF5945E +P 8500 2350 +F 0 "D5" H 9050 2650 30 0000 C CNN +F 1 "SMALL_DIODE_ZENER-DIODE" H 8900 2400 30 0000 C CNN + 1 8500 2350 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C3 +U 1 1 4BF59350 +P 8700 1700 +F 0 "C3" H 8850 1950 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 8950 1750 30 0000 C CNN + 1 8700 1700 + 0 1 1 0 +$EndComp +$Comp +L SMALL_INDUCTANCE L4 +U 1 1 4BF592F4 +P 9800 1700 +F 0 "L4" H 10100 1900 30 0000 C CNN +F 1 "SMALL_INDUCTANCE" H 10100 1750 30 0000 C CNN + 1 9800 1700 + 1 0 0 -1 +$EndComp +Text GLabel 7950 1700 3 60 Input ~ 0 +12-14V +Text GLabel 5100 4500 0 60 Input ~ 0 +GND +$Comp +L SMALL_GND U2 +U 1 1 4BF5864D +P 5100 4900 +F 0 "U2" H 5400 5100 30 0000 C CNN +F 1 "SMALL_GND" H 5300 4950 30 0000 C CNN + 1 5100 4900 + 1 0 0 -1 +$EndComp +Text GLabel 5050 2300 0 60 Input ~ 0 +GND +$Comp +L SMALL_GND U1 +U 1 1 4BF585E1 +P 5050 2650 +F 0 "U1" H 5350 2850 30 0000 C CNN +F 1 "SMALL_GND" H 5250 2700 30 0000 C CNN + 1 5050 2650 + 1 0 0 -1 +$EndComp +Text GLabel 8800 2450 0 60 Input ~ 0 +GND +Text GLabel 7600 3750 0 60 Input ~ 0 +GND +Text GLabel 7350 6600 0 60 Input ~ 0 +GND +$Comp +L SMALL_GND U4 +U 1 1 4BF58527 +P 8350 6950 +F 0 "U4" H 8650 7150 30 0000 C CNN +F 1 "SMALL_GND" H 8550 7000 30 0000 C CNN + 1 8350 6950 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_GND U3 +U 1 1 4BF5851B +P 7700 4150 +F 0 "U3" H 8000 4350 30 0000 C CNN +F 1 "SMALL_GND" H 7900 4200 30 0000 C CNN + 1 7700 4150 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_GND U5 +U 1 1 4BF584E8 +P 8800 2800 +F 0 "U5" H 9100 3000 30 0000 C CNN +F 1 "SMALL_GND" H 9000 2850 30 0000 C CNN + 1 8800 2800 + 1 0 0 -1 +$EndComp +$Comp +L Small_RESISTOR~ R5 +U 1 1 4BF5835A +P 8050 6550 +F 0 "R5" H 8350 6850 30 0000 C CNN +F 1 "100k" H 8400 6650 30 0000 C CNN + 1 8050 6550 + 0 -1 -1 0 +$EndComp +$Comp +L Small_RESISTOR~ R4 +U 1 1 4BF582BB +P 7750 5400 +F 0 "R4" H 8050 5700 30 0000 C CNN +F 1 "22k" H 8100 5500 30 0000 C CNN + 1 7750 5400 + 0 -1 -1 0 +$EndComp +$Comp +L Small_RESISTOR~ R2 +U 1 1 4BF582B2 +P 6850 5700 +F 0 "R2" H 7150 6000 30 0000 C CNN +F 1 "1k" H 7200 5800 30 0000 C CNN + 1 6850 5700 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_RESISTOR~ R3 +U 1 1 4BF58297 +P 6850 6000 +F 0 "R3" H 7150 6300 30 0000 C CNN +F 1 "1k" H 7200 6100 30 0000 C CNN + 1 6850 6000 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_RESISTOR_THERMISTOR_PTC R6 +U 1 1 4BF58247 +P 9000 1050 +F 0 "R6" H 9700 1300 30 0000 C CNN +F 1 "SMALL_RESISTOR_THERMISTOR_PTC" H 9500 1100 30 0000 C CNN + 1 9000 1050 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_CAPACITOR C1 +U 1 1 4BF5823C +P 7750 6450 +F 0 "C1" H 7900 6700 30 0000 C CNN +F 1 "100nF" H 8000 6500 30 0000 C CNN + 1 7750 6450 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_TRANSISTOR-N-MOSFET-ENHANCEMENT T1 +U 1 1 4BF58214 +P 7800 6050 +F 0 "T1" H 8400 6350 30 0000 C CNN +F 1 "SMALL_TRANSISTOR-N-MOSFET-ENHANCEMENT" H 8350 6100 30 0000 C CNN + 1 7800 6050 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_DIODE-STANDARD D3 +U 1 1 4BF5810B +P 6250 3150 +F 0 "D3" H 6700 3400 30 0000 C CNN +F 1 "SMALL_DIODE-STANDARD" H 6600 3200 30 0000 C CNN + 1 6250 3150 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_DIODE-STANDARD D6 +U 1 1 4BF58101 +P 8750 5400 +F 0 "D6" H 9200 5650 30 0000 C CNN +F 1 "1N4007" H 9100 5450 30 0000 C CNN + 1 8750 5400 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C2 +U 1 1 4BF580E2 +P 7950 3500 +F 0 "C2" H 8100 3750 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 8200 3550 30 0000 C CNN + 1 7950 3500 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_INDUCTANCE L2 +U 1 1 4BF580D7 +P 8000 3650 +F 0 "L2" H 8300 3850 30 0000 C CNN +F 1 "SMALL_INDUCTANCE" H 8300 3700 30 0000 C CNN + 1 8000 3650 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_INDUCTANCE L1 +U 1 1 4BF580A9 +P 8000 3050 +F 0 "L1" H 8300 3250 30 0000 C CNN +F 1 "SMALL_INDUCTANCE" H 8300 3100 30 0000 C CNN + 1 8000 3050 + 1 0 0 -1 +$EndComp +$Comp +L BIG_TERMINAL_BLOCK-5PIN X1 +U 1 1 4BF58080 +P 9350 4150 +F 0 "X1" H 10150 4800 60 0000 C CNN +F 1 "BIG_TERMINAL_BLOCK-5PIN" H 9950 4200 60 0000 C CNN + 1 9350 4150 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_RELAY-1XCHANGE_SPDT K1 +U 1 1 4BF57F3E +P 8750 5450 +F 0 "K1" H 9350 6100 30 0000 C CNN +F 1 "SMALL_RELAY-1XCHANGE_SPDT" H 9300 5550 30 0000 C CNN + 1 8750 5450 + 1 0 0 -1 +$EndComp +$Comp +L OPTOCOUPLER_SFH617A-1_SINGLECHANNEL IC2 +U 1 1 4BF57C87 +P 4900 4150 +F 0 "IC2" H 4900 4300 30 0000 C CNN +F 1 "OPTOCOUPLER_SFH617A-1_SINGLECHANNEL" H 4900 4000 30 0000 C CNN + 1 4900 4150 + 1 0 0 -1 +$EndComp +$Comp +L OPTOCOUPLER_SFH617A-1_SINGLECHANNEL IC1 +U 1 1 4BF57C82 +P 4800 2000 +F 0 "IC1" H 4800 2150 30 0000 C CNN +F 1 "OPTOCOUPLER_SFH617A-1_SINGLECHANNEL" H 4800 1850 30 0000 C CNN + 1 4800 2000 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_DIODE_LIGHT-EMITTING_LED D2 +U 1 1 4BF57C78 +P 3650 4300 +F 0 "D2" H 4350 4550 30 0000 C CNN +F 1 "SMALL_DIODE_LIGHT-EMITTING_LED" H 4200 4350 30 0000 C CNN + 1 3650 4300 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_DIODE_LIGHT-EMITTING_LED D1 +U 1 1 4BF57C65 +P 3550 2150 +F 0 "D1" H 4250 2400 30 0000 C CNN +F 1 "SMALL_DIODE_LIGHT-EMITTING_LED" H 4100 2200 30 0000 C CNN + 1 3550 2150 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_DIODE_ZENER-DIODE D4 +U 1 1 4BF57B59 +P 8350 1600 +F 0 "D4" H 8900 1900 30 0000 C CNN +F 1 "SMALL_DIODE_ZENER-DIODE" H 8750 1650 30 0000 C CNN + 1 8350 1600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR_THERMISTOR_PTC R1 +U 1 1 4BF57B47 +P 6750 3100 +F 0 "R1" H 7450 3350 30 0000 C CNN +F 1 "SMALL_RESISTOR_THERMISTOR_PTC" H 7250 3150 30 0000 C CNN + 1 6750 3100 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_CAPACITOR C4 +U 1 1 4BF57B18 +P 8950 1000 +F 0 "C4" H 9100 1250 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 9200 1050 30 0000 C CNN + 1 8950 1000 + 0 1 1 0 +$EndComp +$Comp +L SMALL_INDUCTANCE L5 +U 1 1 4BF57B04 +P 9800 2400 +F 0 "L5" H 10100 2600 30 0000 C CNN +F 1 "SMALL_INDUCTANCE" H 10100 2450 30 0000 C CNN + 1 9800 2400 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_INDUCTANCE L3 +U 1 1 4BF57AE5 +P 9800 1000 +F 0 "L3" H 10100 1200 30 0000 C CNN +F 1 "SMALL_INDUCTANCE" H 10100 1050 30 0000 C CNN + 1 9800 1000 + 1 0 0 -1 +$EndComp +$Sheet +S 6350 1350 1250 1100 +U 4BF578D1 +F0 "12VRegulatorBlock" 61 +F1 "12VRegulatorBuildingBlock.sch" 30 +F2 "Stab." I L 6350 1600 60 +F3 "GND" I L 6350 2200 60 +F4 "DCin-" I R 7600 2200 60 +F5 "DCin+" I R 7600 1600 60 +$EndSheet +$Sheet +S 2450 3500 1250 1100 +U 4BF576BE +F0 "UnderVoltageDetector-BuildingBlock-II" 61 +F1 "UnderVoltageDetectorBuildingBlock.sch" 30 +F2 "VMeasure+" U L 2450 3850 60 +F3 "VMeasure-" U L 2450 4300 60 +F4 "Signal" U R 3700 4100 60 +$EndSheet +$Sheet +S 2450 1400 1250 1100 +U 4BF57658 +F0 "UnderVoltageDetector-BuildingBlock-I" 61 +F1 "UnderVoltageDetectorBuildingBlock.sch" 30 +F2 "VMeasure+" U L 2450 1650 60 +F3 "VMeasure-" U L 2450 2200 60 +F4 "Signal" U R 3700 1950 60 +$EndSheet +$EndSCHEMATC diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetectorBuildingBlock.sch b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetectorBuildingBlock.sch new file mode 100644 index 00000000..d987ed56 --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/UnderVoltageDetectorBuildingBlock.sch @@ -0,0 +1,174 @@ +EESchema Schematic File Version 2 date 26.05.2010 12:57:49 +LIBS:UnderVoltageDetector24V-2Group_Experimental-cache +LIBS:UnderVoltageDetector24V-2Group_Experimental-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11700 8267 +Sheet 6 8 +Title "" +Date "26 may 2010" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Wire Wire Line + 4800 2500 4800 2650 +Wire Wire Line + 4800 1100 4800 2100 +Wire Wire Line + 3200 1100 4800 1100 +Wire Wire Line + 2400 1100 2700 1100 +Wire Wire Line + 1450 1100 1900 1100 +Wire Wire Line + 3100 5450 6600 5450 +Connection ~ 5600 3300 +Connection ~ 5600 5450 +Wire Wire Line + 5600 5450 5600 3000 +Connection ~ 3700 5450 +Wire Wire Line + 6600 3000 6900 3000 +Wire Wire Line + 6900 3000 6900 3300 +Wire Wire Line + 6900 3300 5600 3300 +Connection ~ 3700 3100 +Connection ~ 3700 1100 +Wire Wire Line + 3700 1100 3700 3100 +Wire Wire Line + 3500 3100 3500 3300 +Wire Wire Line + 3500 3100 3850 3100 +Wire Wire Line + 3850 3100 3850 3300 +Wire Wire Line + 4800 2650 5900 2650 +Wire Wire Line + 3500 3850 3500 3700 +Wire Wire Line + 3500 3850 3850 3850 +Wire Wire Line + 3850 3850 3850 3700 +Wire Wire Line + 6600 2650 7200 2650 +Wire Wire Line + 7200 2650 7200 4350 +Wire Wire Line + 7200 4350 6250 4350 +Wire Wire Line + 6250 4350 6250 5200 +Wire Wire Line + 6250 5200 6600 5200 +Wire Wire Line + 3700 5450 3700 3850 +Connection ~ 3700 3850 +Wire Wire Line + 5600 3000 5900 3000 +Wire Wire Line + 8450 5300 7650 5300 +Wire Wire Line + 2100 5450 2600 5450 +Wire Wire Line + 6600 5000 4250 5000 +Wire Wire Line + 4250 5000 4250 1100 +Connection ~ 4250 1100 +Text HLabel 1450 1100 0 60 UnSpc ~ 0 +VMeasure+ +Text HLabel 2100 5450 0 60 UnSpc ~ 0 +VMeasure- +Text HLabel 8450 5300 2 60 UnSpc ~ 0 +Signal +$Comp +L SMALL_INDUCTANCE L9 +U 1 1 4BF572F6 +P 2550 5550 +AR Path="/4BF576BE/4BF572F6" Ref="L9" Part="1" +AR Path="/4BF57658/4BF572F6" Ref="L7" Part="1" +F 0 "L7" H 2850 5750 30 0000 C CNN +F 1 "SMALL_INDUCTANCE" H 2850 5600 30 0000 C CNN + 1 2550 5550 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_INDUCTANCE L8 +U 1 1 4BF572F0 +P 1850 1200 +AR Path="/4BF576BE/4BF572F0" Ref="L8" Part="1" +AR Path="/4BF57658/4BF572F0" Ref="L6" Part="1" +F 0 "L6" H 2150 1400 30 0000 C CNN +F 1 "SMALL_INDUCTANCE" H 2150 1250 30 0000 C CNN + 1 1850 1200 + 1 0 0 -1 +$EndComp +$Sheet +S 5900 2500 700 650 +U 4BF54CF4 +F0 "5V-Regulator-I" 60 +F1 "5VRegulatorBuildingBlock.sch" 24 +F2 "Stab." I R 6600 2650 60 +F3 "GND" I R 6600 3000 60 +F4 "DCin-" I L 5900 3000 60 +F5 "DCin+" I L 5900 2650 60 +$EndSheet +$Comp +L SMALL_DIODE_ZENER-DIODE D9 +U 1 1 4BF56AC4 +P 4050 3900 +AR Path="/4BF576BE/4BF56AC4" Ref="D9" Part="1" +AR Path="/4BF57658/4BF56AC4" Ref="D7" Part="1" +F 0 "D7" H 4600 4200 30 0000 C CNN +F 1 "SMALL_DIODE_ZENER-DIODE" H 4450 3950 30 0000 C CNN + 1 4050 3900 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C6 +U 1 1 4BF56A8F +P 3700 3750 +AR Path="/4BF576BE/4BF56A8F" Ref="C6" Part="1" +AR Path="/4BF57658/4BF56A8F" Ref="C5" Part="1" +F 0 "C5" H 3850 4000 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 3950 3800 30 0000 C CNN + 1 3700 3750 + 0 -1 -1 0 +$EndComp +$Sheet +S 6600 4750 1050 950 +U 4BF54D51 +F0 "VoltageDetector-1" 60 +F1 "VoltageDetectorBuildingBlock.sch" 24 +F2 "+Ustab" U L 6600 5200 60 +F3 "Common-" U L 6600 5450 60 +F4 "VMeasure" U L 6600 5000 60 +F5 "Signal" U R 7650 5300 60 +$EndSheet +$Comp +L SMALL_DIODE-STANDARD D10 +U 1 1 4BF55573 +P 4600 1950 +AR Path="/4BF576BE/4BF55573" Ref="D10" Part="1" +AR Path="/4BF57658/4BF55573" Ref="D8" Part="1" +F 0 "D8" H 5050 2200 30 0000 C CNN +F 1 "SMALL_DIODE-STANDARD" H 4950 2000 30 0000 C CNN + 1 4600 1950 + 0 1 1 0 +$EndComp +$Comp +L SMALL_RESISTOR_THERMISTOR_PTC R9 +U 1 1 4BF55547 +P 3450 950 +AR Path="/4BF576BE/4BF55547" Ref="R9" Part="1" +AR Path="/4BF57658/4BF55547" Ref="R8" Part="1" +F 0 "R8" H 4150 1200 30 0000 C CNN +F 1 "SMALL_RESISTOR_THERMISTOR_PTC" H 3950 1000 30 0000 C CNN + 1 3450 950 + -1 0 0 1 +$EndComp +$EndSCHEMATC diff --git a/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/VoltageDetectorBuildingBlock.sch b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/VoltageDetectorBuildingBlock.sch new file mode 100644 index 00000000..035f01ea --- /dev/null +++ b/library/Buildingblocks/HierarchicalSchematicsExperimentalprojekt23052010/VoltageDetectorBuildingBlock.sch @@ -0,0 +1,368 @@ +EESchema Schematic File Version 2 date 26.05.2010 12:57:49 +LIBS:UnderVoltageDetector24V-2Group_Experimental-cache +LIBS:UnderVoltageDetector24V-2Group_Experimental-cache +EELAYER 24 0 +EELAYER END +$Descr A4 11700 8267 +Sheet 8 8 +Title "" +Date "26 may 2010" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Connection ~ 6200 5150 +Wire Wire Line + 8950 5150 2500 5150 +Connection ~ 7450 5150 +Connection ~ 5050 5150 +Connection ~ 4350 5150 +Connection ~ 2900 5150 +Connection ~ 8950 4200 +Wire Wire Line + 8950 4600 8950 4200 +Wire Wire Line + 10500 4200 9700 4200 +Wire Wire Line + 2900 5150 2900 4550 +Wire Wire Line + 7450 5150 7450 5000 +Wire Wire Line + 7100 3750 7850 3750 +Wire Wire Line + 3550 5150 3550 4550 +Connection ~ 3200 3650 +Connection ~ 2900 3650 +Wire Wire Line + 3200 3650 2900 3650 +Connection ~ 4350 3650 +Connection ~ 4650 3650 +Wire Wire Line + 4350 3650 4650 3650 +Wire Wire Line + 3200 5150 3200 4550 +Wire Wire Line + 4350 5150 4350 4550 +Wire Wire Line + 4350 3500 4350 4050 +Wire Wire Line + 2300 2850 4650 2850 +Connection ~ 6200 2700 +Wire Wire Line + 5700 2700 6650 2700 +Connection ~ 6200 3950 +Wire Wire Line + 6200 5150 6200 2700 +Wire Wire Line + 5050 5150 5050 4550 +Wire Wire Line + 7850 3750 7850 4500 +Wire Wire Line + 7100 3950 7450 3950 +Wire Wire Line + 7450 3950 7450 4600 +Wire Wire Line + 6650 3050 6350 3050 +Wire Wire Line + 6350 3050 6350 3650 +Wire Wire Line + 6350 3650 6500 3650 +Connection ~ 7300 3050 +Wire Wire Line + 7300 2700 7150 2700 +Wire Wire Line + 4650 2850 4650 3000 +Wire Wire Line + 3200 2850 3200 3000 +Wire Wire Line + 3200 3500 3200 4050 +Connection ~ 5050 3950 +Wire Wire Line + 5050 4150 5050 3950 +Wire Wire Line + 4650 3500 4650 4050 +Connection ~ 4650 3950 +Connection ~ 3200 3750 +Wire Wire Line + 7300 3350 7050 3350 +Wire Wire Line + 7100 3650 7300 3650 +Wire Wire Line + 7300 3050 7050 3050 +Connection ~ 7300 3350 +Wire Wire Line + 6500 3850 6400 3850 +Wire Wire Line + 6400 3850 6400 4200 +Wire Wire Line + 6200 3950 6500 3950 +Wire Wire Line + 6650 3350 6350 3350 +Connection ~ 6350 3350 +Wire Wire Line + 4650 3950 5900 3950 +Wire Wire Line + 5900 3950 5900 4400 +Wire Wire Line + 5900 4400 7250 4400 +Wire Wire Line + 7250 4400 7250 3850 +Wire Wire Line + 7250 3850 7100 3850 +Wire Wire Line + 7300 3650 7300 2300 +Connection ~ 7300 2700 +Connection ~ 3200 2850 +Wire Wire Line + 2500 4100 2500 2850 +Connection ~ 2500 2850 +Wire Wire Line + 4350 3000 4350 2850 +Connection ~ 4350 2850 +Wire Wire Line + 2900 3000 2900 2850 +Connection ~ 2900 2850 +Wire Wire Line + 4650 5150 4650 4550 +Wire Wire Line + 2900 3500 2900 4050 +Wire Wire Line + 3550 4150 3550 3750 +Wire Wire Line + 3550 3750 3600 3750 +Connection ~ 3600 3750 +Wire Wire Line + 6500 3750 3200 3750 +Wire Wire Line + 8950 5150 8950 5000 +Wire Wire Line + 7850 5150 7850 5000 +Wire Wire Line + 2500 5150 2500 4500 +Wire Wire Line + 6400 4200 9200 4200 +Connection ~ 3200 5150 +Connection ~ 3550 5150 +Connection ~ 4650 5150 +Connection ~ 7850 5150 +Text HLabel 10500 4200 2 60 UnSpc ~ 0 +Signal +$Comp +L SMALL_CAPACITOR C35 +U 1 1 4BF5464D +P 9150 5050 +AR Path="/4BF576BE/4BF54D51/4BF5464D" Ref="C35" Part="1" +AR Path="/4BF57658/4BF54D51/4BF5464D" Ref="C23" Part="1" +F 0 "C23" H 9300 5300 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 9400 5100 30 0000 C CNN + 1 9150 5050 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R28 +U 1 1 4BF545F2 +P 3100 4600 +AR Path="/4BF576BE/4BF54D51/4BF545F2" Ref="R28" Part="1" +AR Path="/4BF57658/4BF54D51/4BF545F2" Ref="R15" Part="1" +F 0 "R15" H 3400 4900 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 3450 4700 30 0000 C CNN + 1 3100 4600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R32 +U 1 1 4BF5459D +P 4550 4600 +AR Path="/4BF576BE/4BF54D51/4BF5459D" Ref="R32" Part="1" +AR Path="/4BF57658/4BF54D51/4BF5459D" Ref="R19" Part="1" +F 0 "R19" H 4850 4900 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 4900 4700 30 0000 C CNN + 1 4550 4600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R31 +U 1 1 4BF54567 +P 4550 3550 +AR Path="/4BF576BE/4BF54D51/4BF54567" Ref="R31" Part="1" +AR Path="/4BF57658/4BF54D51/4BF54567" Ref="R18" Part="1" +F 0 "R18" H 4850 3850 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 4900 3650 30 0000 C CNN + 1 4550 3550 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R27 +U 1 1 4BF54562 +P 3100 3550 +AR Path="/4BF576BE/4BF54D51/4BF54562" Ref="R27" Part="1" +AR Path="/4BF57658/4BF54D51/4BF54562" Ref="R14" Part="1" +F 0 "R14" H 3400 3850 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 3450 3650 30 0000 C CNN + 1 3100 3550 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C29 +U 1 1 4BF53A6A +P 2700 4550 +AR Path="/4BF576BE/4BF54D51/4BF53A6A" Ref="C29" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53A6A" Ref="C17" Part="1" +F 0 "C17" H 2850 4800 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 2950 4600 30 0000 C CNN + 1 2700 4550 + 0 -1 -1 0 +$EndComp +Text HLabel 7300 2300 1 60 UnSpc ~ 0 ++Ustab +Text HLabel 5700 2700 0 60 UnSpc ~ 0 +Common- +Text HLabel 2300 2850 0 60 UnSpc ~ 0 +VMeasure +$Comp +L SMALL_RESISTOR~ R36 +U 1 1 4BF53415 +P 8050 5050 +AR Path="/4BF576BE/4BF54D51/4BF53415" Ref="R36" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53415" Ref="R23" Part="1" +F 0 "R23" H 8350 5350 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 8400 5150 30 0000 C CNN + 1 8050 5050 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R35 +U 1 1 4BF533C8 +P 6600 2900 +AR Path="/4BF576BE/4BF54D51/4BF533C8" Ref="R35" Part="1" +AR Path="/4BF57658/4BF54D51/4BF533C8" Ref="R22" Part="1" +F 0 "R22" H 6900 3200 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 6950 3000 30 0000 C CNN + 1 6600 2900 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_RESISTOR~ R29 +U 1 1 4BF531B8 +P 3400 3550 +AR Path="/4BF576BE/4BF54D51/4BF531B8" Ref="R29" Part="1" +AR Path="/4BF57658/4BF54D51/4BF531B8" Ref="R16" Part="1" +F 0 "R16" H 3700 3850 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 3750 3650 30 0000 C CNN + 1 3400 3550 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R30 +U 1 1 4BF531B6 +P 3400 4600 +AR Path="/4BF576BE/4BF54D51/4BF531B6" Ref="R30" Part="1" +AR Path="/4BF57658/4BF54D51/4BF531B6" Ref="R17" Part="1" +F 0 "R17" H 3700 4900 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 3750 4700 30 0000 C CNN + 1 3400 4600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C30 +U 1 1 4BF531B5 +P 3750 4600 +AR Path="/4BF576BE/4BF54D51/4BF531B5" Ref="C30" Part="1" +AR Path="/4BF57658/4BF54D51/4BF531B5" Ref="C18" Part="1" +F 0 "C18" H 3900 4850 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 4000 4650 30 0000 C CNN + 1 3750 4600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C31 +U 1 1 4BF531A4 +P 5250 4600 +AR Path="/4BF576BE/4BF54D51/4BF531A4" Ref="C31" Part="1" +AR Path="/4BF57658/4BF54D51/4BF531A4" Ref="C19" Part="1" +F 0 "C19" H 5400 4850 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 5500 4650 30 0000 C CNN + 1 5250 4600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R34 +U 1 1 4BF53197 +P 4850 4600 +AR Path="/4BF576BE/4BF54D51/4BF53197" Ref="R34" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53197" Ref="R21" Part="1" +F 0 "R21" H 5150 4900 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 5200 4700 30 0000 C CNN + 1 4850 4600 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_RESISTOR~ R33 +U 1 1 4BF53185 +P 4850 3550 +AR Path="/4BF576BE/4BF54D51/4BF53185" Ref="R33" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53185" Ref="R20" Part="1" +F 0 "R20" H 5150 3850 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 5200 3650 30 0000 C CNN + 1 4850 3550 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR_POLARISED_OLD_TYPE C33 +U 1 1 4BF5314C +P 7250 3250 +AR Path="/4BF576BE/4BF54D51/4BF5314C" Ref="C33" Part="1" +AR Path="/4BF57658/4BF54D51/4BF5314C" Ref="C21" Part="1" +F 0 "C21" H 7650 3600 30 0000 C CNN +F 1 "SMALL_CAPACITOR_POLARISED_OLD_TYPE" H 7850 3300 30 0000 C CNN + 1 7250 3250 + -1 0 0 -1 +$EndComp +$Comp +L SMALL_CAPACITOR C34 +U 1 1 4BF53132 +P 7650 5050 +AR Path="/4BF576BE/4BF54D51/4BF53132" Ref="C34" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53132" Ref="C22" Part="1" +F 0 "C22" H 7800 5300 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 7900 5100 30 0000 C CNN + 1 7650 5050 + 0 -1 -1 0 +$EndComp +$Comp +L SMALL_CAPACITOR C32 +U 1 1 4BF53126 +P 6600 3550 +AR Path="/4BF576BE/4BF54D51/4BF53126" Ref="C32" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53126" Ref="C20" Part="1" +F 0 "C20" H 6750 3800 30 0000 C CNN +F 1 "SMALL_CAPACITOR" H 6850 3600 30 0000 C CNN + 1 6600 3550 + 1 0 0 -1 +$EndComp +$Comp +L SMALL_RESISTOR~ R37 +U 1 1 4BF53118 +P 9150 4400 +AR Path="/4BF576BE/4BF54D51/4BF53118" Ref="R37" Part="1" +AR Path="/4BF57658/4BF54D51/4BF53118" Ref="R24" Part="1" +F 0 "R24" H 9450 4700 30 0000 C CNN +F 1 "SMALL_RESISTOR " H 9500 4500 30 0000 C CNN + 1 9150 4400 + 1 0 0 -1 +$EndComp +$Comp +L NE555 IC4 +U 1 1 4BF52FB8 +P 6800 3800 +AR Path="/4BF576BE/4BF54D51/4BF52FB8" Ref="IC4" Part="1" +AR Path="/4BF57658/4BF54D51/4BF52FB8" Ref="IC3" Part="1" +F 0 "IC3" H 6800 4050 30 0000 C CNN +F 1 "NE555" H 6800 3550 30 0000 C CNN + 1 6800 3800 + 1 0 0 -1 +$EndComp +$EndSCHEMATC diff --git a/library/Buildingblocks/KatalogUeberKiCadBuildingBlocks_21Apr2013.pdf b/library/Buildingblocks/KatalogUeberKiCadBuildingBlocks_21Apr2013.pdf new file mode 100644 index 0000000000000000000000000000000000000000..99ffb013cb7ec1f6f789d8809567058f6a261a3e GIT binary patch literal 568750 zcmV))K#IR5P((&8F)lO;CF0}H(+V;mFd%PYY6?6&FGYBCM^kiRbY(m|FJUk|JTGHm zATcjPK_D?cK0ZD^J_==SWN%_>3Ns)uAa7!73Oqb7MrmwxWpXb@Y+-a|L}g=dWMwZ* zWo~D5Xdp2*G&DCpK67+(Wnpa!c$~$3&5m_DkJfx&Mcn|KmH(A1XbFiy!w@k*2LwV@ zgN6aOPagkgzx8oedV*SCzqNf<9NTdm+i{YAd0p?GOUv`?TL1SS{^{%A{+H|PzyI$A z9{IYi{`G%e>FeLE|NYO`fBMf~*ZcbVudn*`@4x=#D^ZJogMZrWUw{3)^8LQA^dy6*NR{_-f{whn(i|_BR zm-TzSbA8&c@Y{NSx4?hv>0Ns|lR)e3U2_zHLXKn*(I_On?;NDidVZH2#Q;dBA$xh} z`8}_PotK*5wN%#x1srHX?q!VyhNyvh{9I$VewHy82K;VosB}nkUrK+E?ROvj>lIqm z_dSzf#P9aLO2hB_E&*Uet}R{*GAd)u#F1&EwK-5v6^5#b|2|L?5f6qX-7X*ezDg93 z4Yx|j056qMY{VQ3TIUadR?7#372@Ts%DY}sPOh@v>_Ca@obTLRjpX-%7S%M#g0WgG z40$o%vZ+bUFvB#>m$4&2TC=1$-~~vX`OB05fC2Mz5!c^_v?Nd$elH=WqBsUyq)IX~ zq?s&4=vNg9l%*Uri+H(94{d}w!}?sLs(beHK=rg+Q6v|tX_iVA3X$$Ru;&nyt80x) z36R#JWC58wpuWf0WDCu@dK4}od5o=l)jk!kLrlJ*nQ^M9ABd;7{=UL0D8CPswvBr& z;G~q8us>f0IIuEpjCZYM-Y!!8Hu}4>mi7yi9a!%a0MD6I^|7A^>Z8nHOs^W*JF*SR zANqz7a|WRsGV$u=V8241U)>eeUZAW*uID%n>ZeD3#}}0~$|l zZ6lo;Qlg^59TSUUzty5>3!V9fQf$E(x+<(;cSI)M?wTxc`r^uSuE>%P3c zD?xy4C-nC-hk_L=rG;ydM}gJ58sI6C)bG`X-Ju`oUdiisf9D&bEbIa32ak~6X^kGd zIAia|Up=2Ocwh59?io0|Q=-{_#3IlH4uEAz?v6|X?|rp1E@cdtttQW1$54Mi6F(c_ zJPij=bpT2)EdShY0xZMEfHD~{3oWSzxtDNKY;(Vd;9#Wpdsa}kx*ZMXZmklNP+-j| z4zS;imelVrBQyZ+*$9ATn91N?8m-lVj3wrjc0e1Et`(6qrbDrgwZPQauL{*DJCL&K zqYstprN}Z zKpdcBEo@ua4WNtRi_Q^Mh5pu2p~5#Pb6xRM#4x zT6a~hFgE&|mj7ZXNoId)NS0HqqGttQz; zr^D*91EgIw3NM0KdfrUM=crYF-n|LXiBy3(BN0NpYAYAVfehPNSXOl0+8X#me&!07 z*E-T_V<)4H!X88ffP_{%{f9+wTSQ!qL9Ma-T{$LMkVzg6AO#7Tx@3AM{bo5At=?~n zzF$C%uUnmzqKlnPa({)MWjsMKudyJR0vYCk@N8T?cDV<9Czr%cNr*-7oSpg^w0r`> zgK2L1f`B*bTKsea0g{AqZgxlw`xbyu;!}A7-aJbLG%{2!nHNAKwM~4D^e>8dg*i)W zF$}E8fVAr-NFzH(^faVk4Af}WO&`5ST&)RGk_l&Vbtm$GU0hGl+>7H@RV5*t34c6t zIp4EyO0wF(!UQE*kUQUJN^%#D(0y1I1U|Em!ksxi5COZwz5u#fiUco69#PpAfP8yb zwgs7+sE8cQrJ!tgUCNfWW^3NHwxOdcR*%i?z0IX9%(f#^pUIq*_yF} z=yV5WxHZAzqjO%{6a)yu(G!c`ufdsdv-lm`Fddo)cjckQMo~h)KEC_9C z8Qv$J11upN;&!V8YLF^P^G9fk=)I#!LSH}FvN1H=cccdCqNi9I?-m7O6w*YEj?g$U z?S0Kkkil+k_-5V$piOoA=yAhst{pvxbGkxrO>6LTtoT*|U z97u3k{kCa^0y=5xHvwq!E=ax@l6kJ0;=BN&<=a3F`^#_+t=Snho$6NrV87o6&w6r* z_M~I?0;t8IlixOQ)?~0ja;!s~wU>9TAfxVJ)@5-&f_xQnVo3rT70fV&RWWqVT?9y@ z75ji(|=z(*E zIG%zzLDXnnKm}#@O&Wpt=S`bDsPc5X~4PtA;0MoI|ImLG`d{LC@=5u zW5rmA$rn1K?>4!syrwwsF0cDzYycrwJ<4lG^jothl@o2t$^U$fmN@@varPrDmkgC* zx!A_3?~8so=nsHCW0*y>U^;4GFz+w;jB1W~2#qxpxafqt^GFT#=FqS}Gku3QE zlYNV_z-5zR5s!H+<+$4iK(o%0_yLH6UrqebM>B5}FEf#1LIhpqwC!LJJ=ZRcCAmJf zX59h|MZF*tUuaSpot2i)lF9=M+^ivA1jwi%uQK|@d6knK#6S_(j{o(7u=hE-tJM3cNs? zgpd`}Af{*9%#}(phiy6S-MLf>L$my0K^lP+8qdCQX;jWC zMrrJLera_?jcv;*HNF)UzEM#KIbk+`Ao{J@BYNg)p3MNk%}%mmuO{gs8nOvCRm=5Z z0alcvd!dhoRWxI0^F<*?SgJT7jlvLMK^A%2;a_;wbvam@mvWRCqB!Ir@Phlbr|lf_ zuSCPaHXvBUH5Z)WS&HeLllzFNBh|KSZert9_4>J+zQTfDgI3eKl1R3s#erxu~!7A+N zx{jGIcfwYeB8-4WA*!l?@cx3v+wNIvy#4atoA?=zdcP&1Q%SGTdzEEvO2On4G$XSg z9+;T2n{gtGk+??0(HO*JF)sE#T^0*M@mZ9G_I@A>bIZy=oy-q;Sd@BTz(XW*n5HIV z2!F_Lqk@YgB)b1^NKhic>6-L-&p~`LXNULx*YA3>OXgpUt3(IPzWGG+TdRj&8j3!Z zgCEL%-&mv1c#=JO=c!AzS5m(t$qsns(pt&Qljlj&l;k|s?kVKq21BEW-o|7~L6dhR z+x$+;3Q7)#etBpp2UV;;!LJiyDTO`u-|QVKA>`HZex8wn16-trlS;K1Qdy_4*Z=Jf zt&Ip%lcVAx^G!ZSXjbI3v|~mM$smZ7tpfK&8;V?r`q;6f<3}}#2b7n3<`G36J`rK4 z>lcKvq4}lJBU&1#iG*(^hJ2vKWvZHG=WkpRm6L_`%^!$GZcYz@>MGFFx$(Dz@E>zCtfFr@7vH@|wp#3pcv}-pj0l*@(Ken3Q_&A zR!Ne}hBt-akh@O0Ii}QE8>-gG5p965yZ4HHBfJ0D5>n8#Sb!t)W6gue?HdzC&b(#^ zS$rV+t=SPhNz?O2PmCtcgK=fO)NA%pB#c-8Wh^u;*@}&(z{z;f1IJrjS ze(6RpPGn%MqY4@Uw2e^(_!wK8B8MIvuj!z5?gJ!bZR%L}I(3XfZzQ{y0kK0&tlsz19ha-BEa&b{_;0#XtKfVoHqyI=4*(q^a? za%6_ZPtlqABM!x>T3RFGDG(!W)Z>jxRtw`vA!j@(8Z%%ELcX$zyjHGwjevVwBwon* zP*%c?!Gcgy!ZpAkrX|)&HR(2+d_15dj=nByGYh{`mRT zAcdi+09pNp6lD1Fw;-%zCp}MD6U>a@$mZP42u^6`n9IQ7P_h}pj4Y6v2yK=)QZ_51 z=Plie7{slJwsOYhXsVBrwK`GoyK?P<8~wZSm3M(HIRhDZkOPm##LLc71}-}1@_cLz zVhgslQl<6dl^!No|E9D`V_;tR2+Pm{)v~p!AM*j{QF%JpoY51i!Obv`^P$b0*J=h* zM^$?F(D*~t4^JCjT&?5t=pLK~JR9cuPFreD0AY~tx8%CS!Y=@&B;CSW&nFgsCO(|x z3N|YrJn+6}p#v8#U_JgB3?Pn;j%@}k2-U2(E3BB!{d0)xmo+mjFw~|g*1zf3%v@j; z^lK)|cWN`?)lfe?PfE2co|?jq9(mJT`^M?%w&JN@{ekGWW{>E(-+Q>f08|4SI-gwG zwL$0%qLb6FOHwcDJVuDjHfyGfy`xsgp9s>NfW!g|LbaK0N0ew=3?0C_@$yElWr|qW zUF$pmn7)HR31?F^2x18WqCpVL3nyCX^zsH#|JNj#_~fJwC?K5kQVBXlIrmnUFmc?< zhW9U=I*9?GLE{1IZOfB^b%C51Jv%EK&?rR56A%usoF}f#vV|W2%~1$XjrVFon`W7{ z`}yvj6yf3WHa;t_<%cEf)RQfh4M5gk8pQ%cT;|2!NEM#s=^`Z2skLw4LBUzKDVq|C zI>~;R^?uPN+_zT%7CzYc?FEQPzJA5>LmY>@IfXBL;!;*Qz2p$SxyUztrd;CU^@o{{ zrH0;djxMV-*uXkOIJH(MwSyw&P=aWPk9L9`jY3>ry#ErU(`C!Sv%P9VBYR97IVJDIYM#ZA&?S?#~2S=st?e!XQqxHgm=lwM#?0H)+(*0ml|{E*oNn zxHPQyBWbj^_bn&DuI$7-!qGW|k=X()0tuq&9FUv*0GT7|-^)AC2cFOBRqbfgJtsCn z-j#o%!+CS*L>$fy&u^`csNu1T0j$tr`sT303rX8>C`5D(Z>44m*3zg3i19TNMEL}EUiu>)9=x;2y{CC4ehkx0Rc6Y|Zt-6q{csp4u#*6S8kg>cErVJ>? z^1w2qm;yi&iMeGYgKz63?)i&3nRz4!O5xl2{sNLgXI}v-S)`lkC&(cA(|!E6ko9EH z!2McA!k}TpcMc!4!mE=F8g9hB!??Wwxp-h`*vO>Xuodzl!>FMlZfDm~D@iWomvPJD zq=sR`g4V;Zt=~j~jGX?Y!F&A`0T@7UF5^cXlsJB%fh5c0_hKS_0Evs-^^>lGYB?*U?;WH@k6XxNEX<{!uTmIo8}nS#4y8fl3|Ghh2?nNLLlD+xpO55$4nWw3bdPSfLxyq5WoA9 zscI4*aZwEB>7XJ;hj0YLh`7~|=SW_T`<6?O0VQ^IZ|nh5!~CJ_ZvT!KvBs z7MlOwIUtzMPT8 zEzjDBXyL1%VH)^?TD<`oBZ#CQLlBSAdP9yOFtbO2ybStCm6){Mh zJ#COgxkqpHh;_Q*HL^ZviE>U05?b4DT(v(U=KzsrmC2Rl0MH95SY`#%WYtva3UX;F z^7KOI>|Z!jH(ikzo2_Zz%7*%;O$0#r;Tv?{OQG4`Q+X%~B#@@lgB$X(zdHi&PtmB$ zNV(dAOUcR0F&+}TGl9>v9G2QPVJr}7SZLPY>?a%RiTAP2D9nY2!UrAMg3U4%ROny* z0|HwbhdoWvxM{asuVuQopzwgeCvt@cBKU?u;Q^wzESy#3Q}dQV8H|q%qn>lMHYeGPc zR1fKt>HMOHXTgci7e2|OBlshZbYK*KoZ}=Y>bnMEeBk-5*+X(TG-A$w=&y3Mpe!t> zw8^51>E`0}Gix6Gjzh5=orIz4vz_ffap6q4M=cVbv_SMxghwY=SRP79copd&l({ z5RPO|)>hn0a5*~L@=+S!C=^5p9~m!f1Q9mAvM@F)qVOuh#xJ4;5w^nbD!B+z zFjr?3Aso7SM-eUv3)Mup=t06ViVziPJ#PXVl(~zLThzxz$OmoCM2N@QdZn42Xt`{eTyJBY81#1Q1EwHS#d|Ab4P6inAG+;LG~Mc+O56%dwewu>tjv6-v8*0mMQz zXJyJ0YJm}cxghe|*e(X!^dX@~Vy&s#^lOyBu5h$TSN2ll|2LYP&6(rTskumqq4T=J zRjGZ0j8B6G&^_;9nri0gnUZni76>mTDtbp`YcD_?u_PP`0q}&=!Ur1N zKd+@yr)P36NsOnXNn(`6&HxY{WI(d1fed;reA}dhKu+G~MU||i>(e6wdFL#QXyJ27 zM{znDmFjb8p{bYfX`@$@OdN;gkI?f4(A<{HT8k`5HlDb3ZbZKykV;}!)?est*0_WN zLLPjOA-0F_4RUi>X04tuUu7+J87{wwLX6OPr)-fAJ8qpzhf-cX5lPTHYKaPIj%+L% zvHWy(2BeC!#WR$?xuW}3aofhV&*Be6zcqVA&rOLTTfr-mcP090wehWe5yGcx!U|p+ z-x=sy00?C}T~k^W!(-aC5b=ubMujd532KS%$Jk8KqPeuTL8h44g8;Gi`(wqfeZDtm zxsD`pokOx2PaTO-M7`9D1cX;W^)&HvQOYs8p>OWsbpXK8vO2ZYR}O(E$hqe>uTZMK z8OA@@dL|2bAu7mXxId$PX^zW=c<^gsVezlzM{Z+`*L`x?SidE^VPibz``UcDdP`!? z#~2=9iIE;4yt8PS7ld`r)_(d(^30-!H^0sq__+Cf*2wGJa;n7HE~000UC!mWH@V)F zWIM7McjMhO%`*WoOWj1#MaV9$A;vt&^p-`+n@#bmZ3Vx%;Qf%x9nB9R<{-qnnwJcg zQHWP#>gMrPRLbtH_<^B7;LIP^=m~)nxv4nS+oicFMGVL#V%E;(k?KI-pi+v+L>Hrb zVtJ;d)7YWY9pm*5{5>1m%!d?@^Oe`bhFVp%4k? z?j9`HG482Qy)@qu140g>>*Ob*-r4_FT)yeV4oRJ;o@QA`x%Z-H*0CPKVM@~ z^${MqP0v_KxWQ=zGVo+BVj%oG=wt>cLZFIIGwp>Y6xg<#{^bqISAs^D8bApZ(lxYt zMhp_*i5}jaL`|m0iiJF2?UYuGkmWh8xulJVm_oVGwBpZ7vjPw-HwpFcH$y5pWhwI^ zK|pZ%G$0pMm;ezTw!q8627*{p8Al?{O(e>qSzHy~^l@Q5z=G`p@MMFXPztmxTHsQu ze_Rx3)s~oCTnkYq5jf~U=Z)`C3u=Pw>2*gn!6rH}Wom*$)pgSnynW4+pj<-HA3%LQi9|T6<48{MaUGS*HU83BEkd zp(f7;zwD!=PagwO2Wm58=!`YR^a6R}D{qQ{SDMLknPS}hploP{!iKv?)RXhp-u=ry zG9uHxF>w#tAr6c#?-^ajDe@toCo;|0+D$dg@|^ggbrh9Q6?I-KI{HkdQ@P1EJ(kWL z4chHO4Zy{(G$+)w6e<+Jk(<#2B+W^?T;A*neTgDfpEMu`t)0t!QBg$%NY_4R^2G^! z7iqpOu{tVp`^Lrvi0Bb|9l96#g5&PvoAE{*sgCLZXvVH-gcMq?4y*<0h{*K&z%)ita0K4gS+7OAy*z&qmJ61_4!3*R^Um~mV+#RWLS zey81ZVC$;JXPc*-^dO!?b6UGd)Ifrdj;PV-VEoO2t1EkR=N|A)Oibfxsqj+Ke9{ z6f#?^fo9~_nLVo4q`yL}0an?=RS*P(QGY&TYMJ6>nvg4<-#9SGP1jpy{KMHr#rvw~w|yKjIqkiRQOf4~W5Oi?*?Zvr#P?0y%W+ zgP>1g{~5fcIM{>xp}QRFm0{hv_ljGIQZqcBjp?7Y`gN|IfUAS@{J^; zYsxn=OTv}!1I=%(9uR{v#8INvxcThWiP->_O7R1a$`4)MbZ;IyE}^PhW*4_E?XKtx z7XX!QnZhMOPzJYzxc>)_rJNAh>0XIw9D9=4Y&j^rVowW><)HA?JlRBbz(DD4~h@njH{3xYQP!N=&yU;s74&?5Fv0?54 z(2&FI{sM@eHEw@>iVPGE*0}?Jq2uDh8DqmfGVP)U@eDrb>kCgGe81Ysn$hojGbyX5 zoA1mDJ>jysnAhuwy?TtsUiyve#Vrw$i{=)G-_&+qn}GwGS$Q~JZzsP%5}OS%7>0TB zv)&=2ZXdbIP2PG9Y)syf4xG+R7rRB3`w-BhomM=F7o)F{_{@MK87YZHGswjikile( z3#SnR#RHptSKKGiPmFV*p)Djg80ahKIQ7R8FAt82dEjOA664N+GgUPm8H|znj$+rQ zfy3qHOefKXLT8B;fd!RJXJb$k=k9e?8Hl_naLtt1c!p$+$z9?|w!|A(K5p`|YJ^O- zH(@0E=bjxPyGMm)WJ!&{(dcf^U`h4uF$Wnz7Fgqrw-IAb0N6yU1+uqsgGwxGyQM+& z8P@>m7gc-g8u2#=h0DczADyq&`Jsc9D5z1$V=T1q(g$ss{?G|c%I5a0$6hYJ0ztod zh8Xh@PD5v(gO5>Cs`LoWnspR-Ihu(cULLIan?(F!Qb!J$$FCg8(5P z-kV`QH-;c_@sQEN8HB)S<4l}D9-^rfGmF%J>~Lt{6Yg8t_{1AA^@C5o!1QpiBo*db zkR${SeGPUVO*lA*O8KeYX~t3S`Vk*}=VncWfx;h#@BmiYL*qm?Wh+czbfy$*nn3^{ z*FUtHoYHs9IGc(k#d_znsq+pr5+czb<%25uBC9tqjZv(-gr12NS0`SZSYd*$ai|)& zbd)rV*IpT4V-ab?$5d(gclDRLJ3u&8 z0)#DES62ui@}OKv4UtK!$d0p1T@JTKEv4GU2%GwK}DkIDV|iF!D|}gX{oWoP$~} zkeYn4vT}P!B=F{PB3G6oabcKS_1cyJoTcT*t{yMF>&NxukvMo% zf~Ap*YR=|5iWuB}{?Os$YnxnE;@`lO)F4G!EM0A2Gl1MH;uf7 zNPD7za#d6KQNV&3NnZNoa9IVXGn?8!J4r5$EV37MTEY5IX34Mn=3BM-M&2Q|Zm4(miC zya1Gl2$uQ-&o8Z>P(z1_qB1Q28+Q{Z-ni|Xh>-~Hn=XyZ)_t+2<5H!NDKpZ0w;#yq z_I>KD&T&vRsL3eoqIoL`WdmZV=?$iINcG>5DIJXqLw$UQ6d50>$!KO##8X*lK2``k zF;O==G;Ui9bp;(#O6@jy@ENW!8;2zJea52JXhd)Qh2Chp$2|x+)*pwoe=edy9Ng*& z^K$e**m7((rc-YZ8=GTW67GvP)Lg+9gqI)V>gLNf<3zu+dicWC&4=e=t76gV^Vkm+ z7IT|7cy^-qt*aqJ8X=UT3KIth`_mTe$x;6>r1vnh%<(-SI_&C7uKrs9I6aM~6qj>Q z_Sdz?AUc-_4f`cF;c$j*3n!cnhbYY_#vE7UcQ$)C&(HS?ke9}HtUSVk>Isgy)tp*| zg$WQ47MBh&9ksur8oa1hS$D^LslG@V7D=Li0Xj}wOI%z8kHodwL!N}bh-gg z*_>)BE5gCAD8sRtJ}&FY?E^ryxhJy?w?e2j64rBm2X7R$HOY*cLM~AQ=R99;iKIlE z@R&>61|4`(T+0BV5}}|@xyU+YY~>=Wn>XVJBO+=!P7(Ae^KDsY`jp#8-V1kB>>lbP zG#VRg%u(s;-n=m}_JkGybCg{e8lQTr+p?(2y3|6QETo@kehAIng*>@F$O*)?m1&>+ zxRNYyY{{h=fj}+9IwBDFO{5+cfm*t(&?l=vy@h1%hQbkRUFlw*ji>DF|p(|^=EziSzJm|T;5 zgl2_JY%R=R9#T2tChwN=4mWvn6-LNEd?Na-*&}*#%+;cRHh<)50m-`uduQ<>PnkN^yxV5rCw+EfI#uwCBD*GI?_K z#hJlCfk#Y|p{$i1SZ7NE8Rub$GAR!))V}g~IurM1Lm0x}Xqf4!ZFA7dYTZ!dL_nOx zdLKpPobRj%(L6vJ$7wU)^i<4^9Eo6hrWElQi7)@i`Dk449C&TweEOhDHGh}{yQm)x z4{pTZ5ZhE9=QvMy#2St<-Y8vjODa6ovKvK1T!%{<`_R&d;`!5L;%GE`)< zh4wsB zHF^xhnr^9RvnIaWNs6emW0W(`a^!jWCN70MPI)7_p$x_uDYW8`_XqlaiZ`A!iHxu-c-J#L4mpEKjlH|MGO-FolIa_bJFWcJpG zT|0V!<$9cJA2cIGGC$OHr59EB76m2-VFn;jA0`;K+rRn5^INNjG6!>kno03Tq-Iji zH&Vl%@kY&t=eJgm^3;52J}4HRvu?!(^D!EJ7bKG=@D2h$!ISlj82q|>5Cn@U=j;)z zdsi=jUfNrY~DSc-~+i%Bq2&|W2Dn@pvY{a z6U1@Ke#q#dU?-)(qG0`9ndifPPg*R3(xJRQkI4JvtKx!uCg1QD#X9+}xU=5n2&RgM z6W1w6+lfPvr~uj_NJzL)>2&8s+F{00&U=Q@!J8Lk8M!KqA;@(Af=UQNRA@zUkW<8m zlE1(7{@tL80n+4FYaBojeN~~A&B8}U9HLZftB2`-9u1e`q+l<~j4!f@kU@{+L?I5! zy#)28o6L40>Nn2&kmrq5UtZ{p*44oKEzf~Dr({GNP%o}7JYv0)qZTGXrDDu^WTPss zExYH2rO8sE|zH=tZ$Hk4m_p&X=G&^BuFChyA)@m8^~eB1|*MY40ID@hw#g7 zrr7``valwd1wqjgAaYD}L6B+jnGYt?j5GhG8%GY(%_Trmei}e0jvZ{V1wh;mc1?6= z$sG~heG2Z&2b$knJ=`8cGA0mH$YFF^R0o`vET%NjvSInH)x&Ki)FikUj9r|YAMTTA zGI8Vq2nSiZ(SxV^Y>TbSvNmits7c5WA#B{nLDDC2+!a-Fh)d=Q*texQb{egp#JSJMTbApS`IxgAN?{&!q!d|QUF;zVww2gV zbLR84hc@)hoYBn4W>{b=rw{YW1f}S7)LhI@(`H3ndPmLAsr!^Ag7#(qQ53@EPD>eu>94py5prJZ(5`j$INz@W(eLd|S1a_e5bbIc^4`z**iv)MkaQ zPXYuE?&H{xh9VHySzKp)N;Fu=*8OB&W9V|d-l}Aak z{MNTR5%@4-R2_?ur4o$nlZy-61wG1CZ+F=te70f;ctu@>wO7Jwb17zN<3`OQXD?fKsCqq;=o+CaN~O+2RM( zH&0#fyTd-Nq5ECTKsSiWf?GX`2l!l=&j&!F<>F|H(n6uDDGverjLldK#>_^fcm%(wp+`vrA9Oap_G8 zI->j>ViKGjNVo*k^|8h|7Y<3Pqt7L%zP(Ej=La`O3^!b{QaGfDUlSx)#C*8~i)fC` znZyPsvQ;_r9cE*)*P@jz2@yi8PFvs+bLVug&qk?AJe!Q^-dr6oFFaZ!faad=*f1Ij z*wsn{z^jolg=jQyzM{n*N1bOnUghp?U2zIe`~z=NiUK2+EOyGqH}fs(t^|Pa#5cDd z(FzZ+=}xYuU)rpS|8d~fqk0azgOS3qduvazq@v@(NOb6QT<#CQ0hMxWEW$|5_s3{X z3l~I1rM~WrzQQFYflGPoiXKnr>$eJ@$N~hB7$AiITSTW#xTs|1|+plfI>>*$zScIETZo z!?dGliM+t7@6?}c-UpsKc@nkAof-f@j({ZIM(T|zCCDD1CA&E^=`5R0v%1<7Pevf5_(X zUN{>V8x}b`cZ`NKo!Mk8C<_aMuuO~zix0|0fi4JnrJ+^ft?+v3^Okg1^gN}niMew& zZ?V|(c>xoSoUxJO3B(8GNGmG)6W?}pE{xR}oc#!6C8z1mn79*1h_3v1W}n@qgFMi+ zDIi`FBeUdUzAwpc`B3n+{NC)4p2~^Fo3HY8w&*?FXe!VXxp*cXs)!)t8)P^+LtpI` zV!RJi$Ow(059^XEA!do#;y3ObBxmUWcwCon)eb?*O=kXy*^~>7gW1&ThqE!~+lzzZ zF8X+ypV-a&mejYQnQ61fkBb;McWU~t)e$~+H$ONR^j`}dg8rjw^>_)dLl#*`=fOcs<|n}>76GGI2OfRL1J04P*^mu8fLD)o;AwPwB+Y16JI zPPthWdFDLmz=H7Pv=K+L>e?-eJhL9Anw;8<&2EXh9Q7>|z%5Gd;u;)S6boz{r&KtU z6!we{XqNX4@AE;ds<8Yakgx=oA3iNXw;|UGhzRH@ws{!UlJDUIy24Y+mzzu<7PgbM3uvY| z6{>Dp`yN=jW%NvI$KZsCv81$k8;z$`R*ym#DM4`REFL>ylFJ{2mLD%*H*&RxA_9b1 zt=iRK%;-nVM4D#mfT{)+5mj^78;f>Ya5c0U*EsNSx`4>j4KzG*4YH)1>)P#qC3B_1G?QJk~w7 zR$2Hyy30oP>z&EU*8hyjCKgs5H$Zfq(MPrVJC3bY>iS@#nmF~Xjnq5a*lM}+8FiEE z`HV-D%wA`6(yC-_P691?(>9R02k%3oTkv5ZSKn%So^z1-LG~~wbA5-U^V{yd*&(zJ zhB>KQ>`pQVwJzXX#Ba1Q(1^*$DK~M-btp66(i$6SSYvpbxmP+VLEm*cDdpN5U-xW> z{b(@x793sOe?qXN4uA(s&eRkYFiDh4G682n4$TadHO~=)oYv(;!AY!yM|m_ z5UN!>qVCQt7$2v*a0=;Y)(14A`?}-jntoqwdp8Kxw*}qDEi1i-DQx?VKF#$Tw*U02=7FN%alQnwxOPMHC2+qFXe#I_?GBEQwf*viIFY=(tz;wX54r##tCSAfWF zm^lh|=GUe^Rc?=<+W=5n$SjEMg}I|(8)i0E7O&ZOfDIdE z%*U8qQI(GX5uy*)7K=q~j_ASGfcE}a!~oeAF$y%*k~0gBVv%Iak&K`26o%27ylc8a zXtO+ypX}&`@%yC5Hu+4V7PFeo^9a>A)p*%kC0PIrF+QPWnb|zs%nXCB(Yr&sjO|=BX%K{llH1@!MP;JF6V#pO)u`W}gy!G+tfyj?5h7E)zSyE&9bRXnBP| zdRuE_K6|kn5K(DA2{Ou7+8!XX!)dJ^(nqQr^r_jRD$>E>d2H&W`=Vxy^djA0 z4)hzJ#n^EjDJ&B&OI4WiXfng~i!Z?4A#r7X#P{45jUSKKPdp+t(qM$+2(8XH`U|Hp z0=06BZJb0UnO%}rNm4I1WftUgDf&S2<5lk74?m|Isgn}+NL_tjGd7Y#0D(9;1aL+k zU!EL>Kh5%;@%C>Ho`LUusss(4o^?(5oN^ZFYpa*m|Q;TAZ-L8J%`K{F>ayln< zT{v}!?LG140veg#wQ(Lj@F2D68$^8%W2;(zM%gN2Cv1M}H$K0$T0YG01)@S5)22nx zwxob&PPat})g7Ena6D0+H^U}3FGoHnl$}$MWkI)~yKHw^r)=A{ZJ)Bb%eHOXw%x^3 zw$;^T8(p0K@4XW??)q(*eSgBpHZ(6O21(} z2UY$#GF)shdAb{y`uB*r#`aFGM%v_wJmQJJv2fn+&%eO0TNx1H|3e=5ACkbo-hUVb zEdPf=Am!xf`r{w1KunEE+}zFD%v@DUlu6z5hdI-KePL2#Qg8cRVD>%-k99A54Pk ze@j(1u{38Ab98X|C&U@>9~gqFpy2;zBXIs3{(s^Wxc<+S|2Iy7osFC4|Hdf{_bSw1 z^2af98ukB)VM-v>Ya{pGNJfAJ0l0<$!3F3pBx~M3_=4X*GK^-$JTI%-b_`<+ZdQ2C zd9Wx{Q^XTf9(Ii;wdnL1j`I&+cm3b${XfUP%>Lfjf6YQYH_^+z-GBA12z@O+?tXo| z?tVTCO|IS@fB9Vce;y0{gDq51e8T)K&byr5^)ozt;Z1vt|C*2gunI^yw{XZ7Wm< zrJt$_eKAY?4Wn89^22u5)k{zP(bmyux}}AIbuIL)k@7c>bD`i1Podzin1|CQ%cruV zu-{5&X)-a0Q4S|_NYG&XfX((-3cB=J?2ogyHmA(QU2i^~r+tViWG0cBJd1?BOHKzk zb^HyLQ939U`w_;Yj9KazLN?iyh(wem7Ooy;+Y`i%R4{w56fkFu`$#TGXA#s9eN=It zioY_5KA@OIF?J!cWhuMwV%s9PPD4H-fFp$OQ6vgoEEh&K{NIz1rXqf#jet&v?a<2J z%pV!5Vdst_Up}S^m`8M1qcydnMK!Mp2h>Py`RZ6LkUt`>>9e3 zR;r(eqaeJ)-)mEOpyLnU&&D#j`ByZgzP?9`QvL*}GmaBx$`pFjyT-{~582jfP71s- z`w3z6D-0e&BH^Eb+u5`IP4}Yypa6Eg;qlTFyB6me4mwcjKT zHwag~T;I#wj#>@+Rua3k*jR0Lr-DEM?1fhfUwbx=y?Bl4!8RQC-t7Y8p*c2tuuYL- z7X3i4n%ik}{iTnWh3#iK>B&`Bp=F75I=p7ObzY%Bz=r*A$?3K*B6P!^~Ii*HbRol$^Q|t+&4EF0*0w3xY)$rUGhEFJ!!D6ky=Xlbu@y^G(j^IW3c(kh4$40aO6lLlC5IzZMsuXg z+Ql>Nqz;vQG$+kb+1--g+pKv~G*As>3+94`K{uHt4A~A?aBxiz*)tib7x;L%fl7 zg1CU{u?v0{WEKs>1pl5s0a|dJ;egJ@RuNOET`e19=v39G&cq(Lok?(+QG^cP(nIS2 zL3Tw?X00(>_|o>aeB8Q3f>&3f z;hvI&;VIc8?#b7t(Yn+sf3OODpe*ut#rOQbbDySxyz)!nHTJWY{ixZ5^E5BeL+Bx5 zMy(tcKf(rWoVUKY`KEfvv|&Ih4`E8?0eGWJMWc1bBX1}&AE$~XMEQq?Z~sUEhh(TU zwI1UexJm`2#%<>mCQ!VORNHhHztMKD&#P_gHFwg9XT|!vIff=>Gmu0;&L%UEXl&jI zn8>x*b09>y8ZB;cTJ9N0wkrGuG%*P72ZMc)vJ@!J7k-4-MLV}8$ZMN=t&+(b0J^)6 zi4)iL1!OE-d0HZWqk^=zJ30Pjc*&OP>eF&iCY&u~kq(Wjv-L2RWP|1Q@u0vgX%A63 zy7sWa0jtM(^r*)iXwAb9CHLP#vD|dm-w)Y`k+=)`);q+qr;S) zpSS*_5LCR~5Jrs_Q1Kt66jDcyaYcxdBM&FM|FQ--(2YuHt3@7dxC>vA)GylGO`LZ; z#sX|qEIngG{OF#@rHYYvzVd~7>yA!G++NU9N4nwFvE21Lf6_dz?eK$LPIZ&mM;xl@dtxg6-|P zeZ>g3Ng;j3__?GNj;yjbTJ(piYpjrH7G3H{TI(m-`ckj_&VXOQF5;{BQ7U7u$KZT* z&WNzpN!1<)@Kw5s-@$s8SL}fADv4&;>M(Snh^#({rRy_MScN{-hoRX$c~?C%u@&u| zIwuEZ3heyFNN-SIdMilg;_b)u?npfImBXXvD`4?!!rE>++9T$okU(Sq}>mZeQ{9W}#MQ=mP$>{5c z|L|Ofzvu|>F$C+MV4L7iL%#5X)P~v+$=|T_X@l9{UxMuV#NSb1ALyf0DubNhze!#m zuBY4JLdmm%F|h=13j8o#U^AN0w_OtI!G!HeiJm%yXKJ z8p=f#dxT%O8zo>f>xs#f5imSuD8KC=MuZr)?tsxKt%Qd)3y~<9=c`1kWsL zB7x1r3kNP1VoaOC2yJHBPqyT$$BJEY54UQ4#6~ z{$N@ecsF@0H{25jUA9FIJ7kwOY*JpXaW{Xi={LvXvItVPZ8ppsUm=*1^gtXD_ro0t zOp>z+p}*Fyse8vnZsjE?eU1`<+bJdONuRWv#v|5DBO09H*mdHzWtDrAvj&D#!JC={ ztT`?=#e6(Pw`#Ss5iR?5h*@g&CT+MIttcu@`-qldHxFT8l*15O0Oz$PB}s4r2@*zA zuO;-1;?I^kSSoKJ^u}rS2CPngOM|Mb!C)wnFO9jrm?(Sk8}>;Syi(+Y4*=3cALrGY zcr#>&nF~)t-5~BX#6ebBuY{MK%itctNVwdd(f$p*`!}Y)F@Nmo}={0nA7;=oU#_C8~B^28&CyA2Zu#7VpZl#q!aa^gV1L z;%S)6@jtrC3Z-qWW%gDD`QBD}S+3osVTtIAvVCkZRn=S386Gi$(jjrVf~cfbBI`#? z9#xaIq7vii4D3SXSdpKbZ3_$8C~PW8#&(`w9W04zl#@+0GRA1DuMmvptwK@}0Xcs& zsBK!aDCDBehl0fGQYWIj6o--oT9ibR1s1J@Mtlh+Q38X_*iJai*i|+xyp)s*my>Ng z88Le#AQ|x^n_ev5hB9&8oC%kQ{wE{ceD$m-{}fbm2o7v0&It7|AU6c(QO#n0GzNz( z#gv(kY-EyMm2Z{`0zs;Z<{Tu(x|{=jf#_YxDcb8QuX?el~yu~>?E{Beo1I^R;cs;hm!0EoDPr~t<*6_FIl!KikR_z2@A-fYW~dk4E$Ij#nnUC<(`doOuH+5RNZQudnp}2H zZQAI~m+%J6Wl@JBLz_^8(S{#^a@hs4P5iWk8Cx=isL^&B9>R~l1sxUObpBhJ-KP9# z>)>9w0yE7XwskPehrgy6v7D>F8*m9PjJ>g3wOO(>@ZZWzshyCujQg8f6G`e&4?^vm z=oF*i6pc6o_b8ZCVxwN6Q{iIlw-{6a+vRz6ZpgQEr@K4-T;#S@)yH3lhqVTI5jpMVtwio$>yL;hG+; zF*^DcwV#LE5UcP#%Z7*-!L``-z~{_r@^yW2NvnbYVX$!r8woJSlv1P%ZSAHINO9fU zZjuZK5P#?2ygboS2)XuC8xN<_Rq7J-^Wab6#kUmUVKV?VZj2q1#or3KGqPV%xJPh*6dN~u@=E)uuB-dF2R87YY%rf0rtA%{vI|i790ZA zwV(P55Hj+dC&hG;1ZjktU)Xf{xXey8lN~7BC`OYyt0t!zIdTUB*DTtgKx0}F5}YQ0 zym|CQr5)AO?sgm>Gsx=6i2co4{TrbtRydViB)bxZP{l;TdG zL3^}=mDcrl_ZD-H_=|N?9P`X)@g^)ku7Zh^q%nQ@Q?;|hMwnU6{s5rE9YLO|% zC>5%RuxVxwqma2w>aZQi(-VbCK_!1#tHv5FVPWRRqGdQ5_vHB2(D5;>&~YhXELkr# z=Eq2K&Bapok}AHX&xBK!Itu03l(?~E@+x7TQaQTtlzh-IEn!go)uMb*dohK~m0t^a zYSfcLO&NFoWMbO_)Tj1tF(Re0h^&%;V$;RVP;Eg8CRy%3JIzbp`FglwEPDqVZx@A7 zq@~tC44%n?c0gY7mqI6%%s(}z_A)4j7eE#Ecohkz6E;F<8NpK%&DX_p2Qh#c#M+=O zg0o0^7AFBUEf?^U*<=B9y4mC1df(BUZJkp1gek-&{s>`EVbr)UCG+Os;z##b!Q=N0 zMvQ1+YB;eX10OQnO|5?Im*GR8zC&M0;oIP;aa!WkI7y!*u*`E4xxbzT4)7EZl+n!n zueExDdk(PQoPs;y6Z~5X_YE@2kcp)gYAW`2FQkgagHz~tN@WASrQBpfWR5V5MBJ44`fgTz7LE09r-vRd z#7)o5y~R(DCpbIzGy@0P^seFdM^=%t4^?rlQn{q(tnxX-zDmGO1e&6vNQ=3@4 zAT7LQu>~n0SmNVt`*2bj1uEYl-Fr{r6)2EA5lFr(D#!~1P5JXV4hoF$A7~P2T5GCj zch;Vlz*~KG(5SyhBV<|Px}#LuMVyXDa&}Ml{CQ?0wa4WL7d?2%dL(Y&@I{fKjr%cJ zUCu)dJ=_ML1HlA(g3o}M7oG|f79~@Th|;)jL{(Sv75}G@QZv>QbJc^a205kVyTe&@ zyTf@6!DDYxNv+tTOgsYEDJj*77laXXtQIe}m29tQHFBfyf2wCz0rQ!Mhdtg9+K1v%l^jiI;vMRJpFvP4rf7YJY)yk1ZpV zT1^LV;@-aQL6^itKoaNp3^0Uok)uBfoi%S`E~lxSvh_1N*_iZ#)@A|>j2QlsN4B1F z{!q+~-*3BuxY_fnH-p=qF$w8M zo*+TN88$0&xEoPpb~M_Mi$^>idXbkW&66goMY!Z)&ZuLMpWB54izOzEicu8NK=#=| z--XzN9_WJW{*#sYQdXCKD(K{vq-Ltd$JF|2GJ};dwOwy#gN$`-wc#EP@Do+%=%9cM zpNZQ_dd+(#a0~1wtMyBjw?SjDQ|>U=FEt~r8o9pc4vc@^e({r?*p2pv^DOnXZAqEs z0kxTPmEh2rf`X)-c!7Eih#axozy@V#86eVTCbu79ANNR0mPasSn!zf(LFJ&CA7IAn zURduE82$}~k1~6B+0jo_WN;}Aa@%(H)6NZ=6OI{`^(wUCi^BYlur+wv${2i*>?`NC zX-oAoKwd$v6tR(*M6AAk(Ej+D<&Mp>s{HVQ}DjGlRl6(OiD9!YNNoRlg4 zba|j--hwXi=6Cr6w6JfhA@#1cSvgyuXJd5!3<%`aTFGY`stJ4!dN`A!S9vQUxpXw7 zB~xmtEhqCDlp}Kgo9x(<_-u^Khk4{P5nbd*LW$<>7Jdw?fqR)zYalHl z3|B)uM=d3*Gj4Pw$DtK$uX1RF90T^y+(I)cF5476>@Hh~soR$?;`dRuIyIi1YCaFP zw0-oDr%dO22Mbs;NmEm2F3IfG@3|(DgL%2ePDO0`qBCQ(TCq%SDCs6)Q6V+kmBr_PN78P(Vw!J5yXvhO%cVODNv}Kyitjb00nFD$AnS8>l=i521`-`*wVm_@)DJP z?RF#DuJ4>jXt76pO!y3^|?h1krJq8!7MB6XJO!1q`y zSSy^H8|{^{?7ITA{xOS-O$oC%7;;WHyy$RG9bYU|@nD(gUebGC62G64qQFRfm9quE zz=x$p)h!%UaqRKZ;qxdbQB`)&^*^1RAsMZArj6UvL`ikGON3|j4)D#f9A(gfGifBO zlct|eqo(7XxH1gzqF@4O|IVfM!!{1BAA|v;+w~b12!X>~@l{<13kdKs8i5yCbDy;l zJ7@BehgeLRZ6q<#%FV@?l>(orlA>LGhv~qMx7HcJ2fB1~r0PfD6!XgEuhfzp-&TY% z>LEr=pKg-Z15s5)o=@^ObJ}u(tfY5YT1!3#V~Im~=?d^D5grk|iO5$Hl9t2?8p~?g zG&&D+8lkTVMu))3EF4htjdDJ9B*bPG)^a#s zNum<<9-)NGKw9PTD4J5Q9cl7J$Wk>9E*By`yflU)5r*{)NVn?nq8|12RPP_Q`*qp> ziH2~VuP~wa=+24dt#?+{$%%~WJ_}GZSt+T@Vud1{+eFVvcudSl_OAa znU{zAyjZgbN$dRJ2Lo6pvYULNV9Z|``DQLwV%ywp{HvhEQCC7AaOv#6ubX7C_KqO- zOCLYy^83aWLa%QoLYLyH?6a(Ekd5b6FAA=f`GD5~+CERRhsvkR@FTO!@LnC7XzIkK zP1$FBQW!DF#;Ms=J{PKw#>PMCj*M>9@}p5C3(n{kZ=WzA(_A`FNM3CRQ0@)PX7{y) zq%3DoD;KJfxu1lKo-fIA{-R&-Rf!O{hK*L98(-0MYM^>P&e-ni58bTKII1)#USTtO zGtd|w9m2UEyZl9X>{szf^En&~OcCH*BNA|x81X0!xR>6N@vj*{H)nAkMek=bb$9!U zla97V>e(seJ4Ipq);;{X9oGUiaCEoqy9Ln%jsE1xpUKdvd;If_I{8yO+SQR-F7{C5 z+uDBW&F(k7!9frIUNNpNV*SB`oR%6T)?c4%ujEx!vzBc`TJ$VjP?g7ojUn&Y>8)ZC zj&eqnAHU3N30OC^mX-8YmYz_D(;HrDlPg;e=tBq2kvi2r)XfeDH9fmOxY>(HC0Wq2 z-=Gr0$Jh##hUJPz|AYyVklcS9E4~C@rWTSTl$SW@c|)+c#{!xv;v!!qtP|Q=6ph_5MDQu0L6+q zJ|f$EYmb}LPgZ${(nQ|2?zcg9Bm~^s8stHx&&lpDCW+XnhjM^vESs!S?wF705I7+K zeL%`3jHmif1?d?0cN!QxD(1KF85o!=3fP%~t~mdmvDu_vlsdmi54I1rJtN_qf3Drs zpG8EBpGa8}twTpVTEbyBz2twyfqG|bw9^>upnnp=15La|hNULs@3{69@vFbIr3~n7 zn;9~4wo~HJpO390?6(g$Zn}1;!UO$99O65CXGfG}YdJNyg*&OUT}*dh zRtiw=a6fhPdwQ=9p3rBuKd@)0{1HX-?&~NvxVU{FVKJE9pj2q>ghdA7i2LI7f(5Ns zCJcb4(=tYx2KW)z)7iNb>iBbZxI7mPTpZu+tJsX>9fN&4GZ~UE!6jDy~oo5^t8@F{o z{{7oot(6w*yF|Bo!S)zY7$V(j(l_rYy=tM3a%QTGT>2s8KcYUXd8nJMi)|ZZ_i}}KBw2cUxyqIsCflccmX$qJ z18!87-Kn~+zUGhNRYPmythc|AemQNN6*zvv0(?mZa5&~EMxL4t7=5_>!}>Xiz;M@% zIB*)esEuIl1u(I=%*(wfbU;N+mTu(*PegT}F%N6`#AdW$x*2V88?i>*!FAO%jR`hu{6Y|A?E5s;Q%E5+CauE-K{^0 z5MBr8W@b}) zT_;=Jmfu#*ldo5XqDnYcBbS@A@!Xf)t%|M}3|EH~oBEpu{$59DtF9gN?<9ohTHkHG z7gFIOCAAFoGWpzD6CcdbUSrq3BMamQx%EOhyOyRdLUvgSaAG&rOt1%=XD(dAa)Puu zIZ}4-No5X9oTnPiA`LuIStfAXmWxi4#yLl6hv(d*r`HKRth$%}Q6lWV8vp9>uc|=r zDU~ES4T$6c+*vP>$}*|9q|tB%isu{u#E|a6-i^Seioe0X zllI8l{Ha~mRHpdA<_>h4TkhdX^qfY)MKkpgkY)H3E#^aPR-(|#y_b(WULSX$5pVw> z4?O~q|3PMH=WgDFhcm&MzS{s2rWJS%%%WxD)p-@N*K+|aT#{jC(Drcav(8|Ukkk1* zNHLwpDFEaw>JJDvMM~`cY^3qpHbmux8hDEo%lsbP%iHoHVUKiCaOCCI{kX^SBUz_6vUxTD5k+73gTz71+<#~Y6EH$&;J}uo;__bI8`ri!iBm!j;r5{2#lVIDrN(=w zs$yZa?8tUP(kUacy^6O67d%Jj00q96oRAU_*e?>__4j6Kq6!Qj0Vijd*wE~MR$ zRMP&^9R=}C`!WTaRSDx9-XXRl6y?N*^FYcx>|xyKLOz^kgH{J+A$X&d;H5GhE9-mPqaLI0!47+v4p?+Zl8(3 znM~gk>`SU6fuvZtto1||E!ZqR-(`A6PkpMHc6}N!Kbk6K$N#Zk&f=5~e*bVQaMuEF zul5YMjCBt&j~{wQ-}LLUtoN4yFCKBiwc5J8+Wj6}AI*1!-5YbBU0LRIAEm@<$nzSv zE8f1eEM+NAOfyLIK~A(X)HQ=5rO$BHdpxbp9oX0@Txev_hem>k!oGh~jxMcg3 z)7l_zqaV_jF0H)XU5}=0=ZCH{MT5ju)JeCMC+{LWD*jS2dnql0xuF$Z1@<_2{3U|0 z^o10Q@zM0Xa&7_}lit6?*;_TQY=vD66Ze)5ZKp6?aV6*2y0Rt>UvxB!=m2$UNK>N# zaleP~(QkPo8JIatdOF8@Jw$R~*%ZSLr@$9riUyxjD0CF&Wx`ii@LmYbiGS{rfyXOBSV;#YMO_LGbCFH-sbCsB_y-K>f z0d*6mPU(o)6cY=;GG)sKdAS*t5l5Ab8YzbP@ri#~B=Lu|9z1HOYWWHXjOjbJ+@=aS z(Uf}%x?$E#Ib)C!6O^tMHGpNX5ed_$5g60d@-H}HhmOcETW4u^!zCO(>A8kor-Z*fVT}PNoWv4aufD~Ybf-zwIUEI_{+tP6^ zxRP5Hpfw(92+VGsWb=XZ6sH6yAy+&6tkGe$iv?!YdbvuVavt!JgzL@FV}kw@*!X{i zcC=BK#t$2@haY4qf60gmtojOEJdDQMht&mk5V^gQzkIlZ)N^SiS;N*S-}Dra=6lc* zYf}N`UOa{-@zf>FgnDZ}{FcL%!f7^sE>{v8RY9GvzoQj${wxtqATatZ^%rg@zOc?J zO7G*EjDvSWJL+6;x~lxvu#9V}5lrVNZ9a)g8;5LqQ6~+dfcqgn%#R6NETOfJ&v=8k zW<=5JsBknFM@G7BSgPuSqxjyUWkj#z&pgVh*w}T-j}{WTtdLHi`4}-(N9T~Hjmp@E zg<3dVw8R>s_qAOmz8LbOQde#Hk!Mw%BZ!T(NWxH>LU(zb2~^eFdahPQxi7}h8}rZ$ zmAe?SKiiqmVy#=3XQ0NBl-FH<_A9I~-n}&slXm;itY(7TbtgeNpBj_)iS$xgd_`$~ zGZ};nXHmRVJaf_oI`l&URw6`sFJeiqoqIOx zoN-*2mvG*l?%X64FTwRMQV)<%Y%E7|vc0%G9xmI0?`x&cF&nCB(pwV&=sYhFAOM$R zWf(QLB*YfxnAK4z#4*^=F^pJPpMVf>{g6ppz53n&JCpASoYI*HQwpLeBf1`xXh2zXif`a zYf=>5+*37mKzK?YEy<_Qv&h}$+9|9Wcv51(j`*B#`ih%ciQOFKfP&(&RByyZZ&YaE zJ_AnCg5qCkUaa;JtFM?8jv~9*pJeWb4g6(H0Wm)jAkoDtGk;OUMY4;1WW<|fu)Qnq zlW$LB+sTT~EzoO3O15IuJ})dJL3u6WTn=zBOQ0CenXn8^aeGzHc=c`O$0k zJOk(X$;n(*D}Ih3NKi*yLQrm$dzM)$Y!L5V(rlx#{>h%WL;yxjLBDN}%7^ThLn|D# z9Irs`B_N?qF#S6e>gb#wt)i9Rk93%`3r(D04%lbpiGvmyoOn3ETp@fqEADz!xUK;+ zFwdpC?kf{#y1}oZ$x_(kb7#wkid6Uk2*)p1L2QLFw~s&diuoP0*7mK`^jLV%XN@te})0T2!v-Aq3LCx`P8*7W|Hp3jcFn#icY-{d3E;m&zpHU#8d zvL&p|ju)J%EkTwui5{NBQ!LOaE`qzAW}vl00z2ff=>BK6BG96wcGFiGA~3IxFQ&)p zx8J6RK-Gl9Hx#x>Mry8RrZg%M^1fs`N9G!5|8NzfkCQZdfx zv_}6@l1{9t+Qb3}PHyVq90X@(qu4%FX0+J$p!IBZf;W9otQeV~^#j%L(-l2t*Da}i zmBkVYMKop7voXYEt8h|ShO8@+HE_^is9lGxI}AJ7okZ-Hd>ttx6{5Az&d@Y2?melW^X!D#toRkE| z%B%Uu>zVv7LLzWzxKRHu88&mjZt~{!l@2)I;6oe8Yb`)ZzHL8X@RX z62j6?!~<2SrtUf^>-tUZP&+~XdETX87f$m0D>L62LjI>+0G2&54SoiPYeJW(TH=k~c{F*)(ubIL~cb2&HBxqA6>#BctkQ9t7kNUoinnK94rY z;Gv2kXeWiUG-mb;f zIF4^SZ3?E60Cr=f5IjTYAHQt;GG{hUJ^6?)vU{euS#_p`{6Qj$)cRhS?dSSsNT_^T zhI+kIw6nm|S2dgX-PMFjr|y-~`}g6ZniAB0v3#~7HX><{BqSSa7Mmj6mw-z*crr3p ztDVfZVczETB!wdJ7^A%M4`h+@0BcU6bvv4GkuR~Xlq7A^kZ|d+Hi4iyWS?JY ze36Nm29`T(Z^Kji*^GwdvcouOyA#oyj;l^W%SGdr|5vWGsYpOpK%fsQG}VDIcCesy5v~(f6KOi(d?yY!PHtR?o{Z zMh)vjHk_~*vF#{R8F!4m1l=3MV0PocX%Ng^Vx$h|cfH8u=htfgAB_j^6L367gCiJI zf+RJ*TqZp8`9i{i<4EO#ifM5vSM9`prkHdJi*ah;fCP`XX6w4Le^i2I*+&=tH-<^= z^~Xj+zb4zB|Jm>mZD=*p##6$u3UU8WhStF^0ldz?wmna8Beb8N2#)!4O;$S1a5pga z47EPWnI9^n3*C-muHpWtdVu`OBgvHIsVgQT4Gi(GG2}>6&7Sq zk6rvg$vgVE0RJXa{1u$76sN%0^7Zm@{;fm{0lB0mv@f(bai*1zZeWp#+hUE9yczg8Uj3;zlbTe8*$j90%)pAv5^gcJ*0a)4)U+446*Xe$7S zb8Vk`yN9rkpiwvDL5|KAn|`6t@NMjGhTEBh`RdM^Fi>B(-ALcsh$H_nfyvhWdSZKxA{Enu=8G)6T#pT<|IVC(_@`KXs71QZXD^bd!r0T z{yS2(>X0b<&M3$nxt3{7b(6G9q1CuC0K|XaEsj+20gA#L@mUfhrXHs{5pUh16-oMl z+n(!HF;nu>Uo+o|bc=h7`Bxv&H_;J)k`NyQL7Ujw# z4k$Un>Fbzbq^<@~j@X4wp%8y@1-}_{b`Z{?*9~NP{T&~_8!Ysh+o=@ii~2z~b0>BF zvu$KlF>-`iT=5(!7af6CsFFuAD4(PbGD5hKXg?_6V~5HLvJdBt+z-X~Zf29p>_F~7 z3QTA7SQDEZs{jx1MYHVTBc!p<^No00`(Uc*lIf6%AD+J4Ccxt<5ymAkH{>C=eSlS{ zv4KTq_}bezb6rZLC_eBoW#IeeiJ37^B96{@;9*0D!?GTgWxI0)yf>1Z6~LgxhEBffkY3VrGuxEAiGnqD4KkdH*m)F2PXn{&5ceZL zkM}o^zO0H!46cUw`b5xUtp0~_N-4gaT=+_aYkWgG-Yk^DWW@N>gmVbc0wl)1UneDc z&p-c}4FT;wEMM@@KG|t+EBXs+qV^&#!TB5cS9=k4UPT+#Yq)p!2Jh;JT*zhu9vYt1 z?$g;Y%6pFsr?d^icWCJ%4EPx%4p5^ZFPy0ThOjn1q=MF7u*E+W@T^uT;hd^^F%|rl zZQ2RZ(8c58%S@eO_d6{YmWhPT9+~_O?A3W!ebUzwx@M-VTaN`{D&xStC^b5H?C{ma z7~vOZ;Fc?#4p%$3QGH#3eDBDW>B5u+Vnf7t^OZ`MaHP*F+(jGmkvt?IDL5I;E@8Ll zD!^TQ<(SQ)S0ulQ#tyhPG*nmHSkgiMFfG@}DuY}fgIhWyChTqjkCWrRp%ar)MCSP% zq^EOfEd0`iu{wIVKBfmUybFob6lpT!nbRzMNW5rZR_VDV=LK~7)LB)zWHavk*$+7e9~tDB^S}M9GS5@t~yhvV08f)fgZH~x)f&5=Hg!E=w$w($*C}V zAa#w4nd_^H;y%Su2sLJ((F2*pX3)82!UirW(|j&{gh#y@SqkJloXPW&f6BAt4%3j$ zV;1bPIWUppI&kQ)pN6MK;cVljF4zijA!_DdYYmox=r-_S<`Qu6e&tAR^d3*5b@k@5 z7k7b|!CSj53M?txb|8~xGZA7I@+gNXWXE`dz=(am9m&X1R8muFr&~SJPL<=Oefwkj z*ia3>D9XtB+|gnr?V@-ac^ovnn$Y#P;ao&5d&GtpI#%dH6I8R?^o%n)P{>7aBs!v6 zgTD7CYy=(UmkmaA>sVc`H+ifDM7Wvo)s(G)J%e|u1gf51yIgcNnJFE-DfYQhh+8{7 zXFr@OY9|^wnHvXbw17?Fws`(q^(*Q4&bn{ac!WmYt6V*u!X$VW2Az}-7!=>d;8;z1 zxB&IP*BY-t(;vsA@)3rgGong3s|bqmg|;)(@WQclW)lcqj$P8j)*$3T9BsayA9&EfW1)cvy$;uRf3@nw39}PWamq( z*Q#F=LmBos4NlvmYyD1aR@qm^ZKE7-Eyo$jF|!|a=U(Sm+!*7j#hc6+x!roP)%~R! zj~vl#)5t*&re{~!LvkP6m(IcBc(3x9m5E3!1*Rp@cfQBsIt5jr$=O~j{wJY%W6_u* zR5`vOfU@IEa6F;9j4AF%UxMQSWnjss?P|GxSly{nvzv#W(r!w#rJ^gXB7<@|Zq=kR zDVfv=OniW!^HFPAD%4w*@>P?u+s$9_OA!{CUmIR{obkFR@w2KErRNkoGH|la!CCeW zH!+X{zj;%-C;y`Fc#mxh+4?m#+_lZ&IegD>@jlq z%fbP=_l%0Dot35&JJy?JOfwX44xh?o6derO*#<$_rWVW;9+>#gBldK!@Ou$!F<@wh z%S;f~_KBJP2_%B>xP~rMlMuLu(hQq`q1p~66jBV7w|VH{GilK+yR4k=ro>Z1Xr{}X zE={OIB61|Mk|M;RCrt7biJh}(#M;!2A0xW;xYNi(a%(RWA;}LOi)PaC59fVY_8X`8zM5Ph$+>v?cMSy^Kf3X?sg(vvH>bS%}LH# zSmXYAn|Sazml*-|@;q9zeuYpzPtA$j0QU*@+M5Uz6MCGWp^+ z$I~pg5itTei6H5=30C^f+iAC#?CT&q!L2BPPe7~fvoKz~&F(Z%wsqCy&xa<^HrQl? zj#Re{AG`4AXZ_kT0;#{8t~&|CST1Bmutc59)G3UukpuqqIUp|TlqZ2u&4YRl>T<}3 zu%_&cYqLX@1Ay!x%lBdTYxHV}ogXa)CeflWh&|TzzWJv%^s^eLL;Iw##0=*~{K#e4 z<9X+qoA_eUs?sV|gt~s)<^|*Hk82!D0~t-8rt3$v%;i-p{87=et+F$>wdQOxQ`v!E z{vuM7DqU_D?HLsPk&)Nnrgg}deE>CZ#K3A1i*d6Ied)M0Ke}t*af=hO9b55~URz}M z5rtaSKSu^uYOtAZ(H`wXd38#Yjr;^`&>b7|UxSo}L^-m-N;X3LUZEznjGH3+!<9aH zaGr4Nqj*rb!C^rmBFFo$C94y=;^2kDzV2cW+pdgR`F!QKNl`{Psp$vjgnN(1c4O?= z`WF@pC6P)5?H>edYxB1|LbpiAnE-|MJZ?4T0{zWqwWh5~eeLUlR}Kt`Qzpp{ zXZy&h&lImM^7B37My=I>%+2D@rE9O@8{Jz??MhyOucoUn=By(NE(4H#U>HF)d4~J) z(wDvl#~IpO-VLuCshdr$4(P;}VEp7w>po}U)Sx)s$Dz{WPQsg$ zRP3l8ECnR@VOO^H8)$oB6h@tfyGLMxs!WrC{@Dx3s(>6ZZPK`H_?Pjpv(i0wS_Gyv zG`y6AB9mzD`y#kV+O%X=E$n+)$2b{?Q4fk;3Uyhw?S&vLWP#_=w|2OKygQWxJ2_mk z{eKbl)lqSLF{8!Zb#WGV7A?-g;uP0nWmz1GQ@l8fv$#7HFWTa5(Z!1vYbjp5c(L~F z@B7|6?~j=?lesrH$=s7ka&t4;JT}O%%5j^=5ex3{q6IFB9B&W%S62&!E8xJCPr|D8 zR`^BHFL%@LB&5)H^j#PwhEMpwLxQ?VG@nFGAAiSj?-+h`=J};)O;hgWnqb8QmOCu1a-WrKGc<+&vz=(m zB2Dk{R;v_@?Zg_w7I@8xSKbm9-%{xQ)9$(>FIuTV6S+Xj=u45`;boI*z9QM@^nB;s z?hX01i0~&1n2(~{YL$cLVLADL3or*iyDXxopDbfRh1l;jFlY|jS+7_$+mbDSILhEh zt97jTC-F;DWw{10G(cH_DSrF+3Yp;xnf_{=o=TF}g?-5h0$azQwvTADd89a+Q`Ab^6l$36=Vjiu=ElS_D^orI;Q*ukaD)R~jQ=_(OTCEfn}#`lcZ7 zAze~-O^VOo%Yx8<&ek+|@}RqST%4|B>)~sy4Cm%!GAe8I>OGxGVa@;SB&n3!O8H%s z#Fz10{k2F(6bOJYq>K__j7v4st{xTs&KNcxsR>Nw$>EoGos?b<+eg=0PAt0r<5kWd zF7y+G7`L_Z6CJ~9{@?I?5NHvh`hlvJbwScCujCv&-cks+C z#V1}tw+m2K6yxBl*F21Ygz_sS7a>cp=J26fv}BswEvEX`V$)Xl6&?(!b(e7M`6%-| zZJ(z&e=E3v*p6o8)RQjLetPW36gS5Hg=SidKLK&BGenhOiW%x=&cM}I@1J5BY8Mti z2Z%+4*h7MiG*_aJ1d{>~AajI4PhlY2&<*7+VptU4s5syzQu)CC%z8)Mx`c;r&$|`O zzA6jKp$QDBYltGe{gO^LKri8RQ`61zVlGGXdi_|g!Ene8RN`g7wDb$oV`ism4VRKAtLtLR2!^oc0yCckBW{LQ0{ z*zYFhAI(NSFF6E0Ga4WODQG#xer-{~Pw-;>@agkaBN5$AXJk^(yog)J&W0c3mjwai zTX{*Ji5D+})-gptvWy<1myAWrk}#WQ{y=<}Lcdy`QY!pjxNB6kNK)C{vrUnt63FYg zUZ-iS1%SNiOfl%)|A{ZgK3TG_tRG!e=tQ$U$I78wsPe-az^@6uv5g79gJ}_oX~-X1 zoL;ncpJ4Sjy|swDKIix<#h*4e;;s-2EqIT|-q&@MddTkZN{aKk)@Varu|)IQ>MpvL zE5^+}{t3>qSIS0lV;Snuem4!&sMrt#i{N~;4biOoA>U=&opGl>@PU1&&JDLYBF=-{ z03-Fw-rZ8${s;Ev7#x#N`vU9TRNV_Nq3+&EVV6?X_ZCXJ$m301k;^+^+nGGOT_m?R zjqb{8_zJ#o_pYkGRM7iWBj;a{Z<3mrx=DvPH)+SceRc!0!9urNBjbDVgps-LN$ha4 zYu*)E;;3pOw$pi>+b(Qpme1k{6uZ5g z)MoJ34q0Z)?tZlzB=4pEcfHj%P*?=i)}^zEl|t>Pm6H8_yR)G_F!3F)P?VC!E@z~( z$o>d5Jz&kWb$HFaE@Pj1TzKY?fY4#Ab@_CU;a<0&C!;4x2GE10BMLAyzLxklHbL~J zsD~xdr#biCz23~V9XR$Y|)XvzL?6ztCf;p zt#@4TanpkS=-)`QB@f0kcsUW(w`z-{6YEW&*ywzvVeC*b6sx*MLD6{THB=JfVEUQu z!+2?oLJ)`BWGO|F@j3!M=39Y%oNo}uu^b8 z%}Y$hjLHYx5=lM$TuvXqJ@yYUy0eF0+ve~SAKUro@b8zaJt!7^kxJlF|AQ8aFEcPP z5_noC7lI)VD3{}3^7wF-L0wFsnxfK#6_3~!d9m>)Kqe7 zJv1SRrq2BL?5=l4tpFn^`Yu_#AICTn26cYwpPXc$v8&f-_ zzua06@+zSSZ35?mD8G~t68Phodgg+FAXX}5?5}m{YewF^m53XF=k*pYoIcl{6w0duywmZkoE?S^8Zfs$gJ%U8a0AQ>)d5eR$F0gyd4WLzQho}wtP_i+ zc0?*<9VDu?(io+yF-k(eSk#rrm9JwN4&Q3z(-%%(-Pwn{A^C@8rOf%zHvEV`@Qp$G z%7KW6blhmN>DP4Kjq>R9_tPSjVd_ullriM&=ZJztlJ)#ItE%cV_@H!fli^jp|t zNM-}B;%p{`Cy8Z$0b&n)F={GsyV=RTd$W>B#t9qRbTG_d{XY65^Xth#X30W-_52Kb zUbXaf24sEUSPQPsPSDqFoL1Q+_>2AO(4RWG7>%NvMnmL%a~#rW;l=v%Af%1JRkj2`dj3t@YyXOVD^}r2DQ{#nznP^j+>~|1buXO$dmG6Q+<Z2C8AncOCCe_R9;7FP5 z`acdOEl*LC)Tvv~cn+^~-AcX}W{=T|NIHo9&5KLIq<6eprfYL*=OxOsOYT!_KP74w z@u3m% zUWpL2+i^804t|i-6hF?6YF#YW{_|X7sP8iz85S`t91fO_&$`Bmo)Fl6=vQaacTs)89<|TFzRDjX&^SId zw=P1Ey|71Q#F?)eFpvCJS+V?~aTK>kvy?F889U*3%`BV}Hx@G6fsUmFzZYxjygW$I z(#AD@-TKg}{Of6_@XtJ2F=Fac?9?5f@Pc$U3Wka==H}}5y0i@ew?Pq=Rl7>lbaf3{ ziM=h}1B3QKQpUjx#;Q0Gmf9g-LY}f8^4B`=uvrew6n|z_DKS-Q=M}Ai4$T0)Z{G5#4)5EY zd2s3s*Rc0KrdoR!!}WKUeuKYzJnS07wI7cpcZPwe^^jk0WmP$xvAqR=c1CO6e;&oi zyjDzt>0`)p6#FS!hZv4PykHYUG!lKhE$Y~~n(~MZT>WJDv9~!sD{tDgo61Q9gn$`z zsBM|0WhMvezG`NwlyU`^kHFm~07MHCLUA$&6~hyo4)N{pKSnh7XDKS~3KG2Fh3W4@ zB&46$O~U~OLfO)xt?ahjJ}%YSaY8xA4&*;`i_YHPS#GZudi|%=hn)orbTRSB9xB<= z)-4hO$JTHp=YLyFQf7blPw^Es)PKV=+aDiVE-(M`QdR#4*LO$B1Oq2Vi3IR-ts}-3 z1H*{)3Ae8@96rXb_(_;Re=bw5P|{GstReaXOGnJIBOSs)GDKEfRr3tc-5=|Sq_$~H z&AHDFr)ZA6y1}-McSK3JAd?HD+D8AEiU8qU=2egn0$)itJqzFZopjhNf&Zm22-ajc z0T6vdx}@y25Y?*O!+wyc_cFln&Mmdj_k*ri!$$O;~^igSUvehFnd|1D*I{_b~nr^bZ;wttT8Z6 z^tme$8E7w{`nLt8`(oAxKGTJ;vN-s+74|n>UOvn+UGJ<)s$VmGC%GR&XTxPL3z9N@ zvtZgwiu(&aF%5?l#v zta^kxRi-OueZ@^(`lLWZKaTo673p*P+B8-BU+b8A#wgEKffA!lQJ>g4tAl9&YYAdy zYBL|XAOap4H0~0Y2@73&UsU|+-#t-a3v%Nv>pgR7gcXs#BZb{76?BZ$J`5H^zx!8C z+-Yag$DqC-LT%ClZ8A&>r_mL5bN}*~4cy3NIA*39K3I5;)2^YU;Uzt@>X(L?HB$z4 zQO*zQFVQM2o-agD7Wyi-kWUAZ3fks|Uz5kimy821fM@*FMYiv)?Aa5hCOfm7#fnD- za_}14F5g=Cj=act$2NBOTPA+)SWmZ@=p3*UdFYuxL618+i(4}lA+lVC5Lwpj$e4{c z2I8(gsU3)!o&@W)j~>pRJE($pT>ZVQc{|WD0OM84<8Xy zH=H}!yUFC7lY>=7eydISNH9C6=ml#v{Fs{n4)AG9R_~&(xmO(n`SVgZmm3m5nPzJN zEjq~twT4=)d5wnVZ+%^+D_V5E)Aqr2zRv|x9xb@b4vL^J)mnV8YYhHCxh1Tze@OI& zQI(sjcRDxaqUq%M!&pc7e&ww;a=pPuZSQ4U$;Wxy2d|HIw``0Pdi6mHPH$Ibk4%Mv z-cNMZq3L^|X@Z9p^W3TqF{DN(!_b`G((Q^e#5b!PCt8D=O6m}XUSofUIVOY!*L^-mDITs5e;Lsq8fc{i6kv~JT5YZglhGx z-qn$zK7Yz(*%BtKQ<{kp@oa*#bk($&a~`v08)>y-n9P8JzJdIa@s60hlwS2RKV&Zn z`$rOsSiMX4%Oh#h8D0zGw))`RRZ|FY=FyEw+QoLg0_jkjH>hNu!nx#DU*Qfe(cdCL zHIu#yCJYSg3{^EYaJ|%rGKj3*Ap2PIg(g}er{HY-e%i@IeXXJ^aXV?0f zSlZgR)#tle9CIfOUkghu)k`vHhu*L@Q}o>sbQ4Li7@E#7(0&8&brwlwIEuUu=3^1v zY*;*%*52d?FMWZ;*5|0(Kl_Yt+`tF!tOl2V#9Yio*4feGR+!fs{a!H$SxA%4@TCy= z;c1J82z|c9fjd(KpeQIos5XPsM3O?gMxAgS>_4|dC*t0}coQjnortnB*G{hdgb~U_ z2gF?I@~JIhJu;$_UXEKn%`NXLf*(Mcds2W~ZpMwZlbklx@gx4h1H1%BXQU!Fp_1Cx9$s+_z`VXMvH^Vfm^5?IFZ&cW!HvH6OLgXp5OImrqg7MAW zd%9B9Ac9m5ATaw0ywZ@S^{?Xc2)C+9)YZ+xRwf219Zb1*GDR!9D*%9GvF3E75etGl zQKI4on6sY1ZF_VLVj?@6Aj!j)sz15ydgOI#kiqz*T)fIMqp#R@wPCII&!MUVWFR&X zGEl`&HmEB5mR;tu4C*d1(H#J?Ge$ikC*#Xj8saCu6294W45JkxS7bl}W{*4!d$osu zSd>pT*`Bb@O1mk4Fb|+-ab_DU5 z^wtT5K&XGljO8~LDE4)6d@e#8GP#@!S0i)IWsJgsOqQO(!)&!#lvEF3kT`lf2ilC% z7bB~o{>AZm!{%BelW^^!Np4VLUW)()NR&K27ZAhX#RDYkDPJZNtyq@BRjswiOcL4m z$mpa|M;B^NjO19-=44izQh#3V0O2fm$XrCf5D0$4bPZrnKl%eEnI!t4 z%~$s!DQt_U)8#5-CE*2M6)L0|kY%Z#-P0oF-9;gA> zQ5acJhf#8kdG*C}msn6_4FC~e1F%2=fjBpqv;F@Oh?+j)sOj@@Jci$8WC=wL2D-i| zA+GAwtJ>*BCO?&hB=zX@WwM9P24NTM9sn5+&|($MqD8>HFj=_Vfp!Rv7><4Q%y%Z- zfOdc|U%#$Fo>T&qfIyXfe(t2|rSg?9iUBODEsdMs;(|G~+^@{i+lP%fIN6PPr%yxAqk#D$TJBzM=qAp5K2*KA1vfHS2ePk@K`e<%4X&lOyCOCGBj z+6a#S{GZ(jZOa&3rsKLVL!7Tqo*8zQV`z_kwbp9)sP|tiO)Ywb{*6p^-4(+AeAwVU z{MGqA$qn}r7xWfy$KbRd$N7^HqGNP8kt}Zlt|f)vhm4^};eORrfV)c(^N3GnP#sc! zb9r}-4Z7R(9`Pi2VKuGJdu4h5ltcd%88L(v51Or-0N6?F7$<`4wj@S=hY^MUK>1w& zyHW6XUL)gt2P?%l(R5GC8FkoJkm|T=6ahzCXA91O68xg@2>F@;k@I;&WX3Jj{U;4Ep`)2$aU{|^Fp`SApF8XSU7(V1RPtcXq^nfE?ca+-{wMfAECE8C7di$94}lT-9MSmu)I z8b`!Sg$-|%DjCArTDJwpyrCG%67inZ1;dQ^={QkaURpLdoc{sX(c zh=;^I`vAi?yZnLJHx=^^Z{X2$MqcvK%}QI&!aw|U7))Bej{ADu{t3R4SwMPAd)}nt zB=OPT7XNp`2<|k>UH-&1*IV-A)+wIkQ~CMys*xwLsTg@K3coRmluTRQ`s`3q6k%hi z(f%V0_Wi#bZ4`2}NItrF*-zz&iX?~)0Xdfyx@BlEsOR;5yZH1|Z8NOa? zKmj%>MxT;?$D>Y_^i4-e{A6F@1_aqzrM>JPJwZEO?|}m>C~Sic8r}4T-SpJRA*^I4 zF+rzEq&MODv-LDw!2mGZ@@K0=+Q-gR5IQBE@bJ&4q_0eRv9VRFMY9V^z%T{2#3E!f z(Hz%>b6?OWXR?#QlcXJ1mWy~L)!y*>}G?i~oDW@m*g9HmSdf0%hZl z0A$69M}V>{A3wDh*LxmY-{UC1rO3vT@-#VCF2AY#srv0$%IhOx`0d%W+s4<3Qf;{v zLsWG-*H2s*7yhc$^^@hxkJCePqu5?k!2Gx#z!*vsw^g^1auR$c@*3Vvdc*p!>Zhgq zqD{?;Tpc#1U=EJHIOVFY!X$R3fGEr)d1rTt;r^pyfBf;e4!b5=ARGIat~Xn6<(`}F zKIsHb+Y1#J8uvs$=EwLJ2jQx75=$@iTL%jQH}K-${K8xrT3z${3T7E&p+c6UMXIL> zzjT5xCjvk|h$?IJEE95fk&*kc!)Bq6@wBTg6B0ffp!64PYzU_rKP|@rsjAUGPUZ(>cVtrZ&oQ@I zJb^K_j91)DS^;AmzG_+VvBaDQn2>`29&gfU(VTtII47Oj3MNKZCg?8J{u0u2=p11b zXlC?n@+AoYZ~~)ASN9$L4l}2%gkw~mk zbJ~(r^_b3PX=iu1yo*@y0xFhVEffK^%t25RbCi21;5A+GvnPTdM6sQR3FV#mG~7@nksTy?Upt!v5&A zx!oPc<|2^;F!7Ag%;n2PoS>ELk3m5zXp3@=!%(-FW|bh(>aoERvsHJ{7FUmN@@KLh z-|Hzw-vhcM>25K$)hc~Wpm-shnUG&-s&l&OX#xTgmo1>bMi?}RSn ze{Vl0Ch)rqqkzBL|FV1S=)tN`3nrC(1dWZaeB*G?I-g2{(@OAT6JZ<-(HxOf7J#P> z7%wgL`ZLQs%3hg--r8xoK~+t-V8ck)w0#>+(+fjDk-%Yy8-&#sthtlH}2tK!pgC4VI}#qLve-U+-rw>`;YLC9*nxQR*!Ibw<2{y>|2B)V`+D_kRZu}H8P+&;N zBuVt`eCi#UR59~qRLu>>$O{%OLJ^upA>{d^A|`=fYH>?lz~|zP_WjXEWWaU!OI0T3 zOFP%Ct{|Xz-m@i?G5EF^JF?7+s?{zGGJcs$vt}x*mfXlwd;x}Cv-y7YId-+qRqd*% z+uKWke63uIq%@mP3<}GM-I; zMafJr{Vz0Pc?h5eZ1_|%q0xChv{jgUG~!<24<>6^ztp$psAA)}euHk>)oOfD@V!iHLAF0L^MTbrYtu;^K-_AYey3m3im5Xs#xG zbiY6*XWP7b8JEL1lJhZUK{!JlH}7|~(X%$}_1<6Kdn>dBZHm!IdnmR?=_lY*SC(-% z$V3nCk)02@TB#5GR}fN`R^iUu&dEzL(XJo-?igzucgaQI}KRjo~m^z0;SmG zqiGA&)u73^Z}mv=4a@})ql1U|sVWx+aZkFLNXaV?Z%T&!3C0l@r{Jy{s{?-Vk07=$ zIEh&AbF$`GQ`9oV4@{;CpESg6m%&hquo%t)ig4kxu9(LvDWgRFDCdNTZBk zrAH^OL@Hgl>0CNl2e zZ}`iRwp`=}Q;kG$t2!hy;&?{LW|!|V->Q5J;#5&ty_3~eY!PH?1t$uLWY@%atlq6p zeNk#X2mInqgGBx2hOn_3tv!GxXkapO;FLjViv**_?SXzg+AOgO&xzfE#+-?Y*`eNB zmLEfTVNNFm<8F#i-5-+L<`RAjR8eeNgc+6;Skk&p!7{PeV$4)!@jUe20{6f>uUvad zz74+WNi(=KQ+}kjOK#Ekf1yb0TAQ0HZa_Q?AD3GAI+Wx|Y3e9%M&!2|GO6RI^CgLVTN|7zXWwq6)ZK!Ws1gZLi|NiL-Bz z_Zg(7RFqND5n=$XYaH@2RcaZzFNjKA)CQ~jdBzSmAmZns7Gja*k+ZDp2ic(Q39m_s z(Gc(3!)T7Yzv8Ik9yoaI@B32u#YzI62Wz^fn1%Y@T;14jqTG6JO|KiwlSz{WX5=Mq z_3v7>j2-`7wrXAFn|*zdEK_d11Ne$K(6{=1;M zuJM>$pPu`}-k+eR=hL@LGzApSGlP_F+CJ~_5uFc(Q^9Jhm!uLSqsC5F)3Q()#StqvmLA>R;tTdImFEY^R=UV z14_Y8<#~lMYPN1a{>am4S!LukZ*YCta$K-`m*T~Uhoi7Ku!23J6(>3o=O+@Q*G zwh&<)!54}1{KxI_-2DzUEB`#-Cb_M*$FWcSbwBCbK3@-H;Ifmi$a_9&{j{!+x|MDIbJ`h7yIDp&YU5^?Yb9A=o5_xIzef6 z8>OvUraRLiAGsTrA;z< zh*^)NPs@vd+749Kl1c8+$PrOIz_#XG&0hLzjFZrCqhbHks`aFzI9GPyH*RUb+{DN7 zrD!_#O#H)*Juv0YOPwUK!9yMo6%8sc8{+>8XHSoV|3SocPTi|;ZcV!qh6XC$!2yF9 zwrHI?Ka1UXb@ZyeTW(%u2(U-SNaLHY0`NS->@j#hEnNtF6pU;roPLJTqQuuoI}B9JsNlkeGHi29p2P=zSB@$vM0?}W6Dt6zM*tk ziiwsPd9aszgt=c?p*Q&G$L3Q-m$B8pM#T%5$u7eUJ4ol*cjqZkf5pI*n(gm2kL|{S zhqM|YBcqkAxwdd6L2udF9o1+OtYNdqLvLA*=p4B=(sVK7hb$T#Zwe1d=H44lc_1BK zo4jVR4r{%t7U(Y!`K%i2rudlRpIDh2?;1<4d9euI{Cy_^CoumPr2hJ7G1B0OS}4p{ z?v6J+&m7%}AvAIA0)2U#oqqh!;)PQCGRug7`Rq_MI)N$HV? zI1J=#ozDGdE{i+{OW>DzSApy-ejHkXAJdv|EscmvJIyDW`1`{VZg~M{s*lz|q2*Pb ze`~n3h0IodhiAYZ-e=Pu4QRmms5OhiRM})*BL56K-kYt&Pk#6C8yqv{j2z+sY}L!W zjKoA}DR{Rm3dX#sH0`0JUi^gVBHjVQ`10S1bUj^`A>h+M&T@5YmHf#tDU16Su`=#8 ze2pEI8Y8s0Ss>wcYrxBH5vBdye+A;X$ro+7txAXMm`dQZW4}~UX}7x>%1Cyiml@P` zOOsM^gDhb`zu4!|M!~c5y8x4{_dfF-LMXW$#MbZ;|5?cBuG?k#q#K#YdYu zDUhYowwP6JdN&MX)7vHIOpKUmnSLyH_1tzz>M-ITq0@+iHUuCk$Ok$a@bFa2Y4eCe zUxs|0_Dy~FRDB8t{$>;5hk0=VzYFZvE-`rRDg>yKgS^GI6gmk% zGQQQ`VZ&t5dzSe(352IUDz2WvE=rSrYMdc7Q+E_=PLn0es)n+FS#W|uu0oXe9xpG~ z#bb~$2_SZ2^I!d`RyzN;tlhn?gdVgi#H&w)c}py!M29-<{~~CUdvF2{zpE{1g=RS9 zz2vT1=V$-WnTAAjY^>M9ZgI1|ioXYPg!mPsda=5d$xa}!l~u)i_B6iXOo-1jU{XufIHo<~IDWxH z)z8UAlx@loyyeXnEM0FC;>B5H?t;JJ!^i36Hy=N)^~zRpC$Tg;BXJM8(asRAw4t1=b5qrH z+NI6=K-f$Ds+3DPXVEm!{ww>&9M;>YhBM_}=z6b%CsoY2j&p)qs?fIlY0JfZ(D+cjzRmE$H&6oTsECq2HpkKuv1Ch`RJDiKV^5vKyhcu zFx%%sk)d*FNWMZNhTa_u2Hs7j(w`UF{hHIwzMmuVs*ScS4)lDwK`bL25PEXMXW|mS ze%;u|626^27WR~$Wu1ypggIzvXoeC)-m^2-YV)NJAL9eEU0(J16ycsf*p=b|XjzdK z_it?5vfQDM4!g8pJeCj~HvBEC9i_Z>VMPqiKBEDfc#>%&bB^q;k2T?bmpf4}`v8%K z2-;z#&W=Wyupii19e5JTgw7+!MkPF(eJqDgN~x} zj|!G@OGh&l=J0qHk^`24`ZWZ`EY1k6#oLIxBY@@W)FaYC-BQSZtl7~%9IWin`_eJ$SKnZQcQ z_bg?s?{FAMt?Jcp$P8FD_X~SakcsvzCmV}zj%i;@<<*^#$AC1*2ieHzSk!@ia>T>P z_e?ZfE$wUCCQI4MA`V^*Atv6g5hh+0C^GtfN-?dOTqR8}i7bjD@_A8V6iZoQlxK82UxKN!m3mOx(T{>-bHs&$u)!)WGJrXEvdI#mSg1e<0J zu{bG5r2;KSWetMcmLq|?EG>e&tR0-G=Z0M#4OGf(29i}*{G*+)o9EU zt(dA0|E=UMJ5CQ^#lZnHb5Odf;_)znz|P#TKnPxaEr25=$f?UEBSJEkcWi=J)%ldvW+WkATtr9DStt&+1S zDQD&y8E0n3{|aY)TA1}|I-L1wx|HSV2WzTu*(33fMPVe42q_o49X3ASg|q?pD4PK{ zrWZKqSQ4pNi4tw4UO6exf(JG*MuedZg}Oz_Im)bz6oOqJrVC>+L4pR%P%N93T`4!{ zt+EW6AmD>7J$xp}yG%5>utmU?;l3&?Z31H$zblp-w#H_HOnrt@av~;w#N6G)NB^fU ztG%d+I+ut^%?9BR{xEjS|BN!G+R!W##>ltBV%yvd#0Ukfvb^NRYyltK#uGvahG}O} ztyp_B7v2_-3G4*CYERpam{n4at%ym_oK-@@Ew3fp+jmsiREq2Nv{l&)qaSWAHe<6! zvQGXgP5miojeLPy^E{kNC{v5W3Ri5M>0HT+2~IO$mqY_i619f&sGTdp1<#d!y$i5a ziB)h(pc#lj9ooYLRV3Rn=_x2E(AsRQ*(dy`&GeA&%@Fu>s)oJ9WU zz+w&W$li+iqr_v)Jwhb>RGl)71S7@3_w$8Z@ZIjXqaGutK?(;D;LWz_&(- zbEP~q_38wdz#)QN(Gh}@CZ?n7BpQ4GeA!sS^rNI{FKG$wFu{2|&$-gg6zCu=21UN- zeVgo7jBnjm%$2P2TQ|m7oY_c5)G)1FY5z|rh2yJ_5U9k$i_F;SxbEPO`YIy?_Sh_W zymh-|LYXtPLLuGvYFjavQdx8J=Nkwv(9>H5vX1;2?XEHLgAmxUj)6iNbJpZ9_FZA> zyZFbXow1MBhzGX66+@?=m@}3--qBzehjHKSdn9dcpD3haOiQd;w`3)3V<|VgCqi;# z3p;5KA3i1Q*nQWe-f(vOp53}83LB$oMzFbl@R%Y~=X?_5z9|VO%)6v>OaFceJGL8J z)tuXNkj%lu9!{avG+`&=fjJ)o01TUHriP%WFXY!sO}8Z+WJP&eiF8~B+Mf_+Gjnp1 zT8?o4A*qfwo2BPlp6JFS}H*L$LB}cT^5fI4)Cde zybQK*<5>xI!=&jR`vY?V81jQV=2B@KN) z9tr>t@$(pQ#}dN+!wAM+JJp_ za%;DDsz{QERT!c*X5md=VTSlSxZtEnu%~RknvkBdZ?4tGT8kycv0$C}!a2Pn#BFJp z%xeM}e2#SD4WeL^V@u8mgt}}Wvnf+UEcR%rTKi`}yzZm~b#B&bFCpza=k00Pt`2wa zv(V@S=9?Ktf7yMng0UZ3V7_g3k_fI_&J%m?VYK;ov0K=ukLkWqu^vuGH@(uE*-1Qm}Tp(MhD4) zD{3-E!!VPQo!3k~hgOBrp2X1JK%{hxfmj#I&VG*u!sjG!T`U7=r46Bp7}wMgCj zb#xss9mSFYiBZdt;={3h#-H+B$ssz^A_)WbyGO_6TRov_{`#z)=Mp=O;%$leE8f?v z9-+Xa2Yxr8lABif%i_!KxZlk>&lqxtjeD?PM7Mg-&;17d7Dqz}2{PcD z>l{IoYfCQb8S|{KoL86eQzH0SF=pAveu(zf6n1X94sSG^%_k;IC0I!zZlwEaAVPW8 zMwZ#P?~WMQKK@b{;)O9Cjh9U^nB!uJxs4_wbWwt_rVJ6lr#MjIa1JW@+146=Rj!Av zZf?Auw0%^yDZD69Znejlp<}LAO+)4F5KTSxO8uYttOxX54o6J?KrvOM>kd-GHdfd20t95bim(Mb6>uT#PK*+2WWbktKehJbOL4tdHiLUnb>2n$%0MB^_s+3ZWt3V?A3)Ej^lf6rsKAC-Hn<*wp*C#Xht`}zh`A_e- z@K}HrQ^L-3>VYEnvhpIs*A($-Zj}{E7X&dB6hc^P}8(2(ih zamtFmj8W072kw^eQe@akWv}+kQsB%H zS$1Nf1%4CA7YCZ<6~K7!(wzV~k|-8wAz*jBCdYr*Q}YUZv6YLYW*E=7Oa}+V+lW}z z7+HFXs`@`GuPu+190D*|(0&Yfey^q!yp}#DW=^7mJ02biR3M99UE0;Z^>F=GF}}fd z(Uz`%tLv@j`hRV_@h!Zv9g-bKj<@ZXx=T+FI2dnBPdEC`Ll>UbmM-;gXGm=d+N`Zr zyt$2A;a{YVnodht`zv5*3d&4=|2`CeZT@S~Ow-!;{=xBc#c2t+U#KjFDuVF=bsUhl$kQ(y(xsSv^kjM|-xMRZI0Nkc#p> zG$HnCn36B@1_-DFNFO!jma~R}h8x{ypb6M3O@?0`KvDm}m~4d_zBz(aqEH=B_7_V= z%TWMGMYKVvS{+uITfUwEO<2esro1aaKofxD(1fjmEPSleTvn?JXu=iI1dtBOXi!Db zc!%olrblHcRwGpXi?NKantLY{q!K8X$b(PIUCr%LmRsH%1x-L1h9DJU32M}D3TqH>SdAm{OKf@^gKI+qvZWfD;6kU!;2Og}n*Y4Qt~s~-5rc}aTz{R$(C7`_ zf5^mi%t*!cwDlpV8(3*>c{><1Jig~wngQXj=EfW*JWw!^g-z7t0@awcxahot%%8J9 zROAACG7@;^nt{x?~x0JK~ z(K3UwXOyCuc>LAK)9F@tp`5w2{&X!eGBS~izDuauY$`H@K#;&QKE`d#&0Y@}KSGi9zJkBJH)SsVB1nar(o%Ns$I(xLHg0SGe&RO?LJ2(h z02D88UjR-iBT;R>af$RPP;!zb@)%Gi@*GN{5YD}(Hl!3mF+GlAm^4!-GpGcKl4T0X z{{`QpB-{=r8c1kxy=623sZ3X*LKJ6G^}trwHeVnOHg7d@QJHm(d6-h_$uO*gt)fVG zek9uqMcIE6gjM907e3DsTJi*`w17b>Kol=L`NNdM_NBSNQC!qp{Et5O*4*-EnK})s z@`zj1Gn!$_7{W}^1~=d;kNt<%jYLWoWa_|XDM5?;T`ILDiZ(tF|7+S<0Z zwr$(CZQFLYwzqm~@78bKZf)CkZ@=HYcm9}UCVG-NlgT6}&oQIbLi%pSaV5Frf!uGn z7vB;P*7U2G&CcAKM^8@q^&66Ylt~Q0wSNq0^*itzJShsMDmhN<&y=Q^pCUZ6R4RYJ z#T7Nc#3*#Us@B9%PFe69|7bd9%+Fi!aFZC5uA6s#sR$nNmYXJkK+I1U*b&?){GsnQ zGFBZmqOKeKUvV{vWeKa)Xm7iSS`@X*8jq0H+yQUZ&vOzMn(v+GNIU3y)b>Wx;+gP8mg69}U{*vQ-Z)5o+6 z@BYi;p^%QS@Qvb$Bwa+-I3_s`qPJ49N}?t|Q&!-Brio@3H3blQo%|fP2ZLB@b{VZz zO~sChMGh#otFQ3`9xvRzRq zhPgtMu3@c?LKovlZ8;86z2=v51A0{# zdd|I66#@sIqTunv8BuOqV9r(vqiUCH1QVr#Nsh30@3!LyeKbcWXEcGuF~jeuN8BRt zr~*gXs&G?RP3d)#r6NBkodz!!8ZEfq7p)&O?vnNW(W*?Ka*X$mM}0!7*`tf$OkxRp?d!x!Hvh zH*voizZ{&3dpKS=Qb{)Fa!1ADfeMh2d`ac?Gn~44`RuN9p|+8w?z_B zi_~Qi=*+=XS?A!uqTI?*ms01I&o%tC$DkF@4QEtChEaFwvpcpkgE&YJs<7Y%(EmD8i}X z>^Y;gI`a=ms(rSJYrj?Vn7CCOgA`FL_digJxKWD?{i4}S~=eoIDcH%BWdDQlj1%V_;gLM zz-`?jqBIRV+94#cLUGDs^|g{>$2M^uazCO?PfVyVKaY(eX8sD5AVT$?8e?TVoE!Dz z1frSw-K<_1pm>k&<;&hmjDdr$1&$Aw1Zog5^a=6beo^E|ZJ6gb9LxWnexG4XHtZAr z{N+qcdpQ$GT#r3HO95v}Z1OH9pSIgL4Zi70R&Aaw|SM}jBcLJA~i#92#x3()%? zzAYoYt~Dg4R?&=}oa6A{-FdhF;fpsN;Yu27mo#8b;L%KHMrIBmWfYVTXe(wjNPMS> zf_g!F>Ejsv@>&*6(~mh8A7YQ@98ID|2dOT(^YZijWM|>Z;;+~W{GfQfXgjy*Ti*bRnxpQsD4n>*F|Gz`Nc~V#r~W zR(78NZ#{$zZ!^TV;U!Dg2{lyKAN?-+{dhqlfHJz7pRqoWRM01{sc5+adEVhmEI{M- zv0LiZkfOuutxxLKQnH-k)L4<6{Oe{xwlBa6x@DysXR|4Em3`O9fMz3zelLn15kIPZ z{5-_GEavniq8XCmPF26gUr6?xZW%GN=XGB*e04#8I#;PmHWx`^hnV0eN?++-a-yuY z1Z2O85)g0X%_Io(>JDT&49x6%#s$eS-b&%)oQ}HA$>(}_Oj53$b(Kci*eZ%(wJ)?qSt_I<~9Zz?bauz@-N>sV*r*Zprp< z_L410k6wLvLgyYFFVCR;!iUbY>3+b4hlHGG)-C;Yr#Kusas7(dh*Sj>ULujKu`IrO z>+Z8A7FESFOEhy*zgNPua^$nMkMjwF_d4v9_7i5s?IZH}Dxnm*ca2!Pq@LE1xoK2e z028CNH8sM1dRg*9UGrdX`+Q?Y`^q<6^l8Ho!tYD%JwN~76p#4i`1Ws@&||n9ZyBN? zB^MH}YZr!4FR<(A#w=e+7bUVlAXf0P15Hbe(df*q~#f; zU(gEWlo-anq{}Rq4ofjGI8N|A?M6-P8Z@;lM%G`TOeW!oq|&1w!&e4t@Vfft;y33j zIsazb^*ppQvJ4K8^V$WYc|dbwcseC9&}U+&^S_Oe(l`lne#nmNgTLX4PeCl5Kol;U zO81jJI_7`5JNuiR9)|SExq9}1(OC7G$-7+#) z?j;M;O+o4-`+KK0$so7ohI=%qiB#T$prK-m^`}N&Vt^f%dqoXiop(>$uOum)I$<@5 zvlnbn!z6tu+v+6}EslMwA^&q0J;zZ;SoD)< zlM@uaSCPFu%2P-NS_GgaEhkp;kJ1v){VsD=VgAgFV{; z*7o0o9W){7Y!X}E`$7An?6SEVr@9=Vvs*Oshl}%?lNhda|_%Tihad z@`Q3NkGG!F7{WO*HUdR6^YmX71|LUW6F$~ItqnG3hCl!OjM=xF#B9e9ET zY-b}9lin%CJ>^|W^M#86Q`uDAg{UO;LLI{@^g;i&i1x4EF)gw*KGvSEQm(XDN*-{z zV#DWAtEg+bSTk+7!`78pk?T`>)9>#C_uDH)SDcR!?tZM zu|%n_bA=>ewvJJ zVbw5tujDnr>d!L$wb%K3z@KIJy?`_6Qmf3Rj30m-v=silwmfE7ob732F4&s{wl{VZ zc@gqGa@aV^i`PA#1GXULo)qJ$9%W=0KX1CpG6 zsN2UJM$;H>Qyh2stU}6Ofp#?BiGIJS2yQxyDpRFyw*IDCa$QH&>n3{`N*|j}m-Ke!A^^Fckt<=Co(U@~k3CB2lK#Z`*$z14s^y^Y~}M-$a&+ zd-&$gZu(`6Ku;pWC(AAMdKu`|uG0X>Xxl4Y=9@EML(QyG5Zu!z{-}4#SZr5&h%0Q_ z3}PxycMyq6RN07=YtV@j!91mxn)E%B+CIoeX+np_3_Y@y_*JZpshT9eq?Yvaz=@{? zShr-|#nq7K3=98CF{k&|DxbKV(y7g!8<#oUInBIqz20Vh^DB48w>$i3q#{?z_D-~Q zd+#&@G`_kAUl-NKM*n&f@EAgEg6Siblshri?0%s2Kacs%JanNMA-BL5ohFc>){;nZ zHix3(cS47y`S;k=TH?Z#O7no2mw(I7+~2}W&i`EE@O!eP5rgp%X8I^CQ!~m8Wmgj`N6PE~;X?o>iNl`Ej#OH6f%3Kh^o4?4{KKS-oP;PY z;Nzh$VBkIQ{l*<#ag#4cN7BGn613tCZB@T35O{d6Ssci!%9>;d$$h)pLxO3+MoxsG zZDFB{k85Vm80cMR@edpq%0;o1--t!*K74-GguaX1AR{8Co=$#8*CH$JL>Dn#Q*ZZR z#!rHx)TwJjgqhq$3T~zI&Hrm+IU;lN)-^QX;#yZW;O|4N&pzNeFR72;yjq_X?6yYK z1ee*YYj;YIp$Ps~i2AAsch`16?K+e8xL^$NMx3&$7hb2W#i#I90#Ir+VQ97T$6Nmd(DlI_5UNAnNRR zG%8)!ajGc0NrZpoIjT~vR8}&+Ax*2QbF+oM0?BHjms#vW7af)!xGhHsNMLJCq_g>j zG#6RF56q;YLvBY%S2z1EF>)TbPvpn{%ug-q?2!X*{e4k?W@oU|(V(7D;&2}UfXIfw zx1W;{cj%8 z=wqj(Xfdmv_DrBEQ1NP968H$jsJ&n{pA{gcgi|%eHkQK2EgI(N6b3E_&7{?xeCYa@{Z8KU)S)Vf4|lQv5uU>Z|FG&to+bJ>4MeV zNT?ndy5g90caUr}>F6Qdc_gPX*lMRq(N1D0?d9-qp=rz$>VB;ny>BJVe{XSe1~;Ss z0k~eG3c39Y&YFQT`EN1WMq5j?FY9iNZ$AboxVV6!no)r594=nrIw;at^&3p24~e{L zcz8k{U7Ir;|7v_mYHy(JrL2icF?a6=@d*G7Hvs!_6|dE+aYHGs0|n5_AV4PxTSGXmUz}0D!RcRuywwsJV}y|#t6qi=*#?G28}3^XWi-5|9(f#D zv2=nf1Hx;CXJ^fG%_qJMzy!(iDbAY#nD&5XSP$%<2#*!8YO=EZu_N0%Uk#(VExgdK z1%5PKW&-D!m<(lP6*hrCyUlXswcuDj@+mVad-Vcm+XrYz>ywL^*jS3CY8FvYQ$fkf zqtBEt2FL!_>(S&1_PxIaMQ%UVR6@*?w~({XA1YnDRluPVrm`4SDlxcnY1Mc6r+z&lXpx3XvcZekpaQeWuE z5*ujJ1UiNv$?!E+SYW&N@2)HD7=xhjYl$V}x7Xz}(4PeuzDrF-RBx8%TivvaS7X22 zMr{&RDMfcN%HG3&Yux^Hsmb^>SKh5XGaSvFghEe}htHlH_@k{aKDWbcO-oAbK56lLlhp%4Y^vI7Gj)z4~yg3A3h#7<@ zKC;${VsI?IK-;P0c;vmL;l~BPzseRT^$K%2QIg9w`qv4d-S{<}WHZEW=9Y6Fp z7T11`ijCDz(7K1qmf{}+-vRd5Qpp&>- z3<<=9RJT@c(1;c3)|pr1vkkq(?CtG@VsCP}1VYJ+F64s&xTQ-D$t^jk!kTU#SLz)! zAR-djjy5~Br*uqq_St4~$34$@#KBDEF2mnvs{sCvdEv<*O`Ya@Zm2`sjtq^JG^w;G zW|<@_wT`*YN$w}Q4Bhv=Gj$-e66@I4AKpGH zhpRMCDxcUNY^xF1#{%&}GUNE%WE%T?uZnw@SLb7yC~z5##1Na(#Vo^rw83v?{Blh( za1n8QULPP?*+lx^nEj7dcirDz1?{R-d)y~_&j|kw-QwlyuYo4V)aD7Da zi42`p73MM!`zzpb=jEQb$yEHS+DR&kr!CNnUoQFV3E(zt)b4DFY?L0xZ9u08q)&VR z(kk0#rOVBDT*Y=FEi~TP^8NAnV$i@d#>)7<07G)QZ3K27*s^v!B{oS)@$OgAx5xuG&Dq)^(Mu`Fc${oo zXO@C6#aY75(}{Tj30x2yZ59Qwt-U8Uphv`zC4ymPJ7n}bFg$`^rpMUwQ-4C)*&NI- zJNh$mGR)w)uY>X0IwEtQO@w!)xk|ULDS}6QyV+kx)QvU0UCmL`XI_1(CHxFMV?Ts& zO@aTylQW``J330-1l}3I2d+%ilW^r?LT)6dq(<|%lLj&A$l<1p%sg74uZy)TXZqne zjXOlN419EsJ{5AmOb^BS&tO-NjfGPDeab)`VA4I%YILDmITAzQ7?B9x+O@6B{dheF zCE~aH3>I6GPx z315|lqK7ih$dA9PKn;es6(Gc4_$1)GnLf|Tm^nZ1%IjK5XJc6JXrqNSEm>dP#q7nb zHEG{xs8IR=;$1Azul0wrGQ$lAhw;P;))2s4N_0K-C}tpns6_@1?(6DdV{CcmW_SK21H{>!%8WofgYnE<)#nwX2Kv=JzUC%Ku6B|NO6}h(n|Tns@d_o zSllV|tp(FmzxZ^0F8q1n)aSLCS<}9|aVj>IB7U;k`yLeICuMN3>4%Z< z^h2q)4Mhwb-rnskl}zhzHAW9}X@Bse!Fovj2-ijoNO+RWxNB*7kEivjVLgIDM#Tb( zxtrfqG6H&Kyl;{an@YcX0%zA^-0aa`Ype$b*@QsI4;+u1DO_oI`ICQJOs<@HeKk*Ygq;JgN}6<}lJjV@z>!)zR=Ka`wq<87 zwIOM6Hp!zNrYsasaylg#RAGQP=`{G1Q<`Sih4+lYGs1lo`NgbQ3_=+xx65w| zkzQY&DCWYCEnWT$I&B_p(*rL2NfXvGG~G;ZsAuU2NH-0#GznTUWil+Ey0+jkJ{?fE zVuLQ&IF83EV#iD>^jC?J_Kn-zr4GZ%jeoH=R2gY{hY7Y<6jP}CmOr_Bi|{eEb_!^3 zV=y639E4kZS}>VxKo79Pyg1|?e$4w|+Gs!4MNhYz7*7d->1o9r1!4dVz&H= z4pOQ@WBY}CI0kYA3R0#st|r6i1PBeUUf-KcY@g)Z3z}LfpbQ(OZt;4=3?^1H+5l6t z$z=^wdtbA^{f92r@MDzkk((p~Y|oSYt~!U}pQ&P^P5Y`|5@PEbQZ z;{`Y3jf|2@Km&dSKY=sp2SLIJ-s)RcByV{Pu{>*K3^bC1$6*atpX(#vD?!R{kYq|p zz@eVn;13{rXlZ|Womb`R>;+;?Xjm8qF%2Qu*=ZuOAe;FPo2Kd_QtqLFuNmQjH!(87 z8i}m0u!{mUwD%H^qU|PkQ zh1ipv#f@()85eH&eplPCDn95(`(vP65eO>|vN z7eA? z%A;e#*lI4MT;tJ63RE(Z?Q$M!dS0 z=i!FJ#8_f`IL-reoA}dKgNOxk6vZ16iTle$ipCzqc5-bIWxlGFj->!5l7PDM{P?Lq z40X>(nnwk{`fEZ>vNJg?{P7`na==(xsI^L(r1(hp+>lYUNocfarmmq%1J$YBej|nu zxFp$K@UgiN_v$Yrn_zAwC5VnNQdK+MVH1o(llkI*0^bFr%?TP)*B`sTD9ro=@g~Pq zUV=wj@9-IHO*W+RwG38nl9dLK3pk>^?CAx$u+-O?Scy44Bc5fZ2!FLg_|5eOcLNQJ z+EIU2r+e!C@g9oDgEMrtm7%HY76W6YLCpw}+tk{!tT0({Y#C%95|jDrHrull3r9e< zv3n{^EX#IqZ(h}2zoR%gd;8%}*W$_UK}C%A(J~T47O0wRT{>>=&dGXZwc_gEl0PcT z;Y?I#13x^66lDjp5HEG0H4ew2s@?H$~OX z`b%P8@|TiST|4dgGzNLiA_laGpR>^&E!j{o#I_JJFxW^AT7~OF9Fi7Q<4-7?+h}Ob zR&^br-mZf5%{HoG=C1I2xtCKkD%_BvtXd0$l*R%rVc7HT-vwG+3?$SbXkl`skVZb3 zom(vQ-%?t_Nc}ULp-(a!gcHY!SXib=veTxSw#joFL0&@u3&OYoLd~}WZxUO+t6xbf zALE5mBMo92Xy9}-7D0?A5X72YR=E9{yX&`NQgb<;IYPUCCvv3=zSuHPV+Gq`c zlio%OvdMjUfA$Ukf`nLz7zyQ4t(z#ET!^?h|69QX@S~Q8oDPkhE&JEQG3v^aGxZ5f zDWY1T^6lO<-z(NN%m$5O1Q+nFyhj|zGkEYFINBdcWfBvUR`%g*zfWFfoCS#PhL2@b zP_?nVi2Vb2L_%to>LWWbMoo#ZmJ;v^h}YyuwidtP*A)eP4WDORrL9nd80`^bH4!gs-#cdWGpl zX(YyE7Rj4Q^)=aY{ktmV{rfy&K)wT=4f%TUavMZ>{t{w5dVpM;?_p-!WT>nnE|TVx zo%hH%yReIEB7PVdQJGD$m~HlxD9O$43TZbHtsd{>z;(<_P-!*ET)$>2+R6o1d4&Gt zlji-0a%=RhB`-5*ZLeI@^0?vGTVO9wjGb;N{{{nQJebSXaCxS^HO210}G)`qOR2x^2+6*OGNY+-!ORiYdOQ0(o5N^TWj2#EL zL@tPsDr+Tm%%XV_DI}}(+55VQH4)4B>Ic+GSa^`I;yK;$jQ5GQ=0*r$_qcrYkUu39 zu#}Y+7BlVa0B!acguA_<22Zdp>*{ zcUI;{>Y8VZEZ8&Chq;W7k?IB6g9N7h#Lql~Z`|`G9Y-lsrkOoJ8lu^&+#uaC3{eqP zo`qt{$V$@pk+{n9WZ>U>k#qi;{G>TXBX5TYf5WX%*I=3brqS&#(UQNb)J0|YPn1OG zogA2?HShxm0IZRZkhS0K;JcSel;d2gGTL>uVQ4pRP!6%~tz(%x!VzMuJ!S zfJiiV?f$g44xz1v&0zLyIVZu+;51r59h(;kQ~eRm1)kJM7v!Yf0Wd8i(-0*6Emp&L zNxK(iFkcmpT#l*ozp9;;{0Q_qDwBO$U79EX`Am|1w4p6%&dsAm>^oHJc5kTvTa@fj zh?;k9d(0^Wb+3Ct%{)#$^l90W=fp9f)2jT{&Y^wu^Qh#R@RM`v81dZW=ulC>4hs0xTn}m8*e*qrx!KRJYjHs3^y9j)J1PTyJ@)3?dIY01 zG&zE}1)0s2){p2(bLFE8?l8v4rzQ>v6j+|(c_HOtjny95H_v?LKOq}HakfeXTuRLA z79157ND&q5OnwcHGUKp8LSeHLdKLraziR!U>POw*c84~?FmUK3YPspmLorA;pP+Bg z?R%GMBw(ZDzwMcujdIn#v+R5_7j4w=S84Im7Tsj?zQ%}EJfq_HLUK2uDfx)M-dqod zdH|eaCcih1;@~_!MXX*nsc{JH&karW?Yr|fXmxsTj~CWlrMB^m2e_H3G(hcs#fNB* zDTXGG-hM8NiPIj+|4l)$)xcAECuJk|$}titv%#MT9oxszHQ36J z3=iV=gm;S(j~fY7L76fO56Hktn>LUl5XT(JO>arcHHZ~45BL~iyzVwfdCXZ0n4T;! z-2VD}2n5L@>BWPCGj}j^b#pN{w*SxPXkr7$PRvC7pUcO`DCy$p?)2@7sxpe1dsv&A zt4NA4s(Cw^|KAWsRYo-zV+U6!V;6G=Q*QwQMy3CJZp3WgS*S3|o10l1i#U4eGJRii zv9U0)F)=Z*uo1I!bLcawn7cZCT14+-|_#GF#lKb|4SobZRck0!YE<) zolMN!)bV?rP0rlG(#?vPm6d~;ML^*HwSrgfd{0*$JuiUbaJqiE6@=dWHW}%O2LUFj z$U!xUBrU8Ld6A>j{P-#T2AeE|TQg7QGP^9pN%<+4eafsGn>-YS{I0J%qrP_DV9Id( zA@J))@cotOv+ZCh@UxG>i*X!a*Y|m~^m-Q9{rMEw`v&vGjF+*7vT{ zG8D-8b$s-A=GbR=@XV9(kojdQxT@LnxpMZ|l6O@9^&0s8s@V5=B=<~(+Y&%5{%UQth~&tx-+uds|ZJdiTd?2R(Ob_R=5S zjI&XWKaRI!-N|QyS||U^cS7S#bQ|{x^WVQJvjA`GGA03IT&Ct^iO;h;rV?^|i1;Pi zU9A3LBipLlZpW76wCj;?SQd%*vV~?azo=@-pO-3J7?*ktA5~&UQ{oY4K$R{qqREcAY*UAiN?$$K{ez`k!sD@*RCE{Zkr!Y zCtEn848OXWhFt>J^(z!a{OV29mZyjQ z^woc>m*Z~EKKU<$S|U5>bb9F9^)&2LFw0zp?D(ntB*KiM*El&QT#V!KOn3+cVbX@} zS4#T$QS8TQt9`t=#HYg=jwzAg_W3pH{`x<7z>>utN05Uko_+4m=xTKNe&zz@XHEfeqj+i7 zoKsk!M!iO4@F#__#$RxUPNT?7WaW_A;pJ#ekRngCr@9)Bk;xojO={Vo&+q6iHw(SQuCzL_VNIur^NB(@3&L4lt7Dt&>pu(Sh2B{kcLs(BOv-xO4* z^fx)3PxJaAR)x`*s6rk&RefM0k&Wq|S~KE_uz+I)7=22Io)eiXDUjn5WUi!zN7eHd zqi}~3rsGx!t2S}8N4%5bt;^Q2-N`s4*9(u@S4&-czo;KAw(*81(chtu%OMcX1SAWme*hsTq& z8MFWT3FAKgcw{qzfej5O#ZlIurI}zh9_TextJ`qC(j3u@XRW`uZArLaq#r%@ZGj;L zClztb`HYm^41jHP3-xKc?pn#;7g30Jf~ZPKvd)xG#OUh?iQPZ&G-`$>L{+weRy!%fFm=`oWpx0hvBT#5m0_ zoOwuuH8j_=!xTi?a@oQ4sj3?$WDET-LSH&x4LNRFCOf({I{)HpC-vgT zxaA$G`AadxQ8BouJ$`q#4n@_m zItrhfyDyU9GQka0wvQy-a3Mium1M*pnghqdCU~TnnOmZ}4o~CfU4yi;BbxFcIWnTb zkQ`QVX)V#8YDJu^b6$EA>O8qm8_tW;@^J~4Y;9k+UsH$G1|P!Aq#{@|a{rRYE?K)C z9mYO+pE*rt%Td@=S}(17%cjb`$NN?Mt)9~7O?I!^M0K014lN@`b!6i&P%^J=Hw8~R z^q!c?9Du@lHFRV}KiSO~ylT_iyg1TbPeIEFuZcbHlkY&zpyR6P5gjXY3Ct8)o4a#! zB|&|kdwa$BsEws3Y>iDXI6m%4S{1UmvIe3Qp8AQ2+GU_j{c&kE&Pv&KRCFsc-CgG{ z>YCoBQfY^w887_9j3>SyV!c3v3^wCB26L9(4qjeMdq#YzJG6}o>U`Dm<_g&JyH)tuAVs0-Gwj-!r`jZ>RsGHP6|cT6Vznq z8r#bTXqjq8XQ?@~96-b}`hmy)Awstbt7!ORpb_>kX9$kN73OMVz-)ry+sLkkKiKNx zfTk0)L5S4ve7rm!GFJ)IKPDz}(lJ)#@j%{L*h$Wx*5ZQFhm|c=Ll(5+Z)wWuARO{S zB`SW0CGSIU?zxqaT5TBzeaJZkD?i^6A}L@84ApUeaP2hEBtZYUtXE+KI){MZwzG_) ziCH$zTQyR1qx8}><$E_vMO*&jGPo&G8jz+^*PKigbBy7W1lrC_jv@ITy0mWo1EHDR zJ+8XE;9kcd&58dy-c+D!(-J}cnO~tLqP2F*k0NX5-U378W%y4gFZQ~waJAeIwJLV{ zS?y0?GWtyEG4WT?*{&WPIa)^8=7&(FY^k@;CrZ}oC61X!+W?0$J}J&#URmqdmy#N4&Ph>Kj7gY7<45@u%jJ-m}91s^zf z)B0uHL?t1%eC!P$adyBHm=SkFXI>VBVR1EUv0wJG>vS!{xT`-08 z9F=|WxnN$+(7Q*$5nMzg5bkfrLP=n5%|7|<13dWcr_zZG?dKvb2zq6IY}|MgKczTH z811S>oW}-@>XV-@Lq6x%D4#k9sr@{ZRa2V}vU~19g4yg0Mcyx+Qp<%eoduq8K46B- zOnH%GF+DN++a|;3NQA8oxqHsB+gE26)#frEuc#oKKczyO`4bQ^SlGv|rtO!Hvd@e| zr@1+Jizakk~k2FjDIXF&o9RW=sBGKVr%Dn)LDYVS80$?$bdK zAZC73N18r_rb(y=N3@nhWyE{uAZ4Ds6ZIT;wE*VnfO3!JeTSp=D;Ry$BSmT_O6C+z zO?t5AjH70s!!AN>{BmR0`8j}lWY!Vnb}hdEW%RLfbow}Pibcv#9?f=27p4Y)*n*mF ziBs#W?XVVUfrq9_>`&4eDncXwYr)`fZmEmT$x_yuK=?6Zj_9q`%ff|JyO>EkcI)`Ni!5SuVjSmzbvcal{EXJs!oGOhPUYkgWcO`PUVX~u zQ}Pfp{V=2NG#?M#s?o7sc=fHu){9!~Zx5f2Qfq^6)KFdkvoCka^WHaW6pl^#+sQ%{ zngZHtsLT?SzitlIug9v(JT5;c=bFk7SfzC-fheR}&Hfv5@YQ&?u?&@Bj`z8Cl^8vU)PI>A4T zz8ATH!HlDavWs6)Gt)D4xo~N!pvdc@vQodY)7Ri}VPa9t1XV%kwfNZ#gZ-u1&u^`9>!LK(^UNJ$() zATD&STLjr=hE$)KnyQmOav224DO=O^+jD@cNk1Z5!ZLJzk3|*_$GL4biy>GVZ&I#p z<<=l?BhBoZ=%t9j)T37(?Yl@c42%b9XF4x`?k@b9@`Pz!LxkwMuo~L%m>C}Cel~R_ zV6hmy|F!JEDq-5r7?pmF7!i1bM}1Wx2e>8s7E*bjsf?MoQNcT&EKC?5Fyg;^{BRA` zkexfGaR(OjtMBcSq~H*BG*RiG4O_IQlT8*?cJh_wabjj%C`LVB)q72up zYs_E*ZPzJB^=%qjAfAuIR&)3D4xF!DjhtcmR#>}y{p;zx$7$+g8Ar}+%{&L6cq${ zFygv#i2!;zDZsiuQZ{ZcxavMty`z2`IA5Z#)??%lD>cg&h8R-Kp?L&Fesl{d)`FCj zcTb4sbClF_vys$^wqg7#?FZi#VeJH;^O$E)?V_HjJ9ggNSFVPCt`eD;n4syG6}DEl z_h_6@B7J6Y!T*!DIePhhpByG!aDAOR1Z|Hud97k?K+^vmEJ$8jy{Z4Cxst4d;9=oB zkk0MO&5Bb?LOicy7Qq}TFzOl~Jsv3}Tcq(XQv#l$$Kvj%}1ZxUVjvFO(dORDoUtyqNUq&?x zspF+x<&#B?2Fm!MZgwS+G%}Pq$UmM8vf9j^h^E6jtvl6{g!Vaes8a7Z*~EXgT2Se( z!+gx!$>d|4c#k!`@+UvbYk}WxbAH>6wt2KdYF%z7+AG1&Sc1`H>>_}cy?u5B@CE4o z1iI>|7zy2PYgEELlGmc11e-qk5vxz%?_12`5a;>8znAEem`cbcJ3&$F7D(37kwjn| zENT!@#@W6FAXeXU0%Kf~uO}#L7Bq^(*mQeSQ1W~^Oq$3dfKfvIQC1RUDQ?)w@763) zzd%((IZCTlIrz@0T>v{4B;MaA_H8rKFZtFYP4-mad1GcAzK0V7&g_aM8DU0O3_Zxx^ODnR1Lu*i!JnSuiqs6Tvsx3*FvO=d2KXt99cnUx5T^6o( zw@FLoRX?|~JhZO}(&W{=OCvgFEYg4!Dm(}}tHmRCoK;5rfhrY?7A=Sz6Q!X_9JC0>C3)7PHy63Wq%uSU$=`4(k8Zn8wTL-kjw6mO>(Wk&&RFmIM=kJh( z(N~gJgc*j*agGXR`7Lt@%k;7s-P!Co-2~aSktqFWqj4+~wWTzT*-f_;8BB}AxH3)g z0}OU@mZltU4sr5tLAJ;s#4k+M>MP9OtX>dIC*+$%vJrl(3tkT_m>BU}1^i`8Nh3m9#wuViFJ7j9RYvB&VHV1ec?U zlsMBL=VUD&HCoyBVzgbK{OpLW8zGn2FhVIAkrY2u67eq+8Ww4PP1}JzhHoA@xR7KA z!x?lH%tXCdKe1Bg78rm!hnTQJagfUglKH;kF?7#uJw6CjT?{$sQ1%a1a5Du$4*pD= z6p4S}z=D2aI-3+hnp}EsGqu6Na9vmG*%)b9&)$SieLMkrZ>>Y(bP>en zf91h#B(NiGZ#kE+4L>Vq5rWLgy<mCGd;EEH}yEub%}|UhG1I2@{I3qCN~5f!jFH8{9Kg(baf@ zZp8TPd_pF}%(*}St3abaG*1y^in`-|ht>EOBeLP>Ow13Q;|SGM&ZS1PtfRAKZLUZ* zy(t381M>6%8o2g7ygU~TqF=|MO{*Pc$7M~<%Hky!ZDJ~p;8`hG4gb=B@%UMAzfp0# z{sicfKxjXabaHd@CTIGAJo%aG@N#ZtI+>95OUKlj$dFz%U_bwxcx#`Ez(kv3)Kss1 zGWsht!yZEC&Apnz&YNkl^v$Z`E(Rjk6i6QT5bDGeL1*PO22(6|1s!bXF|0Ka6+dJZ z@fW+48#h$2&@O+ZMEQ!1@yy7ac)H@;Ds)*mNnp^lTQQHP=1qc{r{>>Q{@tT&mo&Jf zg@g`eD4~GEnsvugP2>Qy8UHY%ggH34d=fu2G6d5^F+wB`Y-Hn~oB+SLu8SF?;l?F% zC$f&>Gs!NC5XO$7oTbq%pY+!KpvWhmll%}ZpCs$7AS*hK5`JME4y?l!@U$t8x)_jS z)HE)V$UL;@4*_kGG&pNy2gs19xcC`_`of97PEDFHT&jcH@}_iUpmKETF5+#LxZ_Jq zf;&)-%eu0MBSwxLFdDbG48?IPH^>g8^|VhGXWSEtVw8t4&Bjtcqv&;x&kM(=dXf1z zQ}K5tjw>vX94X06f7FsPBf7rGm(Qam$W7WVUG=W|fUSQf_WbAy}_@h|9y^wFy zWBxp(R2D0(#?2N-@A|(I6ZgNBUwz|z!B|}-i%pE%iG7e+JH-=57gKzN6SvFF`%a@D z)(0JmD^8L}5^ky-GjM)t;+N9M(2Wb;i1Hr zm+mFmC{z9)0NOw$zwrT7PygsbAa)=)4=HlzkgCV6avTVU6kElRBJJo1@JHWK#00>` z&xcg2?j#HsnjKM0^0mfe4<0YHHYQtNM5rbk(G(=iiS2p2(o~e(lgka(d1ldW4_2ocLrxwKTh3nT?t#GFF=6!Lt`s5{pT|QjAT&E$ z#e_lHVZ=&Tb~`uB?fiQmGVG5O-+Zp3F9DhINVz+`#MVa09ACrq`iRQ$m2;dS95TX> zh@5s-_~I<-l#9$(xZT{JeqCnUx}7==!))yqJ3S&(hjN&ZaU^ED%`*K1Fb2n4_niVK zKZ?i6j|lvMjl!#(Fy}ev9G*yVr*u5IlkmYKHLN~tS}r7)W(DyFMbqfn_FHi_kPvyl zCp$=*Qxe(J6eo8!Xmt7xBnSuM=L0kM$L9mLebo;wgct9AOCnU?t3HT373J>!=3^}E zQruaFz+>OPmR$T4z-Gr-*a>kyLMu*LB+OZM-}KaSo@MvO%`3EWB>WgM4@f@;*CsQ% zHsqma1K_I8HnFOMFjttHy^?%Wi@LnI>t$4*O^y`{!}H1HXv4uyp;CCJ>-qdBPrD8g zh67<=d6M2^1OH@>-C~M_K#%ai!`~4N4?O(+%*20VkmLTvRuRFF zb||tl%<)t*Z4?Ofh<9efrb$EKS+p4#gOAP~jGac{%(+toal|Ae=MVDjb`%>HTES z5puRMG^Gk?^GNMu*ky^{2ZP1O>j~Siuy7vtjnRdSyC*?%dgdZ?4ngRX+jNV+zUkxb z_b)-lbD#TSb5l6kE;X2v=en0bHmgRnq8IdHDDR8k3*t}?1k>Lunn81sXgt>x%_f|D zUwS_AC7NzFAtt>x&TLR(!8JMw<_5+fna8dR^0LhZTM~QhYM21qHO5{kvL~QxFph?U)lMu*7hXO zfb8Qf2Z3;5!L~9P@!`N`SdW7=<8|SVsMR1r##2!g84Fed{4{km;y5E=pSpv20`{Ta z_QZTr+Bx#M3PJSYy=1R?O9~3I45t+@LP*fFI}5V$VL>3&oUOR&4cb(l{HZBKOu%+b zo~ziUige_?K9Q+esYpWk9LNAce+sg;QK#Lm{c~v+1aZDAwdu|1+-PHo^>J9qhlAwP zcKY@-pG>L+M_~LK=m6*eA#e1Z9!;|AKi~0kkYE4Fh!sJ<=*x34)v5z*7}R9f!KGQ;-c#5pSX!PlZw4~ow&;z!v)r` z1Mq>krffCy-jSO*J)114orPM_f7;~Fa+}7fJ*JUV@p&Vc@|zskyPLGYxXU30)JwcUhG4(6vcok8W4mu z40w3`tm@$|(7@lL7_DA3>fa$z<#nZX|JBYzo$L26LF7DklP?45GbOcmodq(|t|fA1 ztIPWZk~0bdnIQ#{MN$yS`WdpwcLmQ=i-3XjWzqDD6VkPHS^Z5ykQT%~Bmn+`_!kRZ z#=aDMmqQ?(st7^Z+-$QmeiveI5(SbZ0wF+;4C~)iaPDGQLy{Rc{}4k+=t%tL2`l+- z@wHt=ql=XzqL$8+0_dyZq34BcOG&o4=rsGZP7sP%4`#u=;wCC#t zD*9#ddfH7dLF9&fMo!&f8Xao|Grvgs)MEf-E=sNXt?-Z-$Rjo7LE%A5|FB{h-I2Rj zZlzvZ8m0JO|MRcm@BjE8eo2J&SCewx{fNzCUw*qd%Y`=|A^ySD^c#1Zz1b}o{^pI) z_pg8Z?>-`*JikUC7V+fVoS9d@OTk|(IujbO$+=Se;!fmaqFs?>kvn#nO{02!mjr_dP3{*#zyZ)83uUDtQ%VHuIG z`|#`d!f^VHf9d;Z+WGODH$oqiZ_|%@w6mzsi+uJeXF(*?{r;7IW8E!P#n#hL-spTv zylvC_GVQRFB(jJGVi}{K5qa=N5PY-w4Z4&5*l1aOHQe;5q>Pq8{G-!9BFm`&zEpij z?u0)mZ)>Nn4^q)Ec@5z@^CMChL+otr>m9n2{@_%pU3o1)_7G^$y)he@bFG z7;|j}n^;Xxt7gPX%!Mr%#I=mV2gEQmS)opn~vYJ zAS^X6$<4~PDP?;H_h%$A|DZ~;$!+@dgR5lI^@2nJH8}n1lOPh5YRY4WN^2464kpwB z@yHMg*!gBB9K1iK(dquno$H0jnSw-X z#gq|`QRER=lx~E zl9w864U^I{knfZj=lppgwnz49PIJY&N0v0QNBO5dWHchWZwWH;9-FZeBl=R9<@un) zieIJ3UmVNrX=9FKCA!7^s%g%6aJ2{};Gu%-K2(c-I5awZFJ8TBzRd9c;t^=ouusO2y(1grLl6sqD{|Jm zzAT$ltQVpQ7xW!}vSJZfyzEx6S~IvQZgdT0%>77)F;Vd(f(Wy$3bf8Ji5p6M)RezFT@cX|dZ7XY3++Y0vziY5&Swm%lEt$+fes4C&Dkc~+@Qjn?D1 zky+Ep(SJb3y00%48>Jian2c3)3(_Mu~@AW)g!{;D->d^=T@eKJ5 zc-Y^_BFO5I``aV1H{enKFBXt>|J<7Za^F`FJOBQUJfv&kVfQQRiPLFY|2y!ItS+>v z*TVBWq-xknEvs=wNTYQdS(XBw)y@6nIg<8 z8ghi^u7^v%e?T4)9?drr_H4=<@^EM%cedU~hDTaNkUD!u9(svhhL7HK6UP|}c)m;; zzB(tQKOXP0LtM9kl~r%@p`Ji|C-R0&_4xXAqTpn^7nT!U8rjM1{U=~@$#R$7?E!h& z*;{?yyHa=d2YSv9H&yPY-=K-E%e&mdz17K*(>t;r+}gixe?RO;v!!itZDMKrp0>Q< z^|Al)+>~{Ra=szY=N^$(4hopJ$|VBbsCo!^dVq}BR~9GTf^b)y*2+yo`&bzRc_@x; zlkG%Tp0ZfkM2vjeLkcM(=JFHO?t&fJ9e5bB^nIK(Y|F8z6MVxvbK%`XUxr3e5I z9`{YhebZXd`6Dth{&A8fEuc(1QDPppA%bp63)}WpFlkt&m~k&}zTY`YSf)V4ErB@Uskj{M5z2kbpXn35?2?|j4r$W+W~UH3bAHOCd0ks1$Q%oGXJ4n_utDh!0M-vKLLhqqvz`H9I?%h6Cc1m~d!9 zPJnO7Bad5jOMq;I6>%(u!DyRjqq?W-@817ntst@--O6goOS=`J zNLm?7oE(AAovi(w$3O<25sRFpMfNnwq{EGIl+l*yaHoc2%yPJTaJdM=xdA|qlm}aU zR!s5XffWur&*~R@VxHvDa8F_+%JhT7?q|Gyo=dCyyG@nJzmUCYnsgO|`lpqJvKDvK z;u!w4yB8wGv-_z&h7wY^F2iahHSs>oGxST2KdGcIXYO>?5tzYVS>7A}el!}pRc?#K z4!=Wfo>CLbp!f)zSJoAIFibDQIGJxv;xAsGM7P+7vxJPFF}7?c&)vk#5IJ3`)2_xz z;{Wfc!pdE@;6SFrc4i{G$UHhW$) zO&_VIj((Ed>S0~yS0m(!v3(!5Vr~xXEzsttR4;}!^3JL5ZeN^BaaYp8U)IPH`k6Qw zY{%hLdFf5du5@htWaA0ubOaY>KAG{1lMOr~QBa>h>yeI8Xm>5!mR-ZA|G^P7@lBT# z53_SoaLZS?SpJbs?)0C_zoj@4MT$V2`|AyPm|o4NJM9oF4h$7kuG>;lsa*!ry7U+} zI*#mYSNz6;x;TjR!w6v4;0P8wo%0FJ9%~^T&V3SNIQ*Z6V1FVL-coi@vv_h2d+k1v zTOFoxBIh9kdJ2zay-#l(NH~?wY4XM6KypUv^tI6dxFwH9#W5We&1k}it-{RWYU@7K zlW@c$epCDiLt)^~b7FKSlI8?T%~qaa@-;pmOg!nkUg1h-?Ys;@BvuJr-olEM)&#_! zIPS)Nrp$kT`H9qJ{3xwsl0!(lfV9@({4&=%Ust6N=qtl|6FHT=aCZ7VxVl{2ZYW^t zlMkvbHE%A`+$@j#*d%6a=!U0GVj;+b$I3tKFAfzJB;O_$9jJPkA3N|8L}Jj?+!7$*~+2nvVlJU!PC<+t<;9%N7DL?A)LsxUv59I2&mj8hdb z+}&<@L^3ZOVFJQ2>IszVCpgY<+QAYIq!&|CG0}1sbBRQViW9NyQ6e#G*j^zKb^w0y`e{;+DAq$3o3LVn-~@(i9pVl9o%9m z$gP~Fc<=|a4F?f<{u`}c`9~H4#E*z@spR0|Z#s|TV6PcYmd>Ah1V=k^4wVNSd;K*W zsm6~gH+XMHIM8Xa20=a)r+54dWIC@Phs)5gqUL_3S-UyfB!9sF_yd%iBXZi{rdMG zl>4`T-eOh0YteJZ5UKY5yZkLNru;2u18Thj+-qp#@5^KYiNf)dsul?n{)bx;P`d@uMrN!xKx`GXx( zc3ovt$CSf75hjtxb9xIg{O{Z|{D~W$B=EN}NnIn)7hMr%B4IBX2N(N0bq#+3IZ0wv zW#}5J_kpe{yX`1y>rRx2vw7Mz&)7uQ_z0h6^A8TU+LD}xW z%0Joj0+9dop-BYeiWGd{kqL(;#lSBhB}t5mX#c+{_koUy#VM9dM|`k;v|~zLFar>| z!r6M#B=UDpJ%hhf&%{s6@IeB98-WUP$k$!25T{$R{cU|O&LPj>(EG|{tzU=;Z&|;As{+5Wz&$h%*iaK>r zh`)u$-vZI3-kwNYQK1%3!Nvdn8^z!cT*{y@Dxwk7n{pqFnCiNrV~BWE=aGv1tpOWm zI{!OGOz{(ohk^wDHYR@?5NVl6c=$;!_V?eC9QZ{@?j%N4a>NwsePaEmY#@pb2J(?b z=1w%&l)C#avuA9gW4we7V2YX+Ge9B*$mRobuy%qmkk7DjM+-J^ zw2!ihT^;sHF+VR;+s(h$2J=@hm^gh`3*<9wZbZ}O+0!W>jcZchAkz7yr!h)j&KsML zYQqi>qb>i6zFFS-2FO-Ex#t*(O89jA3)tL`(H~ZW0WRy0?=Gk%+Y=~GYhts^L zdN{R4Hi&Blf8vV?N#Jj!YKR~kL=u+mAroYSCpcco+b8$Wx9We2o&Oc< zOp2X}G#yu%;pK0=`Oav&VQ6BJh@aRh5+v|<^}2H)b`Cd@DE3SaxfHK%haC6?eJ!<>?>m`s}H7Uo24|@?g{M21EJMk?t zl0NY@&0w#m2)}=YfCTJ3S2Pkt&T|Ffmq5!tFn1v2Ln9~=grVr&I*-nw_T5hULB7kR zhaII06%MsQ&)F_-42eS#yp>IoHjgK6am7Anmrb_{8K zUGB(3T3(mi_F+iNUBq?hP9pRqHlvf0bo2z-qqis^fuc9l(~#C{eaA4Q&%*8lcOW4l znsHE*bPVdzJ`Zhb9}5R7F)9#O;t`ci4>@NlK*E6bee-OKUC+pplS(6>6HW#^^oCk) z6yiO;s=CQ_?6W8NHhJNqXD4__M(#1t0Rw=R+hQMi41tA{oVN`vgZE(Jzy5aBZnvXS z3?tV9Hk;M`+mVcF-jH*_33WCjbSM3AF|Y+hPo?Vv6jSYk7K2SP$Lf*L^>s=irhYK?_} zvBoAPd^yU9El1fJzNRLVCB#mIN3-s4y98Lsv|DqI*wkDqfKv5&t|7TQxhl z9dv0`G7kg-w#WDPus&rA+8UPaW$WCCAR?v(ZN>Bl9%E6>1_VOI*{J#JuCE|X&A~nN zgoJ@Wiy6&zkww46A{#yRXew{M*DwC8P1mc`XCBzsLL-+_GE!Wp@c*;8-W(*pi0Ku* zb4?3fm@ANuTcy#g`@4QYn$AuB9N3f*ea!Vbbvu=fX14H0uM8v*Nk3bS+MgNq=zLFCCBS>9K>YqT^KhX^R6Qt2U!5{q-GO~Z}sy(tt zk#BqY#M))z5Qq*R&!5DzTV%{o@>V%Ws zoq6sY7cupqX^{X|l&xrtVu!=0Ca3TxB7T8xj*QC}b6l1$eq-`sjvF)|3H{V;Vse5K zF~?2%SCEnZj5$t_O?Ud38?eOV$?651@M1u}gw6|)?Whg7=e z9g`OTf!|Kdl~Jw3q?fwfXT~3py6P}Ub7eqx(oa8=`>eX$H$Q?A$l+7+JM!@1_rKW=6pv!%6VJ;gb4XK^8Ewy5zesWR_`|m6X!efQO@uEJRb1)mnryn4=dnb zlzQEkzv?*O1jtPgee4sJ2|?_2AIW{nq$$X*54UB+UeJC;v(?{TP}0S$ZZFlKL?lSp zHxN-%5X4?i)G~-{B!LK^g~rrqzrz20AZ%}32J;t^#UWxwpazaFs%-FIg++t|$yFaB&syqGNfddcAu?-6B z99tJ+Z?|%6$;)u(p2wEIAeP4#A&#xo%*R$D?H)n)99$HUz@7$eb-kgdyOCL9s!#Tf z6O;4VW(KIYvClpyBF*zdGm`YLI?(7}DRJ;jj_ThjNx-_CgV9PFjn*6Xc`moT4mElH zi$hHm$q4)d&FDg)92y080`@5gwyFM@Q;@BAJv4YXJj*mOKV5BPLP%_? zK3JBh7JqYk$_A?*6v#GMJv%dF0}JMpaI3#AP2t{vW%$U?vr%$2vghB4^kX=C@<`gN zjTA$}!5s+U`tGMc=-?OP#c0l(eg7IG;lBIp#PnuC3MU6y_KCb08>D7Fkq2d)>dBlM zK)$<9bCFrME>DqJSN-R#x%r0%XuOUbDt^)ZwZI8??+v?Z098LGF(+En4>uF8j+AbU7i$Ep+^6XH7vHp5^g<>;2+?f~WUCZQ&%9>W*$o>{N!!^LSdJCbIWw)s#b z>+P!yf#(H8oaw;yVkH;S4s5#3a1A9As@NFExhM_aOd#v#&#NY2DY;2y@CB;%E5Zlk zT*rZhzh}l(;ox-|g}X5S#hONh{sO>5t7*v87XOGUmmNXYyfR&YCyX7aCys})(FI|- zaKeIM1ZyaE0R^#x4p#uN8;v%u;^Lb-0BADd7j;)|VMuv?;}{m&3$X{8L-<|)i)(1& z@BT(DNBD)foi=^Dd;ruB*d})Wqzr#b%w5NjkHB#b+y8p_!>U2{@aKAi_u=143Goik z5yz}WDAbH*utkuDy}*ilWn4>Z7)Er0)hh9z_G8`A9<>Xe=TycuG zv#OdqPP{9VGB8y2qv2u9sx`~Ou_{w1=#}axdZX(yV%=MFPG~(dZMsRYcXQR0Hgdr7 z->=l>InVM&&&0s)_QF1NAt0 zf=@nE6!kbk_Msjp$g!NMkd+Z1Nzn`?F(p0J;{>ToF0JX?b$hD5y#$f}MnvE5>)X(% zv-AhT-K&bM$MG3JikK0o(OHays@+cX&eY@BNNZrfgKR(j>jmH}RkL-FWMTC!g`U74PKhrAI!rEr`998qFH&grMBK{K(qfiDzk9 zydx4?G!`wPY1401%vJAB9N=X2?u;Xy&#QN|Da&`181*~;WTt?}My%kGE=zbsSVg04 zSi_@jSi_Smaz`%MoqpOi11G9{Uco!6MMs8nzd#8WM7#+n{UM@^6XS;2#d8Sv7e zSM;c8RP=84nd}+X^e~}J)$~Y)MLkNxsvZ#*)~E!_dUSP`^?crp8XjzuffI$lW1Kfx z)T2_tJJft$)VtJ_m8XX?WIOu+aoI$ z_xPKc>K+wmbuS^$t9z7&Ylzr}xA|I8AD&I}7x$rH@d_*S7d}Pf!9}UPt zAAd7b=_47I`iRWb`pBEbzC`$WwGRV?)jk3<)jkTtavu@Y_bA;PFjMgZ*(mvu(M;hj zHS(e#rD4?%LxDvPLk2Fmytc{V?oO+3&Qg<55;4z``3_vFu06 zQTD_5jUwM^U)viI-E&0Q592r0{n$Cd9|%Q6tE{R~o+us#f6amSZRX|c< z8IZqO(;%_m%oG9E?RX_oaocw;3HV>l{-7&OrYTCx-f zWTqBKaajyZ$aV}Xaunn!e^djZ0gHhc_pJS$els`&`>hxVuZdzHJS#DWnjv5ys0Xqc z3xXugsvY^WvPTi4AV@V>5QL`D3f2h9EsBrpn6H~E2vRXr1PRQP-pC`exk_OMup z7zpZushJlBNt)F=@@IvQGDcyLim)&UU85E35tPGbs0-dq{X=2!!Ly&$VwiQ82>9x* z8}R5(qqNa0gOWzmfas3=|B=kKc<76uyX^$zmH$->EnDpA*gr0Baa9#df_P( z1`>qt$U}=t6q5)OA>lXVAz^d2Q{P(6U1iAk56C28`I`1fSdi}@kSW5rl9rvzuB5#q zlZ5}C*Z%Qv0Ac@{5Rd~O#(77k2*3Mr9v~y~XM}G$eE)#_F=4YPC4NID33C<&6`e)j zfT@Y>@6FBs++>X-0YPQ+nCBh&W5Pfz2l$RWB7A69WDg#Z?;nu=Zx9Yg`xs%_Q;dWS z$%IGbAz{gzjf4%!gh%8N;oY9xNQ8j|;XCqhwUgpo>bx8BosgK2?#RDpc>n2m2b;C5 zH$H1wZ*>12GR10B%a3DqzpXZZADNuRZjP_9@5pC3TgD1hg|j2_$byf#JMvM^PW8V5=Va~w zmpH#WGWmpu-4WybM@J-^d~s>NG_87gQTSbe{%y}9$={OD5Tuvt{3Ux4h#E|Ci@BL4 zLj|#u27*WxOpwrH7b_Rk_A^xUPH`b2EGRSxC#ZB}2OL+BBD`fc|aS&KH2{nN7B+ zdYC;Etybd*zH$zy8xcq+r*%dRGGbbySy-ZeJw1d`&t2*bqJSJS6yqIQ>j3hYioBu) zYZ@7OfjrCW?`zTGj|kg4TbVEr5N`6U-*(=RZ5b>okW`Ck8v*3Jp#YX$&xqyHb0g2C zXVRyp;DdehH~T_FAWw$JvTBtsdO|)jFDd4!o)9up5DbF}8A*<2^AydoPW6O*oZh?? z!%PsVsm6E_q$jfSw8ig&M545&)ADq!KK)~q{nN5$4p^=cWbNiEI_OIm}gmGYs$f1?o zEX%3Yt8Bc}M@Ja%)ZxWWc?ohKctCJLB3gx)fHFMk73{+UA!0`4baHmUD<0X_L|QeI zQ%;#bkdP70=v<(joNKhuGqbMiFgzvDUwsJAOepK(6H1T)!roc!Ta+VWlTIjcL7I!< z)JXw3btc5ElNw>_Or(A4Bzx!;B2H;)Z^(LAH#>l~k?7A^X!H|_pGiMw40|^M)dT7>D z7sc#6hrPg?v;Z-Dfo7SG ziGFB$^ms&i85ghG#sLxXam*yd=fKnm!!eQeF-!Iw*A#I|)6Uq!M~xhyI{W0Pxf@({1&=y?=XbS6^J#I4>)?EV2Wxj0Eesgiwn&!l_cbbyZx8oKt zJ|d&XDE{F~u;P2-M)1r>Y?R$b?-7a<(B5M${M5 zJXr7vr+1$QlFaSClazZ&$87$#Z$qj_5~On9=r89BDbjR zLJ-**>6b#sI`H3bBk+-8$9-rMp|fraLpzs{$P6pJILN&3#c5kD*yCSqZ!C zopxh#eRSOiEt=l=OT(WCc9qcze(?&@bWF0Rhf&1P9csi{E!S5|yEQBQMtNP%?3NdT z)D||rghCHA3tgQu`xLw*mbn@FVef2Ace=xG-OirPb#lLN2Z=-{cRMY|1!n7(NQ(7^ zyl2*zZTA{zIGDX3k$Kx26WPHIuZo6)*;lo*(GPtID0d8ya4@t=4hGWU24Eka0ujT( zphj}A-Q)3SB)6ri1Ms7ty^&Gyl;g2)Sk<#rU-! zcqI!++n*m_Vk58ZCo(gqplY>mgl1{{I7!E)BqBUUz*a=w2z~$h_aBJ=r$0V)A{Y5| zfzcPmRo`pRq^Z$u^PTsT0yxR+8W{Z6J8qgaapXH8o8KK1M308(lbNcnoHgGJvAdRn zXyMZZG(?uA0gzAYL?fl6rB6`KT7fwb2sovuU7Gy;D;scmdPyuASpp-QtNB?KQ9(Y2 zBZyu25=2u$8}5Rto_&(Hd{a$VfYmOj)#B&#&Q;&)nxs;6$0}qt`)r{j-w9d$D3Tzy zEnW4DlW0&->{$I<@Pb&bc(re-iGUUyB*3z_X!8=N^*vF}YJvOCGZ~LB!v*0*FLs%| z6gf^k>oRArLq2h&jzbGBeq$``^@ez>$E5Wzm z^DDcw;WQSyv|=`8mj>cp+IaAbUFw9RM}s$dw0UR{J=(Bgjvnnel*AtGST@+B8L=Kk ztJI^Q9cB*xf!K*QHmc9V+MrVP+pf7o%h&Yuc@)3jo2fj0C@C5(dT23|S^O+zXo;sL z1<}3Uh1jg};uOoH`r7GI7Ej1w8v`~A{{rcxSnv` zhlNX+>@y%b;@B#dGt$nA-KJ;C_)t|n3x)eN0rclDCWgT*$DQqdjpOHwHpq1Kt8Dj< z_>V|ai)6bu9omE4o7y(py?M+PcF%~}9j%hxK|3o3{y-q(Onf5G<83X+C)X~bJ$-m5 zELIri0I4Z3GmE_=#fRI>q~*uGGpj`d`Am566?(=L+v$&21#;-uZsts}9acpO;7p-_ ziuVI@Jgzixgls#GgcI2wzb_Tib%pduaT!ReOjkfa13lrmV`19&LDee=mc?Wo9D) zGR3db1hVKc;7R%Hd7MmU;=!C4AdAMdX~^_*%8^x=tBsxa3Go*03qs2q;;klGZOB&; zIVSe;Y_#h?lldG;(SL;NrQDD5D%?FPY~W_QW!8-^*cHo zs`UiX0zHnHB%!0c|_)U|QYlsPmJhY(3S#9@s*tkFmGNzN5&&`NG zcofYxt}7tD(F;9XAd}jco|3d8E9JcNWFwbC{NC}WKT`X~jq9I`*c0Dk16s`M{!9lv?lL}zp zzqr*<`8pHc)B#ZF$wsh6kcGX#`pwL99^bANeN3~+n|SMNNOm>4ZTlQatILH*Qa7zG za&~7}TjqF!(|S)hNw$3%&9!)KCrrBdDWl|Gi=P9Cu31pRK=%dU{fjoqy+AqqB)G#~ zAt8{J_a517{o;IXl-IAeX6ANXBZ;?q^q;&7P^cNLU<=ZPxt#Nxk^iZRRasBJU`%8= zhKr@o$kc{_5{Qi7bWr$F#VSi<Gd)2*^%18nI**q_bXN4+J7U z(i8%2Sa7Jt=C9XJ zRt9Tz_&da0mlVotmjImAH1F4~N4K2vwI1DA5J#78SYg2$2GU8f;19%P%*_gCI*=~@;Crwy_);203Q#7_85b@GBdIfPjdp*tXVJN7|^3-L2#ba;_wC~ZN$WSjxf@y1rMn2~l?>pnRz z(`ov0MZX)D97Fc!A4mwR$G#upZC}f5aB{WrlS4G}#*{pe{xWuoA9_B1hbQQ^FaKa9k?JF4%3hTb4v?0jGPBn^g3bBNY*mZS z4Vl%Ns21q&QYpc@8KI|3pEh!K%`Q8w3H8*6gM(fh^kP*q->@p&m zk(P@vK)wg04cm3y%N(3zbSf>Kd0tDl2!gDa`?erMX)6$xwsJF{+Y@P@|H(h=(HgTE zS+%LsRw?$dOXR&vsn0+16TEG6mCf4Hl4ZZCGshi3ruuW9fyfA$6|ZQHCQ~UhWn7Gr z)xX{o$TH{3Ce89yhWW$keh*6Ck!8A$Th@bxd?4qk-nrm;2}8ED3ASci+B+NR4c{Q(AeK+s?$`v# zu3!LktIhvwjW5HUY|5-4bXl9+kD+a>IfkVguz85u0u0+Z=6y55@(NlVsY+yo4?3X7aLdlhjgMj?tZe0GuIMFD zVfPt=W;1>wkAG!@E?YW_YdUP{;H~L^FCf1{iJs2_%g|rCpOC<|JptwuY!m4KQl@hy z?oe|}by^@DWYEZpFhO|ZX(eYapNG!U?M#YOgPo@-p(Pu^5?eY11#21Wn~CTAT;82K z^NESFG(RXfMW)?$dj_OcWofCBqN~c%@L{F!$0^=mRqmN4c{OkujkWrByTYLOTy)rc z<-sWQ%gUOv_gw(qxoDF-%L#{%1aDZYq;u9l{L4wvtav;UlnW1`IQQaT5u<$g4t4Ua zlFq4^p3w@n2*R)zn7^6%pQ~ye?RF2V>{A!0ypcS|X*&o<>T=GI@xoJ*#%owdyWPVA ztM9045qTptRJBO_168flzBrz$T4$NRhgI~lUA~6}S4j8=W*i9l8Cd4I1AFY2|>1(GB18SiV`orIE#E& zoR|q0JxvkFOCZ?~4y|N6nCPNsz&j(n&V^PhGT$V;`l-l?xw`5jaVgUzz%g3~tJl6^ zyy6AqJ0ZJ&XAtv>Ak3)SaUp$p1cEpP{N%wO@k8r-gU)hkS% zzTzc~)}aap!r5N$NcYd3P1H>4+Vr+s%jyaXAqcnMO*Dwsm~idWSjJzw$4h%Q0V zhMTOQ934?_SG-ih;fj}Nal7KBiVau1plWuWjF^M9`xURC9fq#AD_&JMEyv)zb^e%K zy>=h#u#*5X*{AF6idVgM8{-u(LB=ayf{a(Z6v0sYLB=ayf{a(Z1X;%#m_XwduZ&n$A)3*@NIEHY&xAn6+-$#H@e<^A z#Y>R_pvC!`Z;Pj8Ta z*5h`?D-@ZjgIyc2;fhx|4nGbzV^!bAC9BGF3w=ls9>@F@FKIMh@hZnQf5odD`|XMs zkhvjq@gA>uUBUD86)$NsUhx9m??KBu(&w*um7^dPLZr=K@hXSC_>|3uH=sBkj(WS| zB}j^3HDx)+9lX}@ikE0LUhz_7SXAOSk=(oJ9Ah0!F1-(XW#u)L!HjvXf*s3v#cKpK z_lQOuzaX1Tx~3C$K#s8nmh=SSGJnOZ$cKH;n#Z^!Y0BBp+Z8WCwp$JCg2pReqWb)b zwjbjaF91nTxmrJ-1ly1M6|W-S_#3Wx2{K+py<>PLP0%PD+qP|Oj61e%+qP}nw(X5= zd!vn$jh%dX-t(UG&5xex?yBypn(pdruBxfJMKS3)AcqCq--TUO@1mptB#+^I=P)s`ZQ-R%Mj{SycslvnQ+i~?Y2Lb1U!nCV4 zKhR2Kd;d^~_WmXH$B)xxAOr}_x%TX*P7LZ@e1`pmra1g}w@qMu5teh$(NnT;Wl}|I z>6j=EGU~PN7RS*;;TgQ-H^q@^+x_1>J^Q8O9z)Uvw_)i-L-6%*8sAN_9hlTh@+j`> z);|zI7#qE9zCHWofWCcle?*QRu=PnU56nUZ=l-34QZ9ydpKe36!`{`QGyY0RrM|_f0qBl>BCqB(N1_eC`f@KSohcZN+iWeEQLE{8uP*4JCpgEa`}WSNK<|lV`uQ z@VVHzew6`y)k*(%N}8mnk>Cq)&VVg;>2up?e$}_y2ns`X1_fxY&kE9P!#uUc5ud>& zAE#Esv#6Jly~}Q>AdgcrOct_Zkdn#nyET_A+>LjV%!Xb;lxcksOSK3RGccg6lO2sDzvUh-7eTPW7oAzYTKQ3p^t-72wYwwO%zOypLE}{U)(Tg6ks zMp!k9TfZ()&Sv9H0<@FI@9mZb+VM!ueyd-cM1m%_von`4?i9KzY)<~rBn!R~SP~V1 zYK2xSwjk5D>tRi=m21~3c>oSv94G2~-bRRG_8HP|ITYzdj|T7Dmm?b7jr|lEj<{-| zeMyi_in#0F?A6?zn$qlgQ7vdC-wX$=G-_-ft7z2zw&W zNw0(&cK#*05%Y$e>Iz4Y(*L?oOq1x=Pw<8N1%oX?6=YUe)1ACwT<2Vu%WOvLuxSQC zhvT}M8}O&{irSJ}X3D`gJg;$}?gL_G5;wxrl)bGyV<Ia5VE*1c20yB#k0z4k z_XMwnJ*xCoIL~jnvRqB?yg2y*d!!Bcc9WJ4b`+H3B|supX3Ad+Zk+f#A<`4=XB#;S z>g;)#!b#*;tOh-nxob4Z^2`|@a_q3quwF9~s@IelHEDTC459YCqK(+_fPMDDf{jkMG-jgP zCY~hKPp-*L?D1EJSiM`87<2>uOmIXzpnG7HB*h3igO&FypS z?8yV-TPYM1VaK~3Gn8JUya(n_goa!zq^c116QApnPUscNolKT9c8`eI**}d#vWJ-l z-o#JP`U&Rx#$><)6Vq#Ss}reQlpb~)S6&FRDWyc%{Q6^c`DbiSSp#|s?oPODAG>)7-KEDTQj=EE!UODEWzg09T0S7 z882WgjZ0rZwyQ)EB*x0G^g?MSH@ug z3BV7sB}oNLKGY23dxeDr;+K@HD%vT}7KVq6Zd)c@J@ zK7wOwZ;OYM#Hx1}+OP$S{y40_uOwtQ3MgsVoRl3`Q*nX^8SV1iMJlQ5Z`L-fA1UmP z|EGb?F)${=M|#|kP;)FLS9d^&PdNV9UnO+pKYx|b5$lFsnCPIGP*K6Q*0LxaF&`>X z*5#*^2L%l@6Wv)A(#t77S~?gOG>qWWk9onYc?ZY zlEWBtTFm+*MbkNFqL7->m^O8D)u#MZ%L10(x-uxiP=3Yz*-bH~2A+vJ`6xg^DMpQo zCrrPAU2?ZVzv%`-ByLr__*5=Q&4j7~0)-@OSMLyRbAo;J%g5`zPcrr2wsNF0(rsMD zd#iDODkrF6Bx{yuq9QdSxt!oAN;y$GiaBaq5*8)dxW)mRiK`ybj@|$Cm=hI>b*FNM z-nm37w^(THh(@v|M535up_9)htV`$ICjV5}gd$l_i-NGAs$vXrQ#gm%E7V|=6#5^) zYvajhPvz1$!EvN=&cTKM7Zsmejs%r_wgE#b=Rfe*>`W9&@gE30=9)L~o!mae5b{}z zFdR~GoQniJ%NkXu64A)y|6jAVdq*Zi6eR?PkX)%9l<>&@Oo)eTPV10Gv5 zLuZ!DPnzJAy(Pnn~>lft|u>X|#&S+Vo(U zAQG9ZX5N~lW-f}VMhMjE_0#2=H0wo=JUN}l8O-!UucyudTyWtqHWW;jVS~F#(QqcN zW+bb|PfP!Qyd6+EnjJYtvX%%&vLd0e3I8~%6b)mOg8fL9m0WJ}XYNu%TP7qlq_Zo< zgCmnDA+mJmRhqLcItvzt2o%cT@MRlZ>71PJ3BDGC$osl=9i%Z7bD}xvoB^DlmqH|! zbDRD1P7{7c|L;Zr6N_X;_0u^xg8FF)_-TL&{(;t8fIHj$sZmZe4P(bpoX2z~rg92r zg?jVFfTwW&k2-wXK%vBL{Nduc2xKe?^5h4J^xJ3w`g{paYVt*>AEN-`O zcp?@u+wtXRqBn{c(834{^q-SVB$Aab>}OqY{*daRaRB%ChjSm?;zht;Otv)6p`f2? zUMw@=@XtE#C?3vCEQPDJf?17m`i!dZ{OJq$kDpEliD0RHF@*o4`+lf3rc2}OWcwj1 z1hZ^=t9N+9jLtEM4JIvSS0V3#cp8OrOr|9uiOLb>KRLJj$k~J^3_MeG6e*v4RsrZ( zD$ZMl&2=qBI>#k~%5l+JA~P?wLwGKM35n5BjCC0+nC(1afUtEEDe(s!RG|WA=B;)Z zo836_-tL^sUHm`!u^5**ZCx}DPq>DX%hk~;9)zgN-9k{NaIyxm$^Y!Lp&~lRunk&g z=1;YQn6IhvAN)9=&Ht;@Zc)tb+?(MWn z$mSQ?+%K`amEaY#ZjWED_^%QRu_{-R>%*QSLz@3NOQ_d&na;+M&AK14=5#wvuZGbX zUh(|6L*IP%TnE$TQ~$*GS#Jxx6;M8~ab2Oi^deaAQuC!#1wuqTx?LagGYS?#!qyI~ zxRBf@}OdH7whhqK@Q>A(}uiL1o$GKZHn;39Zyh?1y0Z}5v#$B1;~B_eJ-sh|hciwSGr_o}`8hMRh-rkYz( z7~o6TXjma~Pnpkc)H@W39=g`^E_UcYxZxwa^r>?q8m*R%^-;s_q7GpG5;u0Yt?n@VX+;QoBaTD*kGn5H38$9SzJ%aDir-n?F>^ssL~akO(|CM0kP zk)XfI$1KrqbD*}>-W8P2AzsIa^eDRxbsv9ao7BT@K*}e{%jK9h;jWGXAAs7n#!HMO zXkMkb;8;}Sm(GIP*1jdGv^6^WT4qd4bzNkIZD{>cnjNAOne4w;s3HSOkSt-=Moo!cvqVyL-$1|gFYO`%M@;p(99Bk z*4pr)9HW?ax8i4iJ0_p^-Og=iWNFrPLZgJ&_e%720$@4@olB?cZ$fhi1ldN`*H*-Mb=Ag<^aWurV42?)FJGSFnBUI1UYpF~DcvSMv`*(pw5>F-*t4Fd*;zoAE7!lme(2b8JCvj-7g()9j60wUhkg0CqqXWKQTr2n45uWU$g%R#r2SB7;)74uS z`EqWwqK^37p?p?NnG@`3IDEW!j%=Z`jK&o{eQiGPSopyE5(1>mG!CI9UP2& zo(|1*aiSMN?8&8mVOjtUmrx~G!Ke!MdWHDxEF$@{*-C*rEuFU9*OHz6gwn>1*vZdG zgpJi8mRH?CdNxuSI(^1gllxQ9ThwHG8C?7~>cQTeDeFd(t?*(Y!&9c6Q@@+2pBOUA z-P9vZ!V?y;RaO@I6kv5F9~F@d8cZmFz*e_54dFU%Ux5s}aYHMS%q67XGYdRXgSyd) ztns|yav?Z-%tICT2$fTd2r*nt)0(WKUN4Iu~GB49|}z zeJ7RGqBz(O-&bLUhldWWTd-+A0Pyj;5G5P|;{*KJh+n}Ja1p#UW2@QGR@A*`1d;03 z>Yt8;i4?b_XR^GNg?kB{^=-dg69L@(@|ZJS{kxk(_??@#tsm3Zo45w;J#CI(aWXGtU=LS4X@AR&J zt_x)^=#V@XJ5vM1x`oJR0$zppt*z&muebN(7VY6Iu`0aCXhc3l8cu{ar)?SY$9uOxe@KtP>su4Nr@vAX^Q8GeG`XnX0!sOqst8v>Y!}PirKuckf+u=J9_2f& z^m+3*Kay*dTUF2Km7mCn z<{O4~+Pl<9nIz6yldOrrcj6{Ed6U11oQ@nl_iz+(NLUwJs%Y(sl;}vS)afZ9t&2cH zw233{F5nZiGm&kxnJ}*2{csjlZOVZis>3K|o)_y2V6Br{tX0Xa!5EOt4IHsd?! zW(9xbz`EJk2gMjN!?hCl+9FbzfXhS-!6Xko5;9|)`DKrdqM}q7$|~eboa!@82%XA- zGU}JI>)nT~C=&;&%UAkIg2P35SUfaZNQ2XnOs3akuz8cjAmT4GqlzOE2XG&~c*%2x zxp98WD4_)}l{RBba;PTC{Aiy+sMCycDQ@^vXt^>>-}4H{_*~A0drpX~OCR3Y5JST| zGYHKvyA9_w%|1tu6k_z9%TTC52L}sNxvfbQ>{~hxZDQ0fm`&U{fk1&z=@5dX1r_wz zFOyO0a!P7kj6=IQjj|T%(Fc{yB+!%cegHFjV=4(oC#zMlA!_@f<3snsbHX?jcefjzLh5!jE&l4A?OhXOK4j zuLPIDnz&DvzAbae)dLek?5jxD!+l^Y4#*B-S3H@J3a7u#mQhnIqmnrspag&0;H#5p zj;;7K&&niq+pPaJTy#6)N!VQqEwBarKleA**SjUeC{_4ix?I!o^P%qrg4&mb#K~=~ zTWjys=R)f$tS}IXj^l(Yd;EFD}9Xc`IcGI2SYV)7cMu!BO$UaUJ z=^6WPD{Xv`kskU!%blBoMc!ekvwc!ZXMd=!y^lPXqdW=MKZNOUP#;Safx$}7r2ncV zW!QwMp1Bwf~M z)r8+GWP=enVYiGk`}J@OQ(^M_`x)Q|`QJ~@P2Dfaev&^TG-+2FBrQKGZQR_gGWpa zBO99M&LE`#?&p9iky)n>`x_dF`%pNk^dS-M#z8?FCo=e$8*Z;6e>31Y<6Vs>JfH)O z*GYXG^1lBTurB$q&iPG<6+yH|6&cu~o0+IV;Fy9?L}@>{LAsLo5`O7SzQw% z^`%(6O9x35(0D>EEhEgR?qx_YD^#xW%A?5OXmAb(1zc=ME?Yh)hee|-PEO00M8n`Z zVo@l4>ImLT6@@!oMDN4+oN%f`Zc^B@yFm&l!VI^r``<`N!Ni0}@R@8i6XrF)L}`4n zNs{*Ik+SLm@7PH48H$^oPaa`^w4@6@0VRy1AD~cee2wpi2ajoCR30%14{ zy(SkTigx%!9o!>mT*x}jltQy|wz1Q(^j`aP&QQ{5yST)z!W%AvF`OaFU!X|aDdRDg zPs#F8z>2uEh*JitbQ@wWevCv^5CoE)>IYQ`i9~E>@8|HaV>8P8yds_FNu2Dbp;2%E z3~!TtV8d)ewrc>xK34O57S@?ZnR$u{IfOt0vV_1Pb>tl=ktBRVHi#G@wY|$hwMpQe zoi!P$Uuan}9OMc=lA6-e(R>*!BX@Rj0WhM7|NG^NM_eInbLg$iCOHJ3Sf`uTknFBv z#+M%9ioFfY_i!EiG0;dI`)8D{ZIqz@h1G8VkdeFBAT89!8CSwj{)cH|m{~+3Q*zC$ zP`rNoq{Cq3R4$K1C{#8R3@2vRqg~oFxIBYXOPu+NpFkM(+(_($X3BErHH}uv7JzjF zNLFW}OKx3i(>wiBp-;v`gwun!p*=Jsr`wGJq~_@N6PF@3iv*M&fK&VLI>Lw8VQk3W zbMMTL${ZPPo+w}9xQ(LH!6n)O|Ib?rv&z3ldy^kYpcO_5s@t*u3WmHs%UTC#UEh$Ty=G0)r#^f;C#|7Z$U)B26-^$M4&?h>NKe@=R!`(_m3bgq z5&tR%ad4as*D$mVNZK**Z6RRW|4Hbj2$8@^ekYnP4JR~nRm$$ShABtECDPLg05w)@ z7P%6!a=n^IctleG*k^$c#qIwZVY18GnXzMvyt9{fN8x2;Ziu?|nwcSpw5JrIcHbr8 zxEuty)c@0?e2Va2O50f>c8qB~JX{FQ&FzIqJ&EGFSIfDv|4ug45RQ4^8eH@b{{ z30G`L8zn!R{-=nTF=L!vRqGzpkG)9BO`YS8`_&g~uhY(?P->|Y8)fWwmq>z>1_HRl z;jWV!Hi4qfj~?iV8ZlcR2Oi`m5u44f=ma6yNsV>{so06sU3vO7t%T#83%zKgf3Nj5 z_G^ZY7P36UG#4AN?(^zkhQf$*_s8{DjCn-u2jN(;GV@3u&tW3007^-=fUWXCrgvRp zK!PtvELg~z=ZZwZs&A^rQAb&gDGUk1gw>rE=~ydyhe3?k=e%M+wta!&P|YmRd;%B8 zEijpQI1q6m?7eCfSb5)r-pl&*%p?^a+;>bE2~Zmd@ZzEwB+{>L-qO=^ngyh9pyf^R zV)k?LK(PGC893HlAJJhcV#b2~)2=N77j)79xJ+`0Y75?)t#f6(L(4|%Cmtv#@=tY< z0jq)}?d7e(N~VG3f*O%`2}(PlQgWw1CGH~X2Fo;xdr%9Rim}7^ziCIKfCi`~v7Bn# z=A%y!(C>J%vnJ*7M9uK3`=#G%BC~HQvOPnSOr%JYG*(o1X`GJV z4rzzAjh!@j0^G9;qF_8wub{+{5!or({9jLJG#s|KeavPJuCs+TDWpA!1=+Qy9C3n1*2ayd01F}e zG-{;HD*E&3SoPlc7J9%9tESFF>#%;C<=BQutkXLvQnzWF0Y@w#d%935PQ9G)vJhWp$pfpA^?*=*3VGI$)WF79S;ngL3Ev znYdWIJs9dxq06#V$$qClli5V`sucHz)v)8VHS1bj2sW>}?j@;%!I@H0iS>&`XQf`Wtv;9rkM$5_MB3mcSt;Po&!&87TRC zSF$W9?t!BF4yvgR-&+_aV<>hOc zCr*Gj!=IZF1=-D)0OgmPA})$1`}lzK#YCYWd86Li38*5b+)rQ$oxWgbHeNYyf`2`S zyXdkHfBy9rAVP03LwQGsY#vHL3(0S-v zEaV`v36ilvEGbhSWPUp&yM-)pP#U#u5(9DyC9P-9(v;YVfQN0Og^yuZ*q8~s@Nv7Z zT?rHD_^`7w+xN(=UI08^y*h@SJo1oP;n6`dsZCeRQ}odxBKll3#S|B^^D|6wa&Ff5CW!gK>@g@ub|l zA*xIn+PRS?$lmjad}+hDX~-kbHET-ZCa4Lvi}DwCQX|VD;z3znu@NCO7a_fjv;fnq zDz4f&NfxVXa04aFMgc)MmW3+7D`I73@mAN0j1*knOHe!2 zCjH^Xen_hR*LX9mI$`lj^7<07a-7BlL-ah}Vn0dh`a~qsfhv!@W&LivcO0SqC@J83 z=t!g`7^Ax?<_S8kYh`i&KZo_PhZ-|CbB>i3X-xw~l}2bj)B}b5f4hA}qoKOVo+(Y% z@=NdqnSd;BuSpJM@XhN&mJ~#k-idd%niPbb)psJKJ0>kK+uRX{!qm09a8Ugy9URJS z0O_)5&VJJ)N@W4)kB2IlVf5XfbCy!btKSaNFjb6^5-<6e~xpf(I$zmEQZf0q(!1zDv`;8 z##qFWBGr8?j1Xtq3b^NK2p_xMm=nyj5k%6hGuuJ2=@-~c=n-!xog}kq6n|R5kT!R2Kcm@bU)UFti{>XK>H+h; zB?Q4qd}p22)k1T&Fs6qFk=2mAa}*C=9*KcP>#eg0sENgH%4Wn8#q|R8EYtQoM3TKy zy!h-QLe;n4&CpJ!XP7;HzCW0s7zUy};N2gQQ{Fho&EQ?$rre}P6# zrmM5L+Kv~Mf-FKX($zU*$w)$=0qa|z_(*%`)Egd z2yl+3JFeTaB7Yal6G+@>+UXGohSi1~V{C9N2(iDfpvdZgQ=W6^g-}IS?3(!A&Y1)d zw$+2*(8KLP8wMmZkdNeH^!~~qE}qs`G<`$4b?U+^LhTx!wNe2=%ENZYO6=V&Mm!_! zqQ;v;a-~u6GTXFA!P)L~Qx%5!SeKMbje^=I_HX!e$f7UGiA6M6@3_GLXGkB`GRV7A zzat!i&4@T&P)!`eL_iv&eh9)DWJr>(PB@!eWjj5{W%W^R&t-M5oPzS{HKJEos2>Ru!tb{{CP^veXD;L$qTf@3Ao! zoa{y*F)vpwSkpgRx=_tqi9Xg|%sX#GXCgaG`0|F*j~nOM95dJHx)rU_5DNT)R3Mwq zfI_DECkO?rovjSI`TJO$OWRl+8uYfM>2Su>XF$c)y%uKT3SN;(flu_%jSIt)Xt=|H zd4bOa_hC|t33C&*{(&R5Y(%>`qJfi~tST z6`JLXBG}CVU$IS#slxc)N*4k^u3jiuNksBcCAEjG-|-(@p#`3;Hc+GQk_As#(gUiz zvuM{csZeIUiNH7D2vP}{DztdLv*tS{p@4?cCS+h_NXP4EarVbSK_?~*AcvR1aA)Pv za5zI@)6pWO2Ob<)E0H$ABggY|d1)lmcm@0KlS`+<8n-D06olJD6@9XHs ziXSUy>1Yv2N6sKo{rs?jbZLSsToQ0mAR4j7#DJ>7P?B_bKKHI) zdJR5NkMA-v>3oij_6X{|I}8@^FhRAi>ag=tBrW;P-Chg>>8r5B3)*y7CE^yuxbm#R zhhNOg%1j|VZZ_VcKkhaftO094`?`6gN4X~MnMZ^rbTF>vgDzgzGd}^l#JmNqOTh?8;cq!tMdn5XS2V~jr zdMY5pr7Bux?lM0}U0g~ST6+%&Pe{gh{3IizMNFd2XCy{|dvJhGQ@4LFu8I0=3%ue? zeUSqen=l@-h8=Wswz;A0vL%oRXnxXM)U0|mB}Kj039VS+J#k!#qtv+-ggv0jF-*5& z^%YJlvL+3u1r}%*^a4A674h-(AU;LbH5}TvQT%**-oPlN;n36$1M@JZB39|9H`?Bg zwwS$fNf+?YBU_2}_b39N*-j(^0&RsDNLL-PBliWd{1m-aN9gDVafQ84S>^*CN2FQz zH`B$I-0sM9k&v%!9Vv|RQwIrhUhhHLlj@1;bnC=+U_<9u!@z3MGO{cxx%yT(1y2s4 zh3SQbV75+~6RUM`V|`VwTyK6QyNLCJ1}+lcmSP;j65T>8`_WTCeZc>mfioU2nn?0; zRS{87w^N4!jE(=CY-DbnZbw>?1DBpwoX>PoCS)4C(_J5xib78=4cAkbc@m{qQA*^ZmTo^Hn@KtxCbSx$Ndp0)#yj>6PS>|qN| zmoLSmrli^MP+RdsQaYlh*SVC-ND{Putfv63^SiS9MB%vd``Z}MJ|R+d^X=cMyB3+$TI%OAq-Tu6^K{BKCU{8eHY7*jhFXBQ_^L)-tJ?2W8oIEk2u{=4(?GKxFd zyE^>bg_RjaOx-MvO_juj7*#wSO#e?0qcWq4lcAlngQ1hDov|lBKcm8bPcB4kKSL-n z%9@&38VcEaXfyp(IoVhk*qE4@SlEbuak1+%Dw#UlyE+-0IumjI5Agqts$ght$|!7a z=kha%GZFKDcuM^I|CcakmY@3nQYPx*BChOW=wkXqogvdtVQfgm%qT2K#LWLc*Z;#| z{r_>qENxs&ofySze$YfrjqOcL8D&iE%v~&qSlL*ax%m12R}LOI%e~U|29jtY0@*H`nghoIY;^zQXmthrZiB-sHd6z;7;^=(g&=-&OrSIM4rFK%7wj?=#z39qX4{GYn~ZBJe=`o9SKzdqN# zbD+OPidXB4KbyYyo}XIl9@X_3VXQqR3h_RzX?ccUzQBJ+eEEqObM>t{eN|no_0)TZO%QoNswX#|RLZr++ZW54EZ!D{Iy7i{IRs$i(Zrh~Jq~AY#Onkq&TCb+9 z0TKW$tH{!lw7xE`Y^`-vHNtjMw@D(Kc<;e^l_~( zeRcQFX$7)=M0MMh(2KpIYAs@Ik_mku!eT&i>pdu=!?#Fx4D~sFQ)&j@*jY#n!gxxB z*@VNhQIQYSyMk*3aT}%dSWT}fZ`h=0GZ?Yu=~v4)J?<~Bp-5XEXJCeb8Twe|qi;!J zn`)@h{rYR2TA@x)3Dg66arO~277(BtmM&`jnJw~@{AKY*IpE9QHX6#pBwW3t` z=a;B~QOeo-*Gd+GeRP)JUVHsajf-|kGwez+*&oki1O5*#L;i}Gma|2t$Ffm~WqFS& zA`Eo2t@*lW7!(hHTm7fB83Q5XQ}sq0%2LdS-4?blA)$kd2ei|*CD?{_vmY*?y)Kqz zHbq1=isCvWp6VkO8Jv9nUJ}K4C|bvmHH8+GhI_KX3H2}%cCZmhgbO3U!sYx03_qVc zdLQ_}yu>?Rl=%+A^gQ-Z6bOq^14@_sv}mh8hzpJdY&v2EWTdDJwHRFIdFnztifVoo z`or(9LWW_g)57LTal%G7vWHZO&6w)wcA(O0{s9o=S;%97(b z%AkL4>XgrK^wHRW$2QOtEZ2vb7<5n0$wo{6Dv1@`Xx3SaF3KV(?9@Y-nf58rkY~@c zTry|DJaMjQ7;%j0DOpGEEccfGMG?W5WlINY+LXCMN5Y9I?HLGS(2_|IRTr{gVZM+x z_YwyI;D-JVMAMdUTnR$YiXAN2Kq;8P5M8$`iFWJ0po!*K5}0n;`gM_CtMQG5YPE)^ z|AtYvy_`t}v22Y31&Dd?z^hUj6_YFM%J;@f1(Mww#|*x@qc;I#ApxuwpRjyh+(omj z)X9~DjJE4GFYf=fZTbbjKz0UQ;7274FEh=+)S!^fVORn5gl3h5(T&$#!x<=}QoM?$5mjHZEXI9jH zEWNHzUr4L(`f3?T*UYWz{o+n1xLm@o<{djl89Yz)pC#2(uZ%+30 zlG_)?C{~i=Q>esla`V$gCMpJk!ns!7{#je5r0SR$rU?m#BaL`2Qm(4n`C?555ud*np~kKM01#rqzR)cXN#K16 zu5+Vqz~@`xjk@Dp6;dOKeJL?l7U_+th3hLfUW5`H_<2hGsK{%1GCx7_7@W71oulU9fuZKrLDmIK8`+LJC;9jfh&OBgqLo zwi#k0pWVwlEsBeDJ_)#H50)o$L{>>F1X%;<+&f@LjYohbD&?cjTxu8Ltw7vUGFKlv zLA6;>yxI=2#Hb?WN?FVY6_~$BG|{VKMgv1PT_!K7C5?uO1FxE}3Ay42uKX>=LIT^= z%4>NjPO6niX#OiHP1RnAu7YDN3kb>p=gn_vGj0FFPgyI=BDt8M%Uc(ooQ5WJu?a*T z@~b4t#cyMkj+n+75RuaiF|~z+`BqR2i$25tzvcCUzBLVt7LSe)*7NA zbD?QEIZK+zs9^z?bIsOnFs|>tka4U42@`A%GsRp_TS6WaDB|{14Yn8YQf^itVq%pn)o$ zd%%t!xqi|o81S-VX)a3u3;TU>c~-l*yLyDp>UXgxPwci2v>=&ho)5I4?b34yW8;bufy%$W zuvYGqeO@=Rt}EDFr2Q1X%zPo_$YQOnfCL4D*m2wHRm09_K5PY_%B+BA1nWV=>ThG6rBYg|8Y?w`#q)ncuyix--`egX* zz}JRA2~4DF(b&lq*R&midaCtnIK{RTB7}*zwtNdnWHP?UhJ?nInWI(dIHqvPyd{Aivea)$8ERGf(v=az&cMY81EEET$loclSQS`UDU;_NVuTjdj<+WKtGc(Sl56X?=cWlz5 z`kP3E58Aq^BV*Wt1jz#~$F)9Og6-IcBsJQRe~)-7+)poD2zee{w>QO*9xIyr#Qswx zP2$Cf3*R^T-i#P$$4)QEsUz7@Dj0}5ozB!gHnw>ISJTzRsiIw^AK$&|&fA?L^Ne=~ ztQAZk)Csj@$b*SAm9h;|Bzp8)HstMw%w(;XyL8v#H|dUepAbZSSGU-KHnCAJF@7#} z!NY4zicZ@?8nve5sTI6a6|vIJTl{ESSQ#K^unXvDLY9LyPp%9;y;ETBZD>D{AMB|= z8ttQha$N|4ciUiU8|`~7q{`}8>vh~^|8b#Dm}Rke6*~!6LM#pR)LfQKU={DVr>rwH zY`F=R-97zKx!f1B+Ouu~XadK+CO4fY(SP@uw@R&icu!*KMEo7>2$_p8X@_f6N?ZZ4 z4Rgx%95!hLM5hQ+$BYq~1{|xGz$wVrv49%ZWR_wbQ&@&|P7o+w1+7y7FQb|gFl^dH zhW+6WsAR)~C5BhWIlM^dXN9sycBea6Qt>0Z7cLZUveBpZ`NY2{mWX|&G}Jip5fU>} z*fQu}n$u6$F<#N&xek|Z;^FOWtjXF1zGNmkVYyve+tYpWGSOCqyt|8-qC}!Q% z@U{=O_>6Q4fC5ABz%SOY8}LPft!)Fl2q~`W)8@Nn7g4HydJCg6na8W=dg&8tP<;hx z*o;%sv4ymy%Lx|1SR*zTt06$0vVF5~c?NGp+ZB9f>=QipO_!|ukT@+jQohq5Z{nSo z{~84WA(mg<|F10`uf{6QZXL_FGt{h5`(QGmB# zX}K=M``+^Ep*B-~{IdFWzY?XK65q_F5pydR#Uw%j^WA9I{PaM`#W&3r_!_mVKwHgy zBk{hLAT5jl&O>52{;GupdC>lG%Ov{@54q$_QiSCdqmDY>8R0rv)Rj)u|I;qK)8uxf zZ}~FdHk&6iUyAQx(ZrkD>R;``jqu;oPHs;czVnvXz9x|VVvnM(4GO*Znd+0u&4)id z*C=3jOat?-qI2wAz85M?cQ!ubPV`a~*aPm87W)iH~Nhu#U4i+0E6f;@y@+5ob&Lu`GbEc4{8|RI*2e>AoPb8yjHd7bI zV{*^fx~)uz7FT`UVKnmj9V~y|$W7r){HPuz((DXL>f51<`R7%q7LiQb?S)Whfw1$%$K7%^@|I; zy%;X^e0!X#V4H3DId7ABG6`Y$l17@{D~0&cbR*z0+3%<>s=DhyGL&*`012-B$)9Gb zzq!Lw!Pb8ZE3(;E=oz9wKwSoxMnR@awwH_)HZn-W338_`$f8?QWFt90>t(i!JQK!; zbXjy&5yg#jL{p~T<5n5z+Rn;#bs)c0uBY&V?|V)vf8aMY;?zYx5^md3!lETEHd9(L;PK z)Fd#IswFZ(sI>7v>tqVS(N9*^^21@R-F@O!P1+}6924zls;X7bh(KGi`;wD$Ki3SK zX~QL>1csm}#z>K!DUiG>n<6oTs==QpsOB#28)_#eBXBrR{1j8bl=yEPn&EjXGBnLo zdMr-sqn#xp=J}}}8c-C}$P!e@BSMixxhYUzg{yt2gf*-`6t1FrKBsV zu0PorXFirK;1C~6M*n4~XG#3J*5&-|oi_W%0O1dUHByXbj;(}%9^^{}M}iRXwpb_> zPX~UM4#>)p_y|-GNfG)L=>Ev zFKHL@VfhMkc)hF(;jz?+^qP|-h(M7UMVc_&#kj0s0c#j!RD;%xsyDk??p$#o)ZGTm zNW0qZ#4tIys)sP&JplVx;xuAt-Z;tGLM=QxcYl}~3c&rAg4NxmYHNaAu6U1Lb9&)s zcXH;&%^*(Ez5W;0W4F0CF~^PjMRD*)5-#?`Fg(22wN1tqjU?6$jh~?KIhF~UL~lI^ znFv=M2#Qg-fo9YY6mvj~E<(@Z z?voSY^3#f(7R6vSqO-vlOoT91wCJx%TRMd6!qx0Bf~r<{>+Ks(FAxERl}l z8ASg_{MgI!$p{g#eqaoQ#_ht*(>q_8wIP3G3gpgqFa5**E0$xFqi zQ(=BjTRub1Ezlb)XibGvo@<80Daafy7>8bgCC0rDf`3~a%hI)#tn$u;t)4PM3nSnr?g4n7bis1oQPDp~39GKk}PcqJEGfQI@DM%Ss=D{ftu%bl@WPJz< z%!qlFQ4Gcwr0G@$e?VD3kKM{`=|iAPD(1+{)D&b8-<313h}Sh7f<4y!d$*$wY=;+* z_Hu?1#H<*7DiCzwD1{;B6||vva*wvz81w@Ys<1*2&(|XC1^u0jdV%-p@z-c`N2YXK z`~*?hVDS?~Q3o8;O-Qj5n8^l<9^(mV{5QK5i?CafEV~t1qd6&E6tZ(Zi7o-pZ3O4X znT<`hLY~nTzV+0uDSX0Rlmn#}y+sa?r)k5~{FpA~<)Pe|7y_a>q7DiN3W2b3{ zx#HoGT)kN!hyb8PU&yWh%i*gb2cohd^bD0pcpAlAz6tL|&&sYje;dg2xtC=)_X=Xu z0$MxhMq{5oQ6!5P3H?;;;bG(38qzhHi-oUJvG9{GbhlRZrq%(_ zeO)XxBOJRFS+fH*WC}8(TclH$B6D^rIT(26Tz4SsQY;l+inOB{Y7P`+?Id6}ro8Ev z|HzU`%}XAoYNl zWk>HMH+Er4M2~?8T?Up4mw~kDGu*V6WSsIDdbx#-1sTJ}g47{nLS$;v=xs=<2`j|> zgH?5dVuM|X?kkT7bwD%^7NdGdn#N1^9A~=SQ61JR=K+V+s~}^TRuBu*GC~~??T*Ew z8Xz521^2MKn}!~$^<|2?*M8sGjhnr@)V)Hst#nzFt0+r<^2uYw$d=4Hv|h|dZBm|QSxo8Oh!v2 zSIBH!i0)6H#@Y78*X^O2^X5i9l4c)5gF?oU822v1x>G-pebM(#@<_P*{g^QlQbLY| zL|_yw6t+du9QMFIOp-#+)KuRA`}^=*gr^^ zV-uNE7nRE#6l%A9ZW%!kATfU)29T?PBb+3v*v9=?o7}y6CQslA)noAmAm{m`eAOZT zbOC*cVhCcRWK8pfI4ZFiM=nt2XnQfg#ZC8<%UD#(kuYS4oRD(gwL@OCY>Gq6Cc-%$ zg<^^)Wll9Wg(V56rubvEyY6B{kz~^zW6VP`r@Orz3XY9?h@Ov<$9{J>fFvc$DF{=t zZI%+GcwA+1E7-Wx=kaX=mo_;IJ9A0I41vmX#f})aoYRjtrwHij_fSSu`Sc^tVYozS z1S|zZc?s=f%b6^&4_%yo+TXwbOd0ce+@^d2 z&y%2;h==#j)82sN;D4UpQV#6PLci3<4}q|Mz!WUVF=Uwo!O$6{Xx%|Ox&YWmFM))C z95-M7$+5a+-0uGUE0E_COO(Ox3PFy&47#YdHI*PkGW{jU;FLb%?MGz1=Lv5=eZ%f4 z$gt~cU$$Ge`l7Sj8Oc;?_kAzu(}LIr3iRnfFbKY)SXAA@8_`x#Y{J&}ve-?g3|R@H zBV$yo6b^A-3%Nhy%+aWqKzdhTR6}wigF_Su2AL?ubzsnj?FN4sA!UrpqaNW3?8|94 z%tSHCGY#EB5G?7?bxw|zI8aO|tPI7X%|JTZ73?{n-u`N++YoR*NDwTe+`#w^?Q+$}GtyGxPUojmkHo2LPg~jS^0#4goFIC{KOy~g zcHkwD?MA@TCL`V{SPb)XkfsMO5ZqXUM8+d;;|!rWcO}I4d$1IH8wq2cBy$3tXFDFp z8TK`T;n1L69g+35-(rS>^kGJP2~>vzUxHY)J~RjxtAP>$tGBq^Sbw~yYnFvAqH52kKUXC3LfHaTK&^5OATb(pdHWq@w6MiOdLXx-o z^fGPU0aaJ*;`4K@1E4oGd7N05Ken>}#})M{6FC+>1-@(OL( zL>mY^ew3QIz{%B|?tvoVspI%T21w}34#6hvY<_Nf;a$11q3j5RcSWT_5ZJUnbCNc! z4aZrNarpuL0PIX`Ha7RT<)JDb^EV{w=%qqjr^_mmHL6JISO({2gDer)XOgB&?7R2j zAZw!f9S*EG&-Dl5{(jO04zq?Xh=kB678L{O&<5C38|~iU7orzMC&EBN8{|Hvjs6w9 z{Tkk74PhS9*gR=xy+x=vl2o(V9$V^9kaN%W_X_6g^W7Q372~K~MIpN(j$>dIpP)Gp zJN1^EbD;B6sVQl!?Xo!5S@RcYO9+EO^hV^TLXS?O?iTVr)Svv0L%4oE_8FCHC8;+C z*qa;|6$hr?1HKc%lZ-(A8&IiTGcbvYBhS1GN!#lsh0PvkC-7JF(pP&~m!cRjMFWD6 zh5--H(^cJB4;uJAiqYytqy7UD^1{8m^w`d3ajDwm(UGozzX;mwZkCKJdJx$QUA8Ys zS&fYOQnkwV<8~q4eHB4ugJ3&1cla~n{W*&5I#L=lBfb0Hi(r4~ESyC=u=hfs>+3+G zrcp|U-lm8hUI-)v0v#Zal-l$nIWQNk0tp>%_N-(Q5D30`#7c%3mJv=4>>jWeKt{4$ zOS_dXH1QH>t2Ij_M}ybxhDh5c1@@x;Xpnvhv>s>XPh_TA^1$S_C9~Y^-RGph-8R=` zr0PSo$t-v65Xma{Reif;m6A|vTeixCzzA53Rtd^9N;0Q*C}0?+oZKpdRkET{%-+RL z?L{OB1VS@rx$pXxaxzOIp~FL;z|F|1yJWLg&#!)1Ti8-c5ZSsaYPBU=Mr@rPrJ(3n zkls8y66`l$kw99S1R?w%C{ICD%*YNv4{)b=UU;WvT zT;<9+>qoL6y%=vx^pV9ngnvTQk%PxNV%ncu(u?Z5kka9eSGn;DCAMYSqO)kn4S zH>@|JzM(1PV?Ho5H zz9iyF(C;AfTM=0krT(10!DxFU^eOpvz4O2|BfWd^K3H_@{QWC^Vb354VC|1jZd5)d z+Nzara`dn7D%>^I%EWphM_GN`#W(gaogn(4GrxHw^eOqSNoto4cdUMgWJVSp_kKoX za1*3hcIF+rlm3{*n@@ZZLpRImGWEC%n#a|WK57HS+8S=5gUFTPsXF0fQ z|GyA9+W31wEYK{-UiI~GU(Rz%*iR2n-zk4kV2Pl}%)V`q*MYhh$oqzfyz~ZMZ^(`A z2M7P9#|p;=e;dV{UlBPV#gGl|xdAUhY|RtjjFdkp@a`)CdE(v#2GV`*{0Xu73;z4- z9lDeL;QXa6S#*503CvtZ+wN!cRnvu@J%~Lbk}3BY%qF!(V^#K1qWm#=?b0LZJasQV zsj1^3h&!}__`;!RCnE5AL+*4xCa&G3-7d#hQeWS{0$D6zpn$BtGayrdlqr@1&ybM$ z{p(KnWAbj+o8(RM)6^S?1&#!f6xfJpZ<4)iZ8wPn+Rox1WzrusV9DLcKnX8rv9ZXJ z-{F+W0_lKpZdM3R&Sozwq;D=n=aqp@=Ji2GO;@_dsrR;hbESI$79f*Pj#U9$o4f%^ zMb}n(M*|AIBbn33D&XtzYj$nAM@kSG1_bf`)pQt0K()w=>>cbIA_pTRh+N~Oll?x# z8=Te0{3Ls1Rl1X$LK9EP4yp7J6M5_2(ob5;;H_5 z>_1qm^%O?-B+_@P!-fz6>(D)SDdK57;OGcGh&wH#<ACb)ZV_w5yu?QcH#A~p}&je}R z2BSkmq9b5VrH@~S#SZsFM?d>Q1GM-iK7mhp=lroII`!6W8R}&N%D0AF#Bvl_ERJcn zR;O8HTP$cPouE`Y@{7%K?u^j2=S(QYiWPJBL~e{rOU5^OK3GkJYkk}{&MAC*dLS+0 zPI3TwRm2+dC6J_RQ#`02^!|J~kcyf2C!`U6M~LGl&j+1a*}EcL#ga)G@m0AXD&L|z zdJK`%u!7hiXCWAM3F%sHo5#uNTBc&=T1G5q(fxByC`2dRpu6Zt4R@Yx*ho) zG4U8c_hxHj4s+_R>Eif;5b@TNmm{FTb6(dt5T_qGR<qDIq=5agAkLo=CUSBuKGui2`=O`0e&&V9_{9Dpfcd~D0@d<=9$WRuc&>x>ayT)9Si}5OPn*$P#ebe(yF`?TVh)au$g?zED&!%x0eDEn+wMHE zT)H8T$>_{}A~-SvkBL|kxa&b&qmq$_WDfU74^@Xb;Td^Irdo<6NweAr9?8fz66 z!j{}G_B5#g`(|0t#a6g)$V0l8Ik7@U*f_0Uo}0J!*hr74VfZr0rqbV zgUL;UX`jIx@`y0v*PD*tKXuc;+;PoQ-M%~pGwSB|56Bci>;NLA1uC)y}y%JRs9egJz`EmDcgN= z`^z19=wO(88{>yt*M&6SmgHudlJAv!L(EBvr1+vbq-$jzAobm-HzFuA(DA3`I-)H(}Q zf3Z6Ph7nU4c@JAm0L^%>-gMy(Mo;8#4~W6(Okpc*1xp=zy=IqjCR!Qjz|dM54LNr1 zDI1}gKA!4;p<+*=@v{zQ>;ZSyYoLRw#&poJiy*W535k9_d^94DHj*yBBajZN51Yx- zy%Oi@udoIJANv)>svl=&o--9YZTnPo2RJQh)G-yNG4KXF>@%fQ1|+_JF^myzH+M!A z58l7q|0{9_jCEXyCE23+ing}otoq*aV0tt?YwV#&-RFLNWG`>ZPN%YF0~d)9mUY`r zp&o9^zC({1xp)}jkU_wLkrq5)#Ad(Rt*0o{UcNkBv$J6^F~-&rv$uo0i!^ye8nlU+ z;^bA4z5E)tfnaAN>@ED?FeU6{UiQ)4!WoZ+z%~Ne(}C}3YfenLeOdHU&|&06F3aN> zLDwxn_MNXx1ig=?NF~@XnXL;E9D96L^tE7!E1u?!sWEIxc1PqePxUQ*bR~|4>lQc_ z9nH^t#wY`$WbZqg-h!JN9qEK2j=f-K=Kwq!I_=V0-G;WGzW+|E&b?}y;@Wc#5s9e% zU=&+|{^cMY>qHub-4AaZxvI{0VED#U#67tKCk+pb?@HQswIt^brp8(puc#qNDHZ2{QJkowj={n)c$nOK^4(kiww zCzV0bjls{@>cBk{2tms~#kPu<=tGQRG!9FKc^~T7qqspG zBjzi%301%JAdr>Y@O{G+H}4>iMjeKa6^h3}!F(*NUO^Q;SnbO=q57f86MI%GxKbeLimYF_^39b92i{(H} zdRPv|q=zZ?f@Jbd(?QIqDGlZusef{^8V@UA1YF&KxYFRD)cuICAU2=YuazU<;?@zf zh=%n^3VGwrNm`4dMR?dFXN5E(06u zzhf*(GXy+dw)2Jb-jl(+INC;^Lt;NE+SQRJRaZyqyflXOiyI9@v^=Z2%F4npy$CYZXTG%k6gxJkRN1+p{wJ4yEJim- zHaz(9kmD^rqW2`*5{8whY-2sz?Gx)y5b>2iay_Tv9_)jcZ&KvWaFj{{!4-&)0*M*Z z`&imyj*U84eA37$H8-zAi5yAgy4s$n%vb)%SA0M0?Ld>o-VRp>))3nAH$O4WqL^2G zqK!|A9ScvxHcT^p6bKIO#H1cGKQ?K?Q+tDSNY1+@bg#rejr7PAwysQh0NHn6Xg>@X z-N^VEbRdt&tg9{VuaRB1JbnLak|()(Cy*a1DH}xID*dPXL$E`#9`-Ws_pgi`b~%B} zi1q4cnw9s25Ptvh>(%r*xg&jIiBD39pTgpggjkPH6q=uI0~7oGM-l^HKuVGrEAq#$ z|NKMc{_XE;tk$j`N;C`+xeVnRisZKpN%C7#Nwr?1^HTWx54rlGX@%pHsu~Fr{@a=S zkr2z`1qr!4AC5){maf(fiF#K0Gjk|f58sK(wZ_e^7dK8ip#--`O3r0qT! zeX?QJ9ml+h9A=47iQMngTZrMmbIb4(S3F7Jw=qdgBR4Ev>zG7h(_M7I#eS!z;TMpT zB*v-?O~dMaplL80)YhFS5ohzXX`Zo(rtuy=%jVB5K%R{ieMZ+zh;*#O9xGzMJC6GV zP<5@CKiTsHkbipDB!YfL3f}R^gk6(j;0s7e5@SWQ{ogA0frg36DW*(Eyt96^Vb*I( z@DYGW(Thr<68YUz%iwovnfSyMA0+VGm_*a8*Z9BZrV(IGOzdYg4FBDQ^z!)q3KswQ zhq`^DU5XJ~TZ7|u+9(3#Bkj@_+Z?p+7DBw5KOvheH{{0bi|g)D$HhE3{1%UCvBwAE zlU0p6D8z5!@kbzKV<_^G%%2l zOfq+(!Dg+yuQEPSo7+O_Vk1k)VQKY=+T4gHHlM7`o#@4;*M9+;3&m>{%V=}?|nNr~2 zT}UsF-^2R(y>6fAnzz+*T0bAh=laZjEdEZxp1H{8vwvXX>HVabJYTFQYhASX$TRT-Ah|B^T@ zEr7^J<8+Wc8CoC`{)9#l9i?SP02ONGCv^y*rk@@_C4%TDDR_94Oc+3=82AEGlEhe% zp7(g z>H@Kxej?##KFDSDd|=3dFCZsLj8(}~O;qobQ;kn7-@p3iPQfRq8syN!dco$SNwjr8 zJnaz!O&}Tx-YpNZd2kg269<4*o)Xq*IX>Au%i#p#r*+Lhy!Qr$=AmOS!2m!h@Bw5b zg|Qma`tMcyWd9Ejz>#(N7kB_`JCHyDvJcC^e|p8nGd5*&TmA>N`MYea7Js&_}{-7-VU@_59D{`cOcgs<6FW}ipU$G-#RJXi9gwv^N*V;NpweM2)}DA zRqT#DyMKS$B8pynwyyD@2#`%T+9s{jYTgLpUX&yd{-RRVZTYi}u5xXdieP8C{m_;G zwtvg2{)~v-vG$Gg9RPj@uuye1oDx#-7!v4kSyB=>;ekk-v7N~F29rH=$8_w|o z=>B+DlU-m*|*Qp@5O;Da++YIufhvLQ@ zg#sGV_ZuK5I--!$kEwkZd#Ec7NUSWcuOdSXF0Q{yD6k;`JT3jwj ztu=QMN9I~{+i?*s3EOiCzX!yemMFFH{R+0H4L`X(J2vXGJu_nMiBhROK|9(r_@hNP zoQy(~>Sdfmi%QMb9xduMO)WYg+M+BIEegV+=HMO3+K-_{QPD?D0W$qQ=*bNl=P_#- zO;7HQWcK#hzEH@{US={Y&it^ND>kZM3M_S31Sb-Fc->Y^ebVN~c|&9tic(=rg zUco+)vyJ0SN(_ds*& z;DyjY77mQ4#NuaFVuBQT&Mo%}moU^b0NUADDCRMe&h@&F%VoG_=%3b9d??{1NBL}k zGGzA-IAhLohP&)}oRd?zW6xH1>G}AnLz?<(#oN=6=Ie$jv*`CuE4O~zu^oOhzRdoR z@T$iJlfB6i^J$0dD%6irK0~tlR``^#H@UEVKSq07-8&6?GYONuWx(uhrArHYBk8DD zu#cMdV|HHcMQ>TiH8o_?E*6@tBklAXN~WY)^cZ21rr~XLej_1_=k9~+ukEEX!vqRHWXtB#+DUBgnzJ;D1>}JsbZnhhFNp=~g z!V3vEQ--DiP%B}f)C?dUT`SlFfrvA6n1qyBF9k>(5eDOT2fa>Xl` z?f9nPLIr*UWU8f#9#>AR*3+$GO5#OF?JV)~7sm06cR6mtJog__X4mujnF=GMF!Tt$ zqBF=o0KZt?I}F3l>{A1x9gn5hIa7d^wF>ru?De=6J9`~S$Aiw~f9UlV(WeK%s=*hF zQ&mrYS>&dn$+of3ZdNOTy>!STn96VLJ;+4HfSC?_{Rzhph+HZ+E5BW5m-?^M&Cx_$uLiUntR@LI3&y>VPgCLnq@vz8@`pT)ZOj2JN@1T1oO*!<^i=;m%oV4`=a`^LU zQ>-@a0?Cv1(=?N0_Itd=k>3O22`KcJi#bvlaAQQCF>>h=Mo%07bz@j4wZxV>4C)2; zflP%!)XTdFugBo`m8Or$PbzFx&nxTw@Q+MR|fMD_BroL3aOy|XU`+~4AueR0ZLLx-3rhOrP`8L7{L@ao)978d8 zBob+NHpoBMgKM|3YI8A~W!2PniqR}ZZ$_F_>xy?osKny-9^VxAeP(*VA_xBR&{X8A z^y!G!vgn;LSw2Iix=oRXIV{1RkSSXhX^LDD-*fZ>gny@Mz9YNe-8IEZUwq1(Hji6R zQQ7ng!Q2Xlgd;16OWQ?BOwVlPEWWLWDlEQnhSgkdD>XrICpUR7U)v~*UDP<13Xe@GA7?m> z&Rt#$7<(I$nSLS%5QaD$kR+iTy{vU$wa>VI=4zG0o<#rVB&EpO2g1@x2cSo!4y8J$ z7Etb~pNJPHNQ=-^`prmjE`)k+jCTM*u^B^=o6?p(sI;avi`AhrP=`8TW9BO=Q5oO! z?tfHNK$|9(_@k;JBM_^H6S89MmNrm?K~m%2QbxjLY&qI*6=^9< zZG>Nuw|NOmLcG+G9hF>T^FmBNi66$F3G{m_2Xs(bn7VTYjQcSQu&3RLI?xmE;TL ztfP5JI=T<$6&rkDUJLV#Fs~)&8O^+wTfQu)&Loa0f{w07sHRZtX3$Ulg1+ce41hFFln=cq%0?Dng0@;0+fgHZYDTNj=e_`^rM(-Frbs zx@p48>>h>>veYPf2Lk!_VKdsF>+i4-YSy2<7n9UgZ5f#Xy>ln)&t5bT$aeh*B751g z{(!LFEVbiK)}S3#2m7cfBn$+#n5z2zwM4^QHJ8VRYi_D1ROI!E!X7MCJjTN=eKxy# zBD%DA#oIn~>C=~bQ70m_Bo?EQl615L*`u{6Ac4yVKJqxw_36K3=+g4Wyf+`X0|^OH zjGdaKqf?Lid8pGv-zo(wQ7Vx0>*?z*T@LOab)W(y6u9g4u2~nBNF^tgMxKyM3OsCg zcPbR(nfX7EZ(A&<9P({TvDH0Q{E&>ictZmW0JRiEcASL3!bz@Ej#>uqLBoG~;;Zj= z>u);0w%fhC>(SkPjuUNfh&*~`sVf#EbSM3AGO!7x%I(pmruh{}%z}d05*IUNmHXK3 zl_E^1I3yMmtegO|luZ=d+ye^In-6fW;4h*0mS)(D*i0D3Fk1#`OtG2010f*_p&CIL zRejUY)Eo-|V~$Og@aZTcHXUVc_?((lmJr)~7{$6@zaFGfch0g|9Xey`0X+@cgwRc2 zZ5t80^!mk8&2Hiky3{I}2Lb`T(8Mg8HE45K)|bt5BZAYA)S%6n0`)yjs#$?RSaB9= zo?LtdY1SOvqn?m35Y%F(<~qruU1E}rmU=XlH$UgSdNfTClZ74ota`h7Mpmy=*#EhD zz1c~85~~M-)R^c(U4eAppZ|(t-5*~K(ll=JXUC?DXk*UTso7cCC}tCXv^s$#5a{&b z48cF0BDXCDBby=(2`!$wn?DN(WMUAJ`30waja48Zvn{I+VHuGCRBFOaE^0e#YC=K8 zA&({$L~hKFCJclci(+WPAdMyr{%DJkkxh87+SJ^c4rTi!DAlmnB;%O&JF@!{_7%kd z-AO-cABouSXKtTB&>E7wkPv1dl84YcwWEDB=W*ork<^LAYNLGwsbx*=6A0TUilKdi zG}WxncNtj$YRyCVOLAZPFbirDZ9Rrc zR1Ku(imn=MAG84|REA=qR*(+WgMDfr2pNs&^#}k}MXAsREb);FEh!r&6$s{(DKhZt z1i|1B`S18W9^)S_J_hPkrhrA`bXfnb;s#PTy7<^O-X^Ah|9B7z5F8Z zQo{ZwLgFr)Cx?L;wMc_pKof(U@UpEX==#CSM~9$`0VFZUFq2E!P?T$7!&R!Njc9{1 z0ENc9R4CO6C%rrK+&C^`YC)?-0=%LuMP;nx=C4PCQ`i#`e{N!q%!)_RF~(*2;v16> zW86^lk~>GBoT16B-rw6uobSj- zIls5_c)8!dtb+gWqPpi7vPd9N7XjPi$0?DSu=hlD1d$h(Wf8J1zPmOfr=7cvV#Qx? zSJJC#-5z{HiU@Bc{$@@=5PLmL(;%{t1R{W5GL;JLnmEpcZ4Xi~e<4^LB4z~DK(VN* zWlErqM+s+YLmyUDpODD;benx9V&|wSuOq4A{4ooKfmDBz{b3{c17?zv_zrooe8C6x z`GFpmL3ofvxj+O^{9VA%O0mmrUZIuZGru64-W6ATnA2+^wsSzxjwtoQZ4xAn>n}2Q zAP{h>1r|SXL}Wi7A9tg!7JWb@=?Ys)@x_j8(M;dEhU}iZ*1r4Q2&B5x-W|XmRCcW$ z33m1^d2_L^xo_<>CFr=d;apP%qOp?w^P>j}OgA(!c6JLx0c7VXuBB8ddYCS!rwPjn*32pZQ`}lQ%Wl z)ubXBfqkGDO$d~uM!}tcZ8Bq-s!7~Tw)z>?SMY9#QBb~&SS3&_Dhkqf?aVdG;(IhW zeE4Icb5sgP4;Q8Q@jL?9sT%SxU(f@rdx4XJLDHqmnh69Q5~X601nH<#aF1F(K0he6 z+`JO9an?p6MYd}>IXOsf*rrNZ^dBZkVigWtteDwx$C@ zVuS6o{9^U@c&BtwbfZAlLGkF!j1J71Pr?;{2%f^d0r3B4ddXEt=DO5N{5|w3X|FQ1 z9XIV#$241aT<=9|?zrBI(>{?GeS=iY2lAk7Lp_HM9K1w@ioW zFKL0NiN874#z}6#Su^W)_{KcyUXXFd;5RQ^?kA8f!wKwu6eCLQ$U>5`F?TxJSud#V z@Y+vFIwr(d5G;nyO3KkJ!QBDM=S8BLpo(hFXs`Q8cJ^jR(#X;_?}}u-eXWDzc>&>P zIxsz1$zvo37Tu<}h7<{_*yzW(D9z`ukfrHs6iF88zqv@I^98E)E8GX;T*r=u-!uJc zW#@GoD_3FuMM*tEznHkgi!M{c9bTMv1SxrDx&RLtJ5Wz-4`ZQva=LKBf}jVhD|P`Z zd|I?og^e7r`1dQ7IoSt|Y(t)uyqj;E z4*43>tE>c|sAv>}HiC3$3|WLx%^%6f@yR9TX#znG>>c?;hNzZ0Wcepk zGbOSz;yo#fAtk1yhkTqMa?UbRYx>gjp0aN*LFC_v=xf(~8wz!%{y?~UR+0HQKAKGt zGlFV#CL>W*yQ+UGAICzP1N#oLa^r5zoIB5L5;;5>^omjkZlfaI1J@)JdpHA`KSSi) zY#^b*RLq;};=i#a3^|5PWPd&&OahOEn871mrtpX`i$>irhezEohbKqmj-0SNeYa}{ zPGtE!gLm5HJdwdWyYDp&-auwDc+@#FctB<{cvKcscvKB@c=%>2iHCm1Bp&)pWVc9{ z889M|$73-j^3cyB+lGD?89DT`l*mKVL?RFUEEQ`=8iKN0MattrKat2IvZo$g#!McJ zX(p3LZ7`KbPBXbY7Gg4w$V@hm(jc9e=swOHu?!P>3Gtj7mA9t8>4`Wb^t|Im_U^RP zTO(p-!h9Z0DH3|DRB#W(LoF=EoE}L>PLKSV!Gnky@YJAZ^jOiz=-u=)*)q)OVL+M6 z>5&YRdX$D)Jt9o3u@X${(bSpN^KmnBc+gD-P9*-0aol85kCh7EQO)N`J-M%G-EvY7 z$V^fX%8INWgqh0fkqpy%&~W7S60-E6hor= z2_MFh=V?6>W?C;H&(nI;7xQ{Vn8%~O-jSKqUMl3dJ+fkQkKfE>_gHae_Y(3vyGLo5 z-Xp?v9_4#SW-@&2Ak6Rqnac1%zDV&wn#l1HVUiEVo|1e%k}?yAi9C{ZFzv|k!6QgH zKDZ63m=Ekh*~k7!^05+;<-65u&V7qCACZYPA6YZcM+Gv`$8TmbeI&zFACZ|{A9*v` zmk2-4_Mw9?+ecs~+ecxT?jwTy9;JH&W-@*t8!10Bnn}E+LZ0-aG|c*;D=_KDzObZ~ zp$zzwyq!~I{fIChhDM0AAG%#i`<-@lJkn|en0R9;ru|4c(thZ_k>t}09rqieYmP|! zq5r159~&q51EGj0m8)u$XHtLE7;}H<;*tBqh!eR#GH3FS@@>f_1u{ROMgET(Wd4u! zWd4uhL;{f9nE_0QB}rJSCST1cGY3fS_14#Bl7QsQEFh^c4ajdw>Lm8fOd=32RwM#3 zHANzjgqaBhl2U;v7O6mxW?IjkH)aCSha(e+P7_5?OQr&W%;W+oE|Y-?*^XgGj)WZL zk8B`nz+@o$J#&AjpA62xeoF?zY9bj3%Sy~q%@8mUKdh>kDwenLtgNv>K_t=|2%Wks`)Tw7Z3Pqt{d>^Orw<1BZCq~Q-|n| z{Qr@RyxMeGl_)}10vDMJk%SA7-#;LKnXnBOSls` z@RajAGDY~^j&lbYk-tXxR)^m|Ab**#X_OMbA(MnTih_!cqHn;|K=zNu=D#;sV@p6# z!PCp{$X_N5#A1N&$RomsHbu7J5&8WC^8XFOVQ3#CENhC9upyc7h&&`LakG)IA(`-q zJR-c?l^cmLkRW_V9?o`>d`pdYLw+YD2BbUkuj$@@wZDUny?mFc*h4{2kSSK1YJTji z`)#)Q$H?R;b{lm6Wd8ZfGg2O1#-S}npgafsggkWOvgen*!)(?v5dMTPy8I43>{PkX zpHF0YR3DMIG5e>F9?8@7t`NK!Alk6v@rJ+?GV3uEy2zA$ApDWdk3UFzEum!7U9fpa zKCvB-RMQmch&=hRl+2mPXEeFn-_&&kvAf)-*zpM{FZ=*Aogm6@VECKfT+SGwwQ}qFjNrRX&{JX z!32qV?4sorx%~{Sy7MMV72ll`l#5J_ZMX&{jL{FRN%Cj1eL;*TB*qBm+?grj@AY7BU?c~jn(I(I&Z^!-Z_eaWcf1vg3A z>T5?GZwhJ*>rBW+sQX(_QLOWYD1UwFLi(N`XcEAla5@f98D5Y=iK?5~Gtp`}j^JDQ zHVmc1qe@YOj94vEEHqJ{zrK6!l5da-_(QR8RA_95R zJ(i+bx@d_euj!rVTuTTUNeG6*gp347vwBvIu}-x_TiWo*nh>^&t)ssP(i2(vtq;XS zCu>W!NLVUVH7p#ROb{LG*vkY_FEsjDKxmsNhOQQ*y{iTHWEhY!)MK^Czpb7q6uNlc z(c03zb4J4enRq#^Et_r|Lm?q!`}QZv>|e_{2;;yMkwY`NS(a0?S6O+d_l{8Bsojf> z@)G3U@qplfM3f3E0cBXyE7*qxLd1;7?&NHMmp`(V{8*qsvdby+2NE)(7>x^*lW~n2 zdZt!+H8yomarBFK;h6!YEIyzF86a$(i*WD#hS;DJl_>T=eGHuxkV9ueTsx@{hR#IV zhfcCby+XvPn%W)mQd8ZX+lt-c?!ZGL_7H1U7m!d+*M})a#JXcrSGO6J+Un}lBxMnR zu2_Pq3bIfYScj@0-ju3#yCpZeY_WG*a-H^@9SdS+%r09F-LjD@0fb$KrPyUjIl3&_ zvx8E^KsI^~-et>1Ck0(r4$Yix;148ZL@{((Qcle`YUrU_Pn{IA@f>yoZ>j~58N%zr z9^ziXS~wLx_X<{7VPx^-X45|SOc$~bbM+&-m7X>TSMPc=R=KXpZxABuI0MTwe_OpF zX8h4`7qt0HvDWb@9D80u+#*sT^u0vd`ySb|@40|eb!^tjqmm9xnL~Gz?tW#uAfs2^ z*3DYxn2EVzfRY#T+^=OuHwDZR62+9{x@ zYV}twGlKSvQiXIt+Q%-k58K)9BOa<+pTB$A)}3nuyYgG(8hftjBQl3Y_mf?KaI_zg z5E!MVjOXr4c*=w#swi*b9b-ETv{QwDt zq0~&Tk==JSx|-$w#1u2DLodajqkCG2FEr6?WAmIQu0q4qNwOgv5bD9p%t(6`Icw@G z&ElC;eWlggniD_>R(*ue2qq8Mxa_k%vlDj#@?d!yeJ-Sy>`@02qYsO5^dV)AK4j0) zha$%4GuG>&F1mEk&539CG$o^N$IW4UL`Lrq$>KRM zMdWbDG&;)pH9KHjMG&ikD96p;4J34jQZw@QVsDBBtG>9CInxB)&O>D~Kq%jZEbi@C zSGYJy1|hn_E11KNdc?e%L&WCqtNT^Kd*wXhQv|<>d8Ai8=#t#JUfuc1h`v%0ofX_c zS?QRe)6YzZ`>QBLkCueP?Im|MIwcGQ)nK1zRYCfZ3cGgXtV1C5s4t|sv)}_x?>-D9 zncICODd&=o+4ybWhER{lvvF++6>f|;Lx*-ea*$`!D+{tbcItCPZeH7kz_T;bFNKaW z@ZWDe@KME%d)FpHW8D_Ib}k{28CH65ka6FO(^kyc<7#8GL&uqQxrJw60*#Ye+gA1y z^V=?<>}&Sh5@P-Ml}mZg*%nWaM?Fz&##S~vft_q+tM?_yW=FYmCG50!T8+u^(XtP< zXnNu=b$=pQRYob;#VbhDFv*@4MiHa#s7B1ya(s1dw_8oA$>gG8c{yX}_a1haJuB*pwf-ZS&dwtEgV z49xD2$h_^2iELnpXGO!n>{aco^h0j~$_)b~3=E}`fq`_G0oaG7K*TUGR3jPK?*4cb zl2cZhuszbA?Q3_(KiSvLwE(JtVo_C)rh)D57ty>%HUFhU5OT~ylJTVhoWY<_l35ZxN0S7vH;Wv}^Wh@G_*L=&Gbpdm6X z4S+nY6NQwHranPA*9y#mK)|Vb+M&tsUs-|6(?eoW$O0HyUCsBZNEPHU96{{BmmnGn z+He+B>)9uH%bRLC0~9-;R#)GjcNV>?Ym&;UD^?-1*|UX?{7y*mtw@5{vb5+PC#k`T zWJmG0;03W*ak015L_l*65@69=lz9o%`kpA~YJvOCJsFP=!v*0*4|bWp6gf`a>oTXW zL!LNN!=VNjKQR{idPBU_V^%s_ABUft3Ff4ZE?> zq!ptnn=}w_(#DNnY*Hs2EgHPhqRm}{XwilRbF^s3rX;p#$F#u~&4{%qN~IPB?ND>@ z55#t~u~2>P)&`ZTZ@cCOUB9M>&tvuTy_w46i;|+yqMH^onZ@@~MlEsGq#(N1yAT^y zUL0b%RbLxj(&7m@Y+=A+VP7DfDi-_$IqNYLD;(!Qy6E-+m&o`RfB7@`5Q~<#csnhWqepw-3x{DH39VJHztO{EXJMne)Z$$lQzh7wX3Z64*!oxQ;lT3 zH|^Sk-ka(+>%Fd0rpi_g$As@P7yyeg1GU%Q!8#dcU0DS$J%0xI4Q$niMS z#2&Kk*b+`;dwjoCNY^!voNV2Z-vc7aq-5)9ErHQA791CH_G*iga~M%#nF3dUh)N`m zLN4axdm`;~0Qu*7n2c{#d#n%jU$$x&Q3bI2DTOJma-l~ZUe@2&LE?30ApkO~AEgOo z(QUw!^4aw`nasq6InhBD^=VU=>F1Oot1wp?+wT+NEnF8wEpLdInq;*hUP0uTSjV%_ zu74)=Ig-2!xkhds`om1U19)Uj&@dVs6K`yLV%s)1*(4Kd!;Nj*wry^ljcwb;Zm@gj z{r>O%_s;Xo^f{-is;jE&^z`YjQx!^uuKl^pceA(LpEeNMV`I)!C&gj+Di^vN^6oRw zXKH>W%M@Iz8$Z&lcH{n=w#QR$|H@O14Wi3Il>EgwL5Tr%B~Vl_CCV%4jvH$*psOl> z-h}iA6)GQ|X)Z^G4k>)n6xW}!FwWa!jfWcRky<3fP9!NIoi|^AWh^X923~&?JEh+k ze>6^)E!o$>udXl0we_j}4er;--CW~Lyxt*>SyP6=Dxyb)aLcnc-Md4hi1G>SIl~?f z(jSAxbZt&Xkms@5XDo)BAAOuJrWC9%*_upM7machn~&X65@&Vrmr2^JAil75JqO>- zmqJ`6$J^H`eJ+9>e9_x}fB;W;sW;RVP?ts=szeb>VM}cuyz?YL@`XKW<#S?>Z2P_h zYVDPkg-f$g6`s%_?%QiXy4B_rD+%3-8y_%7PK;^y|J_#bKWLmDofuaUFPb+J4BH+ZVB6*52VL?ks^>)6-@x~ zW==;Iq2z9hGCGwt?o_(dr!^^a(#S0eypum@uTznbjtD~I-_wIhe*sEyF-pP1z; z>t8vIP!9s+m&|6=;~9;ZpebFkpS$C_u>nl3#Q+ZNk{nwuiyHBtGS8C4Q7qN!Lh)ET zxe*$YIk3oWA)2qZbdLvdRMbXWwAg=MxB*6PJBUZr35pyN^!L4(Ut3T-EJGq$QyA{z zp-b%E7^pK zh`DPk$%J7y0R`)5>vFAV#IYb2m$@CZ7NHwmq-mozhsMR)4$1((&CX=Qzi%gBxcRf4 z=s!6)Xodn5k(#%YOoC#5v5Ad>VM?9O%Oz_1{ZC{4(jXh}#IcKg6J4v;+!eyaMh)%y zsfS=X!QY4UGdeS}ig7=MFxYb*Vx=~fUh1KXg**{wY*3ej-LGpiS)fAa%{SRFM0Ezd zKAQ)M*)?Xv!J2%qj8c^uGqM6Yc8X!4F<6Pd`_zP3lugFzz#O!xrpgk}wZinw(D3B*Y= zB+ASAg5^9#JG9~g=Ju%W;*%GCa1#u+T&yxy5OtzLqgYuK`-^RE`kC~kf!4f;W=R#uZH-5%YbQoq@^Y=n1#?l7#m#_rsXsU^lT9ugcauy+Pr9Z?ycNT~ z&OEvT6(_*@p8FJ9dWd`a113;|5DKt<{MGPW(GKR|j^)384gwE^aG!EFds^UrS+Y?K zqX#N7+@o$=7P@>7hfUChGiU@en&bI!vT6nfrzGyQWT$T3fCh6=xb&Z@g)EGXie3kSRQ{o=PqrIX3*jY(P}zy$M_55A)spYS40!ApZXugDYO{ zr{AsZyYbq1=LB<$1Q10Q_pa}hEdeZfNZ=SKgW^&YFYzE|%h{xwi1v%KNmR=Rn(x)R7b)tqNO6>8ozrvru-|A`;Gi{`IDpWq^l zM-w`#MVi3bIqqIXjz=?|fSi4Vs{GEp(=u_GDFLRz!{frDn8=PtGnetdEpDWn5BA7r zx+ir=7;iG;h3SJHH(uEB+Ab!`GXDsl48p86$U$g9U@vbub~Z@jU2K30z%4T#xBnj& z4PD>v^8Ah$JULudCEJ_5k1(H@>}`flK?GG5AT0aivUI5oG}Ow!D9@BYRhvcLhaX8DzO`lwOOcb6dREJ&;$_{= ze2^gmh!xqUjKMl*Wfh6pZJ_MTG5m8VWM&V=B~g6JPU84 zPTair!(^_xm7;V_kUg{Cv`~NN*~=-t!>#q|K?6GRH5B)3OTdltCcLvGCgKR%iC<6o zH9~J=`B#KA!ktBy;WWbeMTExkQq!G9OzU>!ruaH(a_GpY0$t6O>0eLmtGufdUy5;f z?Ky~XL-`+-MZePzq;L|GUlqIyR)quIaiq&kd2B$(wO3?T>C0wqtgb?OG^}TmP3E4G zQ>xlwH71G$IW{;~(2YD1#Ti?Cz>RN68-f0hr*3qna5V&}h~}C&DZ8AU>)g@q^S56# zUJkZBq7?TtpsbOCuS6;0!sVHa=U`)e_iZ-Dl=0ZCX`xrd)aXW)RpazwA1h8Jqq;A* z^~FbbI>?Gv!xe38o4r$#7I-9A%Eret&zTwjoAw3mWix(VX)i_9-@U>uFL6s}YY~R1 za>GTcO?ItY#jJ~=JbfI7K=|>D)#q;x%3SqcWDMvlp5))JY4}khJK;>R8i~Xwi$fwSNO%5wOEx?Jk^;2!b^n8Io30JxT6^fhLd1#)tCGHtzulCo-3n9 zKH54*FJ^7$1F!t?m7wP`9Zbtycb=EuW!@#8qaVje{OF|+m0n_3B|b_VoSBM`In#>y z=a;LzUQa;FF&afRQdT$o;_T~NR634zBic=6{}Jvl%ze}*@DO<`sltU_nh{w zVWEtYd}!>yHt>JR6}o-fMjPetMTNn)#P&1m`@voz_6^!y+EUHN5(Y0Nw)<7JwS>eC0SX)Kv!I)!GEPF?uibbeDcP&b0v0?epAMDe3FOG z%%983#rvyF5Q8;bVVMIA<7IZ%+uP~D;!iLqmR~-q!9RY(F=}?IZ?A*dYt$Y-3Gs{RgexAN28s7D7zhPu(aPiN1eeW`hcU;W= z4JV2kWKNMHI?+-yO#F<32+cRco<S&KJh| z>?(qJw7BYYs`sUSo;G!IIUn9CXu`hsR5nYes<$fPB(+P*YpcdNiidX{nK<)@c?SGO z)s`=0thRl^z#xMeyH(Z%^QcvSj4t6Skp)l!;+idO4?Q+CCXnr!ctly5O1kTOEYtt6 zj&*!(>yHXI932DUNI@bQvAzWNQQ1{I74BxI^&11Ga^PL)F3%BGWnEv3fobEfvYy08 zLhur}B2yB2vM1PsWk)rXCi4e!NX~9TytAL(Di8x8~zo7Xt+w%aH`b^2Z;~U+0k&(V8kT&B$cwrRhtE zhgdSQsT_}3*WIBh3@(dRIcep<6An#iM2zB{yhD@?IiAs-l>36h4U^eH=_n0AW8C;V zSErYgtP|WIwk7r>Rk1pWdQMOby|S1C{S1vAHJh?*0{aNPtdkMhwgdR;D7Gb;TCBT* z6VmZHcKK3~wL2dDj3|wIPN8NAuY{?J(mwA9~CF@j$gYv(qt|h#YiePku{{cNX;^ClIe&HZ6 zQA6RAe&IYJUti3q2B}12(`JVOy!9DQgqRZ@Ua@I$B>x*%TMVL-PdF0~P~7Z@YJXZ& zjNpeR9H4-}<-*>6vE=LhkPK5Wwxu-F*p~@|b+I`XMW>=m_Ck84zP#tK82TAD8Zdj> z1Hu4g8Yy-vieOHSoB>jNrKpU+1J@c&%~blC?l787IC|wcdJg&-G7a7`Q34PES}cv7 zArRa0i$GH>15L^SpHhtC7$+N%!{PAH zNGwIqrBcsX;%`n};^)YkdxNN0p&XI>-@$XrE{X$zawIcxjDAM-i+WBVSs^}>w+vX_ zoe8D9!8qzU_tTP591L+_nMlfcB?wA*p~b{Gj{XN^ULxUu3YdIQ;-l!KPH+MTEe4gi zLPD>MRwgUy%x_tj)HWSL^BE-TwBRW_(#AgW>wm^bMW-D`?$crR5&ZE-Y|94eC=SjD zY)c;wuu_3wD*=Mb9a$%f!FY|FD{}A!Ht+=#u#$&4vdFgy!Dm!@WxT=jVDEFhCTvUD z=I^!PeF4~({|$%3!r2E@lx1KRcjE1T_KF;v(owK2W%twTZso#<4tI#HqI+C&Ywv2o?y!gm<9Kyr+GSDYAQ0HkH(tR9awkC z!nhhaIawJ8D1*onH`jRwAoem|IRZolFGpDwFFcE=WM3Njf+Sfd%uFze7O>>Qr1E9I zWr1P86`iDE6Y4N7T6H5pyafM1Vc<~H#p5uJNT$XDL zPOfARd}M@&IvK}m?8h-T`Y1{FKXzu!NkM-UqaJ&M{%XI*P8-WRwdr#r8O%T{tlG@J z=f*rv95;shn&wIZe5n&6vhsws2uE=JxA5>55KPe_NWaLk4DZlwf9@yhguSU+y=Kz~ z-JRH8e(T%+Vj9tLKGsQqf8MD{XLj&F$j;(@=r^QawozN1xmdNaEPoC0QgN&RfsY9L zo%E`R@q7d0{Ec5o6M9Af7pRpxrb-()RDT=~oWf6F(7(Z{DIOs=lCFW9->aaK6`9Z5 zT4_%=zO;GeGuprcu@6IGIiKfm3424{Ffe~D{qM0}SC3)Y%>nZ#9$NIafmddpWj2fG z?PM=Rv`#M&RSztv9*j?6t224eZqxdF?GeIIn?1=KbZZ7E}twnup24QaR{7{$%J zo~d<5MRB&pNf-mA%{8g6Uu#ED-4$A&%)L`~mG6WkoDl#(lSLRa7#L%0jG8QuHMZ)p zWc(;b5!+X(7{>LDOLF-!Eh_!!tiSVb%GHKl2AvpJe^cP8$#A4-IgVu7hN(YsXP49? zufr>JolQX5*5S*W!QaQZlQ+dub}2S~U8pR^*_6)}&`Rfnm`gi;e0(Foe9C-I zLDZu1td>mfi_FP5QJ3V@0#Vn>#D%~*Php?NVdFdtYv9c%8baMq%SI5LGC|uD#XBf~ z*O3CYiV8d^KRyVWEE}jFVT@O zJnrccxwh)Nm|JK2RbbIn(w= zXFeCx#*)cg3a;l#q7daBretJ*Dm|fM5r+2uk*KP)OVh2e{KXk}HGs-su|GCqt14@{ zhkHgqIA&r*_~ztI(umnBkLEq(C*?PG57YvpN7yx&v+$5#0^p@BRh*YD6X&g}Qq&Wo z_GrcW;BeLU`6<|hY3AgE>m0-FyXw-fG_}$@1;iyB-{K;A+cWtU47P$S`6smylqo}; z1}mEba9Y7p$T24s)a}1f&km)yG+`Xwr?wn$0^4Ep`hXlyuyXmo146a{9g`XVo_v#6 zvbO(yp_yYE%jwj~SL9W@%g#_dvaVh{#Y4{F_U8N{IYnvygW5YmJ924lJ(%O5QUKfF zUK3g_d<_0&{s!PA+Cp;mTET!Qvany484BKqIy+7;Z18`}ShPzPP3zbdT3C6+{;f)| z2}&%5#C+R4hZgtmx}-oh!-KUjxvD&mAv!4weX~>Wn)5{r@k2cst9=)$TK2qM6&+N5 z7eAeWg#nIKZC+>H)w5{#c65)W%CnMuM>}B@V)3t*DR5HPI3hA^?*n2U)ES7^5cU^+ zc~@c`%3{aKZc23^tVyahj4-0jVOY5mpU{jhgU2tzm6yc~=p=AwcDVRNOuV@YiA%FP zl-e-K+*6?pj;OpbiUqr5c7NkZU3hon*tTgG3pa5WILCtO1BU1q=q@eMm21sUQ~n8h?7cLK`X#+Xg_v9UB_V6Aj!$`UazxhM>gXJ{r@Rc0uh)Xe*}N03sz2eN!(cmrx}w`aCqIl3BT3-LYkwZ9zE1RH zEoRL;7cTB8tt)sO@Hds@1bzz@)c&S16ZsV}ea2p&|5Myss$_Qw*5D_W={t-O6OBTX zJp#YxpY*9ueVjieQnI?tWS3CZ(HN#m8jZ^o39Y}~4g#qHMG@wTORJ9;2DT}PqNu33 zvl){@;_kA`)xcpJFd1bqs~xKAL%vOuFn4s`;xc#qd7#n%UQAyE3tc$aqiZ8ZOU!(> zrJ>Og(g`~j^;tagsA9I4RGq}%GHtr2m24|Y629ODPyhv7#UyEy4!P6NR?*KVf?z(bh;MtHpb2G_^z9QW^Aq5DNEVSiZy7&kkhj$#~OY8m3;g{CN2@Nv%o+; zbbOI0p+x1VD){>6S^H98cQhX}NsyLLz?y6YdQ~Bev;{GlFCH`!#;~%=^lokU`1`_$=GoSFH zuK8^Tk_>NwJ=TU^ZC<{w9uQTIj!`>U4AkaTDO-$j8-uK5m_yd}YMI#O>!N zr|c__DvfIYWVFKL6#i3eN`9!Rwf)0saCe_EVR?w&=ut?{jI3v{A|hl|6={6vI3zoo zmu)w(NioOANO`<04qg5asFK6wG!vTl6YroK8tcG&S)G1;2~xb8{;CH0-HO1NzU1Vw z#>T;Hvg+j^7>Ysv12PBZr)v*P4WoKb%fL5c{U4;%6`ib;t1N(k_ufwPgl~otAUB*PXu#eN4CV>ruQpbf+Qlhzw}0Ivb2+Y z2h^rb`*g@uM(wVyW7!Gkr&VIs$A#VU;A}!A!i}HiaFNGD)y5Mu!9lF>1#{!WOh*hR z^Inrs@?wjtjqysxyQFV@&4Ep?94^+JaDh}OP1|dp}11Wkd(jH0!qn&luFh7 zhcpbA{}wk|LFeI+olN>71J(I{K*`O*j-qMft1>PeaJZ&*+;2!%3EQm)3W zg09*!QW#93bd&w05Ze8nxwGI@vkHR5|=U+6)&a&5UNp{uqHX+j6!ykcSMQF5yTwa*9apn`ygw` z+i4ZCoGMLrNgPqPFei)WtSpoOwS9?+LBt;rIzCQTNF)c$bw1ftuM4ANJhq8=^G|Ws zp*k;guY$O6dq26v+V91x-rG)o1;5Htp4_H&=ep2_lqb<*8fHIVizgD(liHCuzq_o5!U!b1{XcM8!lClvdI zdk+GH>ackphVi4W&UXfIq@M3bs!=Q-;xJ-f-{}g=rZ+K35*c{(t*JlES_o%Etp7Ez zn(|{8lUH%SIZ_y9s+TbF})lkN(Yv#IhAbj42yz7&1+2jZ6a@*_PU>p1y**e{lMO$V? ziv5t9ce|1t5#jJ?sVoLWUjuNtRToF{<#V5jT~32^_DI=V&|cu$TsZEiC46nq;F|E; z9LW~a#-c{3vNsy$wKd0Lo;vrn^{uk^V37u{!ahXWV#-*Q2i@%&k0)iyZHezDNWL_* zt`q)Wtqg$nMar#uNsJ({Lv1yeH{lvV1k1aDH<(o0DBu9xnE7BKiH4crrJ+F_6?t#P|*%_Ni-PCmL|WfDCxb@>sU?_stCs!oFk84 zmC+FhEsOuOfkB?*E;%LA;sOp#q?GPS&;t9%Ubgl|Torko^gl0WGS`gp z;|rqKKMM2m{NU)_L=?)=JLYf?#k*`53c6FX-qZS36*qG~Xqr_-TlJE|lIgtHim&8{U5^f)nn5&Za7M<>h23{~#?-c3^iYU%7a_)-;dQY`a>1h9azb)2bEGJO zVy?86a{Hmx0t(v`l!jY_k49&DXAVXkvfRVQD47o@?X6iJ;iB{^OFZ+Rt}N3`UL{@9 zG$(>|UArGb*7ZV-HsvCIz@O(T{s)|Njt;!OIF*rJp!{BUf~6g$;E#p0)dGq#F?(|Y z%?ah;joxzwy(ff86Mjv#c-OVx<1LHi{`VV~s(H_B#1|?#Xn*iI>)HQ$xJka~*_BIN zZ-Ze}+ZPKd$|UT~`N0&zH+roV|6dBhXz6_m+4YuNDP!PlJnTO8{`1gHu;aI}s$pNP z4agXq{54qCIsO17m#@84JdfiM0Kp{tZY5mFGh!Yt5=JrrokEm(N1$vr&b=j~W;(LV zOGMqdySi2!k2jS6Gs(U+N!@ z5N-7=9nO4f>#rP+TzILdY-Vq6@C>;{-sXcKKfr3!{SqjtK@JfSCyh&!(h|wio1Ftz zO&h>6>G6lWCR36j03b|m*4GPoHn<19W;1l{)n|L)c>vxj*BSlZW-5Ah8Rwq&KRfPu zKsmjP&PV>SEb%9E%Uhmhw>A#mcV7{mmvDT;Otg1#0E+xi&tdz_mXIw6&G&|`3x5lO znsY@lIvS*PEtRHK6muKUFT?LK=#vH=n1VwN+MA7* z`w#KV4VO7n+euXBW;4XU`Zx#ySmL&z(Xx<4fc~w8|J=-_PeZAKJmXv=1ua%GmuV;_ zexLnbN}dM~BZZrX@o#|S!W!q|0yDCU2M)l^m~-Lm0n(a7{(5IrTF*7YnzB1S>Qm~a z>(;~zXQfx+M&K0h=T-rubJ+>`2-zB$(A5`3w&!v+mLsId-$jd5qJ#obKU-TeJJXWf z>!`Q8$%*N{Su-|G$gTtUWY6)t77R8^FJHOngmv@`Dr=A#TW$6vRbA_D0_{}7e{4?Iu*%YR{C!Peh(>7xKq?F_^cE^@CO2b zmjn0!z@c7NCOw_CrRYZgxrAqn1y3?~8?*@bh}X+e>@|+eA*$!mEX#(cJlQh&z~E`xorXl!QOB!9>HjaoVGM zlD-VVO)KS`#08)EM4@=Yf{K~$<01%1isT!Ch@Dz6QD$MOD;UmvM)XGW&bRG){v!*p@ zs8%t(@P?Tr4D{|tu|cL=2nR{|l|d-q$PKZB{r1w7MQ*~jcEhUWEGSD8q)AO!9U>p2 z5d1~A;yrf_JyYX||II3PGQZN3Uokj0F};GQP7P&amTOBbFI0?&dQ4~5fztAD9_0MA zlWY+4Kf|Rhw&XViMZ0-u)o`H)oAQrxp1v+@-r7U(WtEFcU%G|d89H{+ZE|h-Z2dNn z@FQ@1PQ32F=#~3!dRn~mCs}T$meBX#fPo=lPaDhwdBke#O4LNrcDGx050<$Ds^sAw z{B+LU$F#7BA=dl1Tjo9moF(mfAu}FbnBwV}3mzrJ$W4j-6^^!4$rwNXV^tMsQzvPI z!WYy9ZhPBTi=K(1Uv;J(kvT6cH{Tvx`Z0)#pA|mDeJvpgnB2Ik6R;%o`P8r|x?-wc zZYbU)mRZNjMclFVS>t0*bU<0y)Uk@*njiZ0O|{W{S?nHSQ_iNW;R=>Z*_%E5(~@L(T^jV*h)QJd;~!IzFs=hC0b> zJoD~7LeM}fDIlZ(zVaI;j{IW5D~fd19&~-1&58BRTi{i|^QZcn_(N0Mb&HSQ*6Wu{ zya5d>srm9Uhrny{7o)z;TOMM1Zndv&UF@_4H}+P*)dvn<{*tHp$agcolS8Jbi_k>l1mQJWe=^0R~~) zlWx5xwNZPs<&*~**|_O}x`VZkzt2S;Yf!qU8`v;{BTY{TzhW3_p!?=p!{sh8N}H3s zsJ()`uj5KC7Cvp zl%V$@bVjWZ^&a?&ifH-qB$i+MXmBDYzGm#$ThdB?Doz@4?R+B3xV zy{qlEGp>ZXZ^*HzhTQ(Ulz-yJm3)#_3T<7zT-dxe8SvQ$ z%^O)CE2L)=qgY3FGr~zJk0oaPlPVPnMcdqvJS8{-+;Xd9^s1tCxh*~q14cTTMsnwx zb&;Hf>yqbg{eI_E01G-?wVzyAEdQiivt&AAxuwTXL$@4l{&{I^6L~@Ksz4%|8P6+o z568_Ji^e@4CAlDM?h$%i%-ZLHOWDD6P7ix|Kmu*dw?D4E=H19a$b4QT!VvpB2q2!_ z=?8XKF~Q~PzO%nd<4P5t{iF!9tqUiqM-t-A7JiWre);V*RX|x8%(ycsmvN9tWE%0I z=C$idaY##Tj=m>-{1n&qs*2%wYd+c00*}Z`*7o2-@Cc#o=P#A|c5-!)qnWsB-8j*<&Wpys=Rf^GnvN!IoxJ)2+-ry?~ zTVNh`j81PlvE>XR*DzG%!1TJbe&bb?Rs4?Co1tU4@|U~>4xTdPR^=z+Jt41+(t*jv zR5oBYR7%eup<8I=L*MpUjQmeq*3v94}~}hH&m*D9ch`A0hA6R@E%%x zr@wMAH%PU0{KIrQWFYw1wn#;b(*O}8*mw8zK`8b!b2Or5$=@@*QetZI2q+2fDx0%h z1w$?fOk-R48hYU(dl(@M%?UGKB3@e_{(M3svAR6HeCCZ}4=12SFF43VDtYHlu7iQf zFZm>OY^xWl))|M8d6YhsG-miwB{SiaW=Y`IUJ$kxNuY0 z?+wpap)UGamr=F>5|xySSpY=YKzzpx`Ft5>cpN{~jc+=tLYd7_%H z_C5Wg5h4zu^h>98{VWC6mzYYtUrg)=zflbnXC_3nOL_&hOQH)qTFCMiKSepOJ+GsE z!x-@8gsJy=_~3o!0ba}kvcjM|b_D_;zR7qv$S@(2;f5W$An5kaHd0b*|6pjL!`;iw zb&NVx$WlR~=VCZRI!WR;TUny{v2W5|t@JNkMa58(7B@@~-?*drPE!h-Qp~#vWbwG3 z__%NJ(}SO1tY#n2x}h15-JemzRM_2W)Po21dM&Y=JP06Rcce&*eNNr$RiLB!UdXcgc{B2$yi? z?0&km8HA2+Vi4)9F}VhHE*>G!I6+c7%Z{W>FY)enfOql&Lza75Q4Z8b@rztbjnD@z zYNSG~STQT;RbnltNyy>gZ;ACZf%3spWe3i5!95g*(afIdHNJ^40OpbiQ3>w49D-3l zlH-O$e3VFjV~qhfT|k-iCpeQV#^9vPaR$;fdE0Rc6ee62}P z#MHo{AmKX{Le#N_n|vR&q(+Cgk&lGoR>rvlRBWkR$XEpKS}ll1;0ho6FuDmx?Xr6=gI|*; zcio{%3@JO3Q%^@7mnd_XB)mfV&-l zwhE7I=R3QZOn-1h@P0^0%o`)}U5b2ntH**GMZSyj~f*~Gu6z#vF0ajwO}naQKR-;!g&(mHXk^ToXu zSf3~9=gEy(`e3-}g8OwG0p9R|#h{B~FdV?_Eo-HVz)HE_|2tOO1YsXGJalA(gtiD_ zUcMma&jDXuCt_qcp;4r;n&^CCW;`Ve>#y%h*o+9C#8ZrBqshQbq)I-T4&*4Ube`OH zU1!7Gc1z+roJ=M;)&s&^kGeLWf1i_n!H7lHf%6uL@P+wVy$3SUPBhwy?~@JDWv^|3 zK)k{G11bh?W_Nb~>gu{5Nn~FAB;njZoNv+Tj}m~{xD}?yG#>1g)|62C)VOW#{2*Tb z1`-C9T@yZ){>~5iz1Whk=!B*T-G;2r&RUff*#NJL$0TBnTwSy(EBCE#K?aW`;`ku` zgFzv zZq8=rE@bS$|AGD=vZ^MQ=ByHq4z6G-;2MtqYJ`RVFKb-j^Z#W{%EMJg!_~yq9L$~x z8~De}gp8e4LX3=E_QC;fLh=KqgQ|G+;GuZJ*U&jn`&HY85O|jeA+2MC0xg zhWIjX9B;)mX32ayrZ4hp6gs*fGAXx5p7`0!;!R^JDkP%NZ-p>#CUErQHXC}=$)_eg zr{4YFn@8i$akGQU? zSeN@cBSU@u=|jVVTXp_sS11ic#$3q8YBg&~bk=uPk@~)Fzq)r%wS?X43kFx~>+}WE z_q*=f&%;!CyZkY|oT3kh{K<`@SEm}pZ|TLum*Owe725U~t%>F|OW-$#vW9OFxt#80 z+fyiyDErg7kR!HH5ivZ(q&pK@*M3RA!&q&4Nhp#Vso8vPr7@MOuG4WB4sUw;t>D@m z_0zUkF_!DIX+%eh_Y&+fEQ6{|!`w2AN0kGeW0SZ7(#baUL@HpQu1t+^?VnjOzeayg z_cIn}Vzj!lNaRk%kJN4jR2tDGeb=^GV6vZVe9;^wl&PAPLfSr@MO>C>t&=6!w81T5 zKvIjN50u?tO>vRCe&K3EHrUtnR|<@vBhga0 z2<;MlY@+bjwyhre&43rVZPVeRz#>9^*LhJW^zj?p_ms@Z>k&UU16KCw@!Q&b_LqCe z+98Dm7ZqHPF%MxoBq!kp-C=4{g>z%1zzJ?>zloYE6lFe@387I94!=Ynu%MO>0RwG>1H9#aT8u`BzPu^JyX@XJ(0 zD|pwv6l(>l?)~_gSqjF=9jZT{54%ja)Ud_8W2%WvC22|66$$9Y`HHWP-Yrl1KfFL?PR@ z^mX67df7#W^x&1_J{zNs)_P49@7s0tul_cPz{v*uhCH~OYUN7FI0atnbUxu9 z^tTNK(Ii-)`BXrc;!@Y8;;k&iS@AdC1k+3U7k$l82TG2!HW;gRDU27w^P=6GrirWR z7<7&6>#XP5+O^*RoQ*oZz7~?Io=Ofi6y16`G6=PsL+1*0{N@~SH<4FW21xE}N@Em< zbVqXB@d$`YnMw1F(_=jIDy3Xy*qWW|tW-1|$3y!?=B!~M$QE>Sy1>YFSR`E2M-~(e z(R^{m1+#RsbXZ!|^`;h+Bnj8Em4sX}`}L+fAZQ`l6kwbX_EF@8#Fi2AvxppjzO6_z z!c08OzQ4k-`f#N#&UksYCv9?7aG}jYS$b3Fkqk)2)KYsXV))S(9a)OMl-0SLT`R|O zq=_z*pv4VpQz(-e;7NCxZ#&e`*<~eXHg3OOV(v0rue_;$bir5IUpvt?(Zd6-z?E{e zTr^JhM&Dv5@w#Fi9J~s4uQXpi(MPz^Y(F6g)vY)Vp_4<1B^93Cn@^U_|jM8c`_)F7t$mW%iNX!SU15+RGe1`6u*+RUJ#Jk z7JjeOCYBP~1FT{J$CeM;#HgjX%YPqsrkiuqLYIUXH(@IrNhjRNPstd}Wk%K2+swLCYEee&oK`nPy)+(E zMspi<4b%f=nmU$hZ#NJ!p`~!sX^4Fjy8N*Qt+)l7*rfS)Q@nFjBl4)w!7Q z=gCm}JB6w+pN>XuQynGo#DQT++*!sYGX9zdu-}Jh+qCpoPY+}25_aO>&Q>n0&a#*` z%f{b$?A7o_|b7!=K!joLtG=GoV=cQDTo9aEkAVIZ0n5?C1>F7fuHeDri zi#VCJNd;t~^r+TSs!nH&Sz(TQ5tK=ZJn$7XWzZvpb*tjG)9CqBZk=$828}zrjvl<4 zx92+Pf3TQjuw>EROh`nthA!o~xFVZu$IAjmgl+42B#EJ41{KJ*KlVfcM=fcq3q%bn z%0HfAI+3W5OI=sEHrY*N#o;PlSdZqN(N@*_WC2q{aKH?}BxaKK_#G-2(2&uFj-vhyZ*>?IQZ9Kc4Rh;v|Zy-+Qu z$NotqpCK-_G`xTfmuuyj?xFgWY}ak)Qr56qKzRwHdU^-|bQzm*? z25#s+D!vF`nV+lm>_q&j?9OGWXQo5$kIAgz#EL$Fs2c~ZYn8Xb3r?tP`#tIQX2lV= z93n;HDW{y=a??ErkKD2ZZLuozI`c)P4dNB+5K${jPQtg}D+fiz8(wKB;H`niZK=P4qpdA%Yz=3 z9%Vqr~ zaC*sX_4p3H=_8|9ObkSxa^_3cVLVa1A_WS^4l-eHEKkG|EE$G2gMtHb$#WDvw@$a( z6@i4Lu6-$pZ9?;`x^3Wg8LeatakYRPJW-hITvUv%g2YY2Xb22z^)6g3#GICfKYc;) zD>%7_Y-^+Z2$G4yH?5KSYvz0;hD7B;kVAD;oe3?Y+?>t5>fGN6wJC07_k4I@e3QC*v| z=9OsH`ia!YTux)_qid8L&DtKC?V5RHgus@BH5P5CsbjIP1DOZPzuZV2fuz@ z?e8{}+Re}m9$94B5b2~+ULv_n=(OeV@t>w&uEEYKk!H=h+84RVdJ3~{rH(z`ZB>EO zTS7eIyExv%vliqJ3IqpRewDi|9l01s?D&d0JCo@@JhItY1}~7O?|-P?B&?p#c#mIp zJjaqYrHQe&2`)R4aA~$jF8o8MZrKhw!|-WlB>IwXqNgtrs#O;U0lR!sCq6{$N~@ z!Dx6;5pQe=%0eI?KhY+Ade!I4vE3NN5MlOXq#!i#C zo|pfg5w)BRiyC6Wr!9h&R-JtUQ__%Q=aK747{43B$-SvHweaQKN5dwHFzooJQb^GP z4hG+h$Z6i$TT#$ ze~4$XNQ6XPQJ`b|jb<@SkL>)T9>>M129>Gn5&6#LunGGR4zg=xmL(+8x?g|Uaf}$T zKf6cLoX8V2a=Y>g{Z@S~+OPr60BsL%&y-W_$5a&j&N?!c5#&$JDZ&5tbQktk%U9g)B;4a ze(NR9qh8spujuW}zpr>1;&J6(W~}smw7!0VPF5MeTK>3OidZbh=V)4s&c#3kO43F9 zvfpxmoXER`C!0jCv6>1D+HRbcPZWfhw!PT)$T3vP)}yWf;9H~&o~0~;?5_%iv*gb< z+lj-B;CIkGQS_&AfBTSO({EGbm8*dJY=O*Md7-C;qd8jryVCC6@Tqwh`-d;SEtT)q z^-%rA9xy)5#jSlj^?Bv;i#(^#S0oAHkYQzvUN67S;j@Y;&>!JhSBpSRm#pYYalt_s zIuDmQdfS6LW4kBBK^V^$OnEZeRDlZ1cT2_kVRmI2n3w->(3ri4J1X_=L8k`3ahi6| zcIO35ma^_rA8S=}Mw|s!@M@$2?pb~^&6`BV zkkI8>MnQiX}YY_m`pAyB5FLgk@}WO=5Y*FsGfrZInANJ&11 zRJ9J1sUY<>nZ5)XXHx*dF$&R+k}zj5R!&iXzbNFn%876w7^hMB^9juNJ3@;IY@odcP49~Z0AoJuiyc%8{R1-P z&h^Whmn}DM#2-TLwC_j?sctGutANh+i8;vCB27=*` zDt|s6dcRE!0462|6fr$A8fK(8U3bf+%}v^Ul!X{}x?s<%K5cI#=99fzSaxbYK?Yr{ z+>ik`s)?6*{L_FB_5}I=3}w5F*L~pW;~1Cj|-3r0glcK(FCI;_|p#4 zWx=1E^<41CAdF&UaDBcV%lyC?YD6X!9%W*IAqj(J%Z@ndvdb?-GaHg#+z5SF>|rvX zZiJ;)`CfGr*XDC2djiOm***@97^j*H_(LLY`Y&Kqfp+X%M+E|R6Sn{8?!9qXUx;Rm z#n2~08^TgC!ICyDBkRX}Ko%2l5|zXDksTfqG9X+vg1@GMl783v=KOR+*QCZvtFvo$ZndDD% zLYFN$4$S8a#~~mzG>SnOK^hVhM031j{_4we+g>8Vp+>F;#I&0uG-|_m436M91X7qp ziBfbNf;Rf+F15ttjN{OkrkSK+(gdl)Mx!80b^-v*Od3q_Oci++YN}{=eTwe#6j*j? zWW^@s*r|pP4oE|SQ9Ue;WTEPjKp>jTT81(n2upO&&tWop5ebz;p=g8Cjb@_eq;aEk z9_EIwmX=1FAt|Qq$Yhv@Iv~(@w;2Kz_qd&BB+5p+h*0b-MVk?{DMQu|DU(G4XvK`p zC?4qwZ2~f-l%;W((w07w&kCiS=!i~r+t$pC2NfSu+3g6-Rkb+XUy%*!$itM!GQ=628tY@yEnYe)A$UC5oyc;aqvA&*bV~yL&s-whOWKW{h$|R5upmXe4QB8*1ku zzW{UEp>6fBlisO}r%xujhaGiD!<-Pu1B*o~Kss8A+GC)P@_8mx*_1!>`hdukvL`Zb zE;J-C7>5h7kU$`wqngZxgkzQ?HyvrKAs!;^Kb8t}LE7vL){p)oiv-Y$8FL{4fV34V zP49LVDe>1ieY%UGIZemlVi1akqF4w6q^SpMw_{F859FZXus|XbqZC{xq<;?aqE}Q# z4}%QR!yrNrgQdd50BMebo6Hi2Qx1llrYR*`H95NxX6_l4&5lW>A)uK^*++atrqW*q z8An3K7h&~b6SCQH#U%D^b6ZWZlS7J~2vnDaVg!&hg^cP$G0F5)OAq%dIoRd!@1BiO zmxKF9xgmPbSQ_kdtbNV<#eoFRD8_M2(zL{^p3*qz_oC22mmY)z@g3S+`{NzjE~nDd z3b#utR3AoPv&({K7V^EV-g!2Q3f*Qm=}*o3Eq29SDJ8gb=B*tejMEd(=M4#`q}7iQ z-d&zFP5dS#(QhlHH$vYPd&G~Nemt{Iw$pMaqIOA8+UpI08v=eLs*(4?65v*uh3Mdr za2WG;dME^tAbBfX5b(_5m=M#_R}`ZvlQguQ)hEC>oSDbEXQhP%FGMaZ%<@`C52MSK z`-2De66ofF^?Gx`0%6NpD(n|&v*oCs?F54ffWI}-aBQ4&i~yN(f%(rO5j|Wb4&O&kCKI3Vumf4%PI+R( z@1h9A?xBq%e5YT@8QEmjE*VO-%YmQ@Y1o=65N|0<&18bPm{UR-2~VBt4KhGNUUr%; zNvHb(%!QY3w5w~6K$vuv3V~sh`pl8M&^DZlOUmUZeFLz=by?Z`5S*SJ!g*msqK#fE z*mb&WBCAFd$sNn!0A7$K0{u+f6n7b(W?C)hAc@rvgR|j0ARLIFZcDPjsko2@kzg9d zqG2E%(m?f;#&+*;S)SK*APgj=!P=*!(LSSYzt3Z-!OSBX-IA|-vLqGCMX{^B3q#Faslc zBl2CLM+eY+|KZSp=U1HO^D}(UsOYY-j_RuKXaSgd?&D4bH?9Nu51?|bd7G{fNX3z7 zX+GC#Zr5z*&E8%j@F#M)mIcSqAOogofDzI#;Nd~4Rd0F%4g4P1_(+e{{oB8O48Q;D zfByJQ73;N(5G^kfx3u@bh!izk@>^b@lHX@ruistG0e=7O<08`3;>RaV-bM)u{?n@X zTL$D^3lx8q!%~-7h5!CrFu^Z^Z6jg7Us1__`=)LG@x>` zS$?t_Cwf(L)}OCtYj*iwv_`Ub#R~xPw3^=xhC>(FsTv}tBl25fUQnU7?3z88w5;hZ zs%QGdF4hea_ze}vh-gWWa5Z8rR5bFzFM@L?F*ZdM?Y((FAhT8c@s_(L5Fb}%8DzG~ zb^W)IDUq+<(kJqo#Tg{{n`1K}+F+8v&_gXTIKcO9q4Re7eN$*XvWj$Qngo{?SI%jm@?yS}Ff0{kTv z{4Id4_XnW#O8_~P5;fTGza<{<1y}?L`~8Ya{@XV#`w3eH-ZuO5D{;H~e(q1$vc2eF z4&I4)#Xr*d+g@*q8;A`m`JKll*hu4Y+n2$X$?t5-;x|T2Ms!e-gpWGb!f{DH_(dJZ z<-IAQ0q@QG$*w7<&t@T$xpDb-tBFY?rkQ=H_-E#3+F4o|M}D>%3=#?r$UnuHrPdJf zNy04&ClRs{^Nic0_+%eEK>Xw^6M(vJFlU@XP(@5KV1i$qqX!6cHcT>aZTmqj3p+z( z(#|~6vNXv6`Dd83Y&8QpHd+x(RAFX0m%$XpCuXZiA%5CK6Nt@4iGod5Q3kkt-Z4ZVRZ?)Vvl4a;*}xazk|f54Xve%Y?gu^QG-48>Pj)VYD#s8Dx6HlW_{7{{ zP)I-BF(cy54GQjeu@ZV_WW&E8mmqO#NcNY1RF$#V3!?C#e^iyRKFSs|5DB&WstZyN z6U&al(k(ve*~a9bCbB?WszJf;EM+C=m|z26fJ>4X8hV z|BYy><|kc@O|FN$MtgFZiMYQj+jCn9f0%59%iR4HRD9CR5g-ZvrpufV^LIf44l!y8 zml=HUi{RWzj7?Ev_SQU=SxD$nS6t(J-0<0!bWG|3(GJtV5B;LthmerH2bY_QUd_n< zu#pzS6o|wt7aIo{K6?F22&Rm_V1_2%>kd%5OQ@D8SZ%=qjw) zy<8bN`~~q>6g&LMa}u@(t#ajd$!ccM8liN?c0CkE*E0`U7(meUM`YyrV3rXohbm1L zpkRSNJWi0F(UU9U?p*&B~=iDm}P@M9XrYcEBbOfiWkHv-u*%K%CShI>=h&UBVMTvxp zvKUpAl-Uccp7JD%Nk@lvJ(I;z^pyzVP`ubn7?SC_oJ1aysoKCKQxc|55DB58)J*Tm zYon{_ja>k_9?b`pArHxPS2mNVkm=M}aBR<2kI1&>es~FF1LRtuE!{s-2T?loEH^~n z%rgX~jOSO-hH6Cphd!46%XmCm>KsAjSX8K(HqC*0_$M4!%XvfO`Vm8XV37vMxXi)w6<);Z6ts&kzRxbk~C=`SuqVHnF|AXYtutPbPh`>#BR>+ltx@+vMmuZm(Mj!N%W4@k<=72_s7Y4F&m(g0s~>_ zKp-KoeSUi7s*7MjCNw_S&vZ5%8-H0(K3dvC3vLr~C>=B_PP-u!@d9pl> z7rArW9m&VzrL1|qWJHE#%qBO-4y*~HyCq4@%jPo(ERdWPKWer>#U39|7Klh#AQqzq zB4t`2R!<8=7Q+IK?RqGS{<&m-Yb$m?#nkjFP0&5pB+`D|NoBjKc}wImnI$KJtR(vL*g-Iog)0>Ky;1m2xn zoZ8(EB%YgZj0A^mm;oR1v-`>`_yH0k+})W98QSZ&13%VtnI9R}cjV@})r0Pxk$!FU z@bMP)AGZ zg&S7^MLSR|+Uk_qr>Hx;nVX;=_Rg+WFloHJ;?yU%RkpR?7llNklP%9FW|#{o$1uUL z?wJW@Jv?d=3U-)_8C6?aQP!_hA6m`&b!z^6miejomgIf`68eQwNxwikv;ftIq8xC7 zpDPoQ z&gv!`vw9L}Uvyp-z@9Bx`>CGuh{z(+3(4L_Kg3NIKp${93$ks^zv*r{j(tQ9Jx~_G{gCr3NT2%RPOkpcxc{%jB9KSt zT&gaGQcL~hOOia0n^Go=DTlV+Ea>w0k5gW)+s!I1nvsbxjdrI6g7FDW*#)SXlR$Vlib4c{_?K{+HTNSxySleY-in*?dddhCqDtM(C&H=cf=h zIWe=^*afor6&&9qa==QEGmbor(4F*;aol`HZ2kmNM(pjf?-4bQNBi`n9wTrk`-9xd zLR<{)YB@6raewGrL^iqvu`6I+@6es}k8yljM;>@6es}k8x~IAGk|tvw)g&y}aE`K7oSxz5C}4?6%K#`yx^O!6{32 zq-3U+FrYCDWcBk1-yxeXiOhe0y+e1>KRAh5H?C@6zZiuVq+$+}y;K1TL+(IYJ28jZ zw6^+-vv;Z-W*=1dK z%0H&W_S%SL(jD-`_D&>@i3k$MMAYpP#5^4#WS&HPblBvQ2#uBfptoiV<*K)Se{sco z0o6rpk6sI6tCV--g|i(3VafX)lI!}hCirH+qPJy#>56>c6~G9y$5BQ^w_oHfnC1iX z4&hXZ&iVVW?_gE^phqe@-!h&yGv9}q0vUxkJ6n9FUa>=Sm;uqT3;$#BjQKSkxt06? zA-!&Y1?0+qtW8CO03uCsiM~<`PTwks794)170wI`Qn|+@p)o<=DFy1pdfZ(o_g&2mVL}-+vUl}j6#iWzV7S@;Yv3-^Ydd9ndRGw)jA5Z5%)lT z#qKz*s35vbrmcJ7^rJx7{TBatzahG{JP;BWN)TqUjU4^p=v3_r?_adb`_qOVhfH)0 zl`Kjaz6jsv;oSuMWeHTTPXo{eXHZL+}jgzl#uMhBbji*LLFvE_;TJSb*s!BqXC zap4<>sTDuCg0Yo|-JPhv&EdJlP-HsslgX=2je^*|_KWcuiT7;?4(Ws}7i+XV3o9+k zs>WiYlm%nXyrGioKbLw(7}beJ{MZ26%nz;VMwlNW#wo^zAiIx@1)FD!YB_gU1(JQr z=(qflPXwFC-U?=HIyl9JuI`L!U(kVHR2UoF)GiC+kxAK{)(~hK&qKR@Z2GVYWJ0#v zUIj4?*l6YB8_Wd7m*rRq$Br7^YlKMf4WlT#KKN?DQRBA~kpsu+3y*9_%@sB4u%t_# z5$=3g#?06R9p7|cG+le&|Du^TnYo1je%x6iR=wp7(i`$De4Prd=bemMn@424^Q3jY z+chGO$!KJ^>gy*)B>@kKtfsJsKOb@f9ulz)ZjpjW!yNF8JZ_MMS%MiGeJLpUhCC#U zK=}?y>GI_)zHRi5O!R<9iofZBKkX73M-tYMy)Ek#AcqfwJMxgQ?jraGL3B1fuQ%Wk z+0A48widJ;wdU(QyMO*2c}UpeCsxP^TUAZpM*H;*@{q7jvO~u~^?YsrhD;IO?P6@% z^pXR1ap2$`c|_MYvE}BHrF}O{|7_fLcTrC@`X=BknP1-^Q-m2p!w#Y3ulmyEjyxhf zN-q;;knIh5I5x0(=G#3n6wJq>gt2$_20YXd8wk;pE?}Oapx(=*S#H4IAJ^3UI9t@@ zv71<1C&ZT@Z^%@47hP=oiGy>^fkdz)FI;cux5&(Vl{HpfK=ExnA93YbHP7HaS3luY zxsbjgGhN5kEu&4x=Hc@15gWQ#*1z7NhcZ@)`r_8+SUs`BYfm?`N!~m1<@r4*TgYnHhrxsCP)GgnXx6Zo$JbGi=j<-s3gUHg_o+{ zFlV3??XEZk^M*WP2fl4K9kyaS^~$kdp`{Uhhxp$<<@F zmVG6}1MA#e>Hc5`w+Uk}WvqHQWwH&Ad_Qzut?R-SOheHC?nKe$jO{9(2unj+R;0r$ zRJgwZ%UZYzVruhxho;7Ov;n3f6mtLEM>WPyJDL@AQcGhtfH20ozC+SD&mWD*qjuR` zk^NJ*oJrTc8CU1q^B);G8ZD<4vvU(E%9)BCc7iI62)Ha^cp$~Ff;ZsNsJX^s*|;C^ z^%1)mbh~*%YV&RTw-N9{%ph%aoE~9|{#!g6b@(+^vA3|}l{VZ%BG75R`BnQ_Yu4t& zVi);*k?-=9IFx-u9-SCo{BY%Bk9x#*V&OJcwHr)hgXuhNBO`6!q`5JUkC?_49x(E_ zQ6dDrNb}t3Cx+8u5FBxY%7y(&3NCQef-DSW31L{vwv0d9&aw*?vam z0=F_q7>Xbf7#{b1x2T9Dn6=CrhMjP6@NC1)Qb%|*OP(R2QQe;pS$NT6|?Do!L zo{{$5pA-y73988vxbJ?a8@ry-gtU>pd>%XulYvKL^7KG%wRAi-9VgZ_zU6U9Y)9^l zG2)Dv-#FxCe)+vX@&$UP+iCpkgUv4>@_kR9!3hJw&0US!77uWGoY^C?`|2wT+z+Lj z)CYG*HISR)jCNU83c|0xb=UO*m|y9BL#YgO!WAhuJ!-^ot&c4!JR@Ifm zQ+Ey(R9c!*99(Un%=f##h!OfO^bm;Ox1K$))C#{LFrNePV{#N(|HKt!7KWvEC!yjz za}pWkymJ53OQkat6$W&*O~UQ5k%b4n0s=cv9=#%waI`lse5NubvUr zltXdp*br5d=cTA+jG*hKT`QC=S4(o5}HCPeQSqnLdi?%x)izB|%JiIL;hX z9wyk`rrtaqa5iNa46DoU9IcfZ3ow7hHHfPXnxw-Y`W3_`)Bd^8Y}sTGqo;aAh_jxL z6m-tJY0+ls*@nT|sBghumH~e=NbprlUIywR+oV2mB>b)jJ;3AOZig{?}n+POZigj{|42H_D@Kt^1H7uJ0;nDea%D(Z`^yUXmFqU52p$bb2 zD;nd?cRS+~KN6qEt*-!5U9effkFDQ$JeNR{4vxsOONoR*@Kr-13Y{j`~Ju4M1Qk;ri(m?}r>w-?Tk(`d5;^+aSss|Q|eS}+{y%fA3J?7v`L)uw> z!=nkMq}f%^t{&qTHjcpDD}Q1_riG81y_)8S65}v9%DmDy>vjy^1cEsdBP$;&_j5U1 zb3(oH;dC5VFE`f%H<_j5)%hd8Z04Jz9O$Yz$^l`NIAyckT*EwXB4-8K6yI2mWj5B- zOZs9&B3mcs@tEv!EE6f3H{_um)?3{Vd*1LXE_ZR{7m>OYzvmsL0(m3!OJ3r5BmOX9 zS>H`@+Ue04e@5mv_ssu>x@u#U8^hM!kB5tYC`f}Y>Tm2Mut<+f%&S^=fpBHH9V@CO zGN|n!o*))D!ypWV$qBibUB;J5+pT_4zg{wbnSx;P6%exbqL{Vx7cUj81qX(MBOtGU z+VJB2Z-k24b!y2%RUormcv&Gn0Wwq3V$*D@X@S=e$iasctOMoEk}z%Bfs1Vyqz;r^ z^fRBN6vSo}%4SLazIR|M<{g+wdrz?bXa-n(YuO)N0Lx}2F9E^S?SA_lmnu-?6H_2M@^Jpg5bqa)DEN%SN;5^ zS({zA>Xq9I1%~WC$4-$6>1=kbm-yYrUVP#%Cmt5SCvRlFEA@z*u2D5K!Cx9EN0RX* z84p7d1H$j5J)|#nGsjb&cbkcaE_~m^ZVOXFPb3bWA{mG86#XxHw87?r;Q+TjYY*zTdWAPs$w>NzL`l5+|H z@v5y<>~t?MNS_>&@H+^FgitIR0@Be~RFB?xlhHH5ZdDIIgsll=ip&Co04fU%g7^d% z!&|ZY1EUFBu`6d&6E5i7O4hN98+}h86$}1MI*<5U?R}ucVAIve)I70mo86lnql-v1 zbmKSy#~a598;aWh0fQ-enrxv7UGL4OE#zfy5(Ha|tCG$EL{tOy%19bIA+)&ZJ1qNw zq*uQ$kHF?PWxt}-24{(sH{3R~d4MSawVNN92@=1m3Vf8f0>zpWJRTHIe+%QAC2 zr(NkOe&DIss~-FSkyKm@7gnBwAR zLWTFuqFBKV(j;AQu`X&ayjzbvLLAYKj96PyEaXx068yI}oXWAGEW^`RlOYUz6EZwy z3pze|qw`&?0$MC^B#pg?C$Qci$(L@MPs)(hp`7K$F;7HDbb z(4&w~;pN29cHAHw$m17ukPB4AfOngFz|F6kiw-l3KcqRz6w>yH%m}`wAA0S8xU(oi7lR8y+e{#V65GN zn%B_=RQh@09sJvB|@|ll(TfK@`ukIsLL5zfR`bs`kaMAnLv1o zjK7GGxUu{DCdr^skOAQ-GOJD6iOlBXVP=?LWb}UK7!O3I$TWM6$2^ZT9kA zYSc*hmp&<=mln_Q*X_FI)Jz~8Q)O2-YDDyLjWo*2VGdvnvkQ^=BaJg66|X_ERON;q zX;%a|SbuJ+@d)JM`vm$XjT5$)1$3#+svbU2kd@NN7-1SpB9s_Q4V`n5El-}TZbg%A zn)s1=wOwoW;v7g9Bx(PTOCG%=^OuEkME2zQ;8f=rWpjOFp^t$IvK5IK)2h#x&_ z&2FP@vIf!_PY_u^w9ARMEmk7p!|Pg+rR4TwivkO6nc$jUk$mL(Q&Vl*XGF9eEOilT z8i5Mhf{62z>vv>Bq=Tj8HQ&_OSlcY^oc`pOTRw zVPxcVR47S1?9S#9IS&?+HXIgPPNP+h^p#;m5h-@8BnpMCa>`-PPtgtP>)_L^ zH;>Y zTd}8qru^3~dk!)`((xn`%`7Vw($(Zbppej7)IK$4N{9YIPnw)B&NVfSjfA2LvX&n9 zR&~<03mILzUUoY7D7CxA3&Oh_U@p4?at@##&`$ptWfEc^|@caX%%5D$g4Zj@*;lsD{&jLOKAV z1HBC0CK66P;gzS}at0HEme0(pGMBG;j0#`dV7%iKZ?|w->d@|y%r}J|@v^${H2*@F z*=VR;SQ7fL*_Ri@99qoQuMC;cSt|J3ZceY%ZVqjzpa%R zl^!|bN-vPp6E30@w;)J6M&wOsiAN%jWZ!+s$PthbG``c}vZQB0Y=Xi1=Sfv<j3HTyB z^YeTW-p<>8WbeyuF6rIQ0L-~7ea)s@=&m>Oo<2cEzTumMJd*jQ&?8=&&qu}HuIqj0 ze#PS<-M3)?3l+fgJFW-?Q}uXfc@Z>nD6EaBste<{V4ffHBV6vggrr-h&u_i zx~Ve5#M+ZIc3&F?;;l&LOwJ^iVXl!BzGawefW$O{QpvHSgrXf@f2?^ap+JuNW?M*z zb}%ILIPBCV<#p+4OdQqqXJSl9`p`D)X~;`q;~GG2&Z@JEi(~VlMY9BCCRCBhSg1k} z-C7D;d&Ev@AlUnYqi}R~mG`imsz36ET_A9iSSYmni2Yq30!Qrc`Y-{D12XQAJ!<=L z+eUC;%8G*sSG;nu$2oXRl6za$JXgs{;UW0*5(vK6^U6FY9g?MFBXf|UmWFCa4I&X?~6zhZ|enya_@@+|Igq3(6wv!i}Fi zq-$wNtrnlN1d&{&Nit2-$}^dE*}e9|@a*(l^+Ig)`TF)F8eY!fgv&cI`lQJ%TI3`p; z4kMpg2Og2J3w1IXySb*->gzQ5=8%(Jmu$>(39{{3S}&>H)EH3k6U8pKT-If>S-U(H za_NHx3Wt|^oplgtqoSA+2-3wY)z#;N_@=tIBb4P_X0RiO+&ht3?!$;sLc5vL)KYs1 zBp0&;-AvjZ$BF7+@saZ=K$m$pHN)Nl$xYoy)#-mQK4s6pZ&{>r7_iQ&zXS?&%v5)+ zgiPr}v#Z_Tl0;J%SKN?jEoQuCDwoJX4OvO$b_-pSRr<#5N42|L zp!%wCXi0xhD=izMf_*$pjH^ERc24)477U^FwwPcaQ{-d=Ej)iHb%P zpO%9TM5^L3EsV|?1!gQRrBBLzUCsM(X^7k{^&+)h0yM`BFq<1{xfV^dNi)e>HPI$9 zxJvp-&#JP@^Mk|KlDNv6N46klKBJVM(@K;#z1SgC!x&Qgxej}CzmZ0B%ScPJcDI8w zgIy9@-JH6mS-a2R?7s_<@@x})Qe@MpkyZVJCg1{7l z<&(OL-a0i6DK}zU+&;0`g_!AM2g)vMo3&vQOV|dtQbG`_sxv-CBI83~^D}Fq@mtlb z_z~HTV;BRn_@mbl9irY(<2euH6q0XEt&vR_i!(OT*-K}O$JShUG3BX!9R z-J`bm+@;d=c2l26R5r~y%w#qj0@Sa*ah;AfZF+Nb9_d|nld6<@L1amnhpcVs#DVNdXvw!ID=_N46+P)XZqUwWlat%Jr( zq-Gs;>>S&D=*4PTn@*pPqR&tFzoJd2W{qZblAEuOo*Q*8TyuCay(uJ9K8x-DC1pBn zXwB%7+{U^O8+YfbnX7ZIo`i#Xtb>h=ZPG;xE@c=@sa)aOj!_cZT?NjK+aGB7#toY1 zv+RwGFxY%Th^m^ae{k4+osc-}gTrGV*^CezcFui|Ijj9&2RBfJanQT>>HsY6DbVW{NYeKOve1QG`zP12OUo^EVHW{zX$%V@S1Tl~ z6lnMDNjt_Mh;8^`+(cyNNqazSpwvkKKn@i8YT#Q4p&2|-sV+6f*kD}iuIc{s%}9j ziPg4wCJcsL18TMk21Af>f8-$+P?BTalFsZ2a*PYXT0N696|3gNvEypJt^OY|I8gagD!q;stv3TF z$X(a!<^hfHeMGYPd$xcUK@inZUwJmrPVb01Tm)s%NXSd7S>7mwyC4LE<_Knq*B$_kL>rgj0=!Q{H?dR z^X0eSe7Hws+MrF}k&&v*+G1`Nq^n{yq+<$X>!CKB)cQ^BJJ<29gb6|IyL$#$E7rBU zX`EOq)(yLQ9DlM8dd%7xNlD$0fz0N+^Yk$I4c&=tqqv$E(BpKBOOhR>bo6Lj#-o6FhDGX%%hKH>~w+LtWX{q>F2Oo)s`)pb~Jw? z6kl8H8T$BYu^;$+{+0djlD9g9@IHE17lQbJcYHJ5%8q5j{^X3(OKL&O3nxL$d`~RG zYik_IAcK88nnL;J%u9~iu>BV{wfUwY%`VxAQmap0Q=PSQ=vaVU5DXp2G`{fxC;Q)Z z^n7oGzAN^GpI*6RVor_hpZf0i)97mLo(mXK@2lHS4oKNz-6oGj)ZT{^Ekd~YL_0GF z2$?jk3YmVNR{L&O{3PV?NtCxC}U#?wz2QyF)IPa3GrfZ zT$Kwl9+G&{^4-pprk>e7D{{&({U~^QiXj z8_SCy*A>L$pFSn**vF#2$%*%x=gf=F;kGJ@9kvYRlyCtS66KU2hvJ7xG|`E+W2=q5 zb$v5G^XnFCjGC*VN4bxqqjDp`8zJZGhgQ4<>b`5?%{Z#hZ0sF=!zqfSaXL$?x77lT zjBe)+*6J8fJqm)|wwM#-!P4P0iXgV42+|8ZcM}aHQRCgcJ2u}r4)^ZxtKFvDJJ}mO2ZJE5 zlkT%Vy*~6kxVrM_)!v3i;G1Z~;qydl#KCa>aOC(r@YY{%xsZ; zWTX#oZq#p{$j9Gfrh6H2yadwVUX?>aULgD8Ihw zWro2W0eteLA_-2*^~|h@KvZOJK4runO3Pi>?Gd)rb&_4T4PDpmZNJoYL_%6D#;zl2 zb{*?yKafZE-PkY)W4~l^xgUQe_2LrTwG%ICYSpE03?Wb7*TAJAJ=e6P2PLfXH+#H z)ujOq?~C3L9Wo5Su6&I`8gI;WL%mr!pe&xJkGiXQN<;GNg9ue{hKvi;$mr-xLbrGQ zMnoq4=!Ta-dXG8BU+dkUQsAg1LVLhcuo*AX4!uPEsc$w~pFkjvHQfdL$P~w$HL$5M z_+fazK|G9`OmRSW(vLbrHUd_ka!%Xc0DxIhwgF_#oDn;#ljcc*w(Q{upcnpgniNEq zR548k!f7&!VVWdm%#u+%X35|Xv*fK=&qUEzJxAg`kL@`u*^$ED2C2$Eg+vWf#l83p z8HM!ymc+lD<0~yUQ4Z-{Ok_C_qOe$u0tIEtoRvqvkVAGJ8xe@N6Qx2D@C6=;&mLk4 zO(M|!d5I}1#+~gS$%biW58r@2L24V@J<|EE)FXE6EEd}E^%2O7W(5`L%r9)uU?alz zYvd^o%1U;9R@HkTx>>aQM<``SyabU^laUGbcDsf{eYoBBbmS%{0*@>kR>|RHVy7eZ zlWntn1JK2cLV)gsr|eqHRYW*f!E9v2OiMUWFl;zW6ow5ahr*1-Jd}}ruxl}IgD_`y zR?hi^42E}fZ`YJ%!<0wUma1~A5OiTVa^-Gcaxr_HDjMNdDz(!sS&~IUTwW*@GIhcs zcGR7^1{_BJp%n?ShO!i8!O3;PDkW{|@$A%NA2|xaM}~3B{KYrMpBuNK;Uk^zN==xh z@cfC)X%`Avchb6rtLD}%gazxCJa1UHT*_=&xbT6@@aN_Y&M(bdc*BpV)WKU9DwRux znvO6$vXbHd!QiD1H>3Ctg!jingzkjF&D_J0#b1#1Llj>hcjS?**0PxmulDG&kQtHx zA<6jD$F=$4ia<7h=lC7@W5T*A=E$#akV(S+q-!GMFU-CnQ-r&Z_#o5Wq`xDN2(NmG zJ?mc})>ZGwL&D-~kA#5);SqVLToRVagaZNLJ2I)YL3q^KKh|S(Oo&1^`Z&hlkx8ux zJ{*Eh%?6V7e;^$qe5avU5D>m2e@NJ0 z0#Bm2BU5_3`=gzA7oJrGFyfb~E%lo@stVCJD>I%aO1@_xy%T5w=I@GU3CY zjebX_Ic?*Gz-XfN37;QdZc@;_5hR| zk@pEvPR49JGyh8gvEA#2MPUG^9S&ug<~uP;wweUpSXN6c5X2sx6-2`_7h=c11d#*< zBC9-v*|uEhLiBt?5H5CEN>u(5sJ6sl0wUaZ}U;PLwE|vTU@gw zWOex!yjBnYM3Yy&7CVc@?l18s>p`FAHP_RU{c1mz?$?JP5(O2+HxA`lrsY(m-G1+W zq6&rN=%*cI6~cPx!%lG;B8Mw*7*vo$H`fHQ4tNP9og9!LrS+lM?(wQ1y=y*hRR0o4 zuB!Y?ZTz7oH>F`DJR55cmBbh{o6 z$#lEFSRP2zQzuzAO`q#OLIiSh6AGAi9*`Jy(hw|1WOqz2hyKE zsUAY#e6 z7qbR#R6Olwl1igd0W-}v90Iv~hJEa;%?(c&Phkf(PK~GWmxYr69iJ#d(@Cu~zW1>#n!hBuMN*^qn?OoFA@EREc2!fBRYBmA$fEo6Syqb<#X(k!pDYMrExxD; zX=TL3g;F6ekPhiY^+3vS8uHnI-R$P-)KV@q*)Gq?OS5OI97`E)r&xFX;WrId>iXGh<*>Xe(=ThkI}5#Sw5kjTk$y|YMuTMM49d2o z;h~5;^04iB2+7TyE4?vw>*OkUPK2Unf=K4vuTZxE(Y5;-yxnC~9M9SyaNKQhf(?Tc z++7EEg1b8ew*+_B;10n4ht$%tParPQ4ZJhB(Z*MWMI+Es~iJMH}!gV(-k*T+Seo%?zoKs8ESYb{BSy znIh-0g0e&TiG4_?(RJ~pLEw|FcdjAG&9oBEc{6Ao`$0u(u1PBOOdtidWzwI#f2Q}^ zi<&8ifWW9a|33V|j^3jd7>-uo64r6BUvBO^i)Y8+RFacu%}v(a#^X5QkVH>$PyGRN zttk_?>QsXEn!W`iL$4ip&zQ*CN!|KBhGKRiujDt|@~e?t9FUxS!n7d}-n>)G9HW&^ zXm^cC6AJ-j0)x06gGNTF>7_`(Ol#e01m0SHBWCyrnwZr+AD0?aod*B= z5G77llOm?bbpj#8RK?{!p>cnfFj6LWld8cl-~fN<_Q$rz&SFrU!@2&LS0wDrhq%HW z`ykeS>;$RLh>W>k2VelG@M$rvunMK?Fhx&mC^ZR#*5i+!0cP*6jxB9Gay+{%9oh;) zj_KQwh1!SIR5~B1raw7+yIU5CaPwIbg^)=ly-xci2U#E6b;v1st=y-`R-ft% zfZQ8-G3>ltm0Kp8PnqN=Aa*jgzM`0MU>Kxhx=3^B87rY`?9M^d31!18c0vw zPT!KhJ&(K<{dAFfal|T!D{`4gwmi5FS9#3OxqEb`%byjHW$LDl3?|nn{PjS)OS9l- z@}7=RojDtA=6H;Pl*T$Sa!1`+u0i0+YeGL_gs24}dcSwx5;%*u;#M|L8Z(tVH?dp# z?%;&ET-CK_!tJ05F*nR74>6Yag7aqQ zu@EVes@NvS?C2)~t~8)kWI`o2?rOZ-eol-x;Jx%sq4 zt3Dew1Mg>+yJvMm`}pvvrlPGRUQ)BliiyIe;*Wzz;)8!Qp7S}G8IikhdPn>9KZQy4 z6uWV!J|ouUThzR-v2^sXwspv4DLA+GB8^}krpb!4+Er8vCqBI?JIR@616`b_P1*_eVC{jIf=UdXn9}$-qb&E#ssEWqYyzd-sSPc3Zd_0V9ip z?({ZoWhRj2iS17sRswV+3y7{ftR;2SV+Bbl)ml7qqtN%4`T0I;@nM91msbV7R+qp1*TGoXYIn1U&z z!#@WV8LrpcQo|wiqM|9VX2@bAjQgW-^izV8y)3@2T}cGVNu85^i%xw9??6 z%;tZTtxOQx7w^CIMOycLa(}?V{@kR-u3mAzRsl*pctc7b!|fQLm7vVYSz&n(qBi3j zVvhE^@`iJhB+KanHN~z~4v8-^ z73%rB)|T%+HzeEA%mBCf2JhgjavVMKWEJ9YES2JLc9Im6g-d{c?&5g}&8D5Vf#h6!(kPsJ(uI6kgjC&0 zghfn+HV-AvKs-ADm3yf)0%tNIU`A3O3_-F3T#jEiMiE=d#YU+|C+j3GDzUBtSBo%Cy99U=sVDny4gfpD~n`VDsq(-U0%^wytfJ+B{er z0iEM5C@H!I#jURm+P68#=?eTXMh8g5o5eiUbUKL;0D;yFhhPRI$qo@fLGVST zVk(mkt4P|yX^_MPqr9bNVzHK1Gb|+Qja~^>vXrg^jKx48%^2h6XK*|s4WK0yItdpD z1|*ZPr=G|TfbU-=h9i_mIFR%MrxaS!+YfKRb#gG{;zj>1=`7`*G(re-6i_&sNJ1>g z7Z42HKL~t~SQO_ZBEaUqca|Cp{S_z#4LWu5DECG9SWDiJUxWCcGJkeY4>3nUQ@J&A zl}nr6B)d6lt|FU)SU}l&2aYA`(0#}8MwkV3z-s5i(A$AP7}JQ-<~in~w&@@jTiA>V zEvCeuxKhSEO@GQ65QDq5Gy|ulw5_V$(J(H&A0#H~)wmZ;{H=HvXF?nNcfF3R8Wi5) zZ=i<{ptqnWR6Rg2nQleUDxWEI7V>xUn1F~2?*gIi`=uA&$Q+{IhSGcSO-@7*erfox zQ{w=prp*+Pq!nrB$3{4Lz`fV_BZg88iY15U+wZuFZXZ)kHwJQHyJc$NKGz@zxo`fD1Jt(Y&#$*6|8=IW9pkn7z zaM*LYF7TM1ixE)Tn-&Fite6Mlnvp1wit7Bc~ujoXNO=Fz5sU zYe>>rFamloH9-7?nYcamIE;XsqCIF@3?(KB72rsZ2ZE^3fa~1oz?S{EpyH+EHD2oRu&9bZ-?3Y=pnv`MA%B}^ zuJN152Wt>j$Qc11h+l+*3WP#U1)|Q!0SqkYCdQ!xJk~OYx?Rao0mOopn%r|&RB&04 zNR;$s>|{V&$pS(t4fJ%w2E<>iAteNqiJ7tpKr*s8sa^vwE^MJ=gHUr{HUb~_St#N3aV(1%TkYjUmeBjJsi1X* zGnILxhpsNhNeTf%7oY|eXQ@}MUr|XK%k~$`a{Pfj7CN!DTuTH4o&O{}_qQfHi8=3w z@}jz9l?QJm7knYHu_~s>RrQq(DBE)rdW(nR5Fi!Myw?)2SJ@Q!Sk9aDGbr%!SE|@* z{f5db3TN&!z?Afe0k3|9rZ<(|Pi9P*Y+sRV-u;x&rrF zW;P6W(b~id3a9^Bq=$~!fP5jSkye>W2>UiJ>WhX(yQ{uj(n{nhi^AJf(L{vOi8zf7 z4hf%uRvHr!?AC5IvqfY=$bn$#+ZX?y8}sP2(>JKqg7=+1a*yEgE3jQXduJ?CRL7NG z90`7^ce473iWGBZ+)h1nm*RB(XdU9ndz_{M(ArDW z1#s=>FF@;Bsi@qIL1g2{ zn|4h2Iw>RuX(Q0?9FukdPOKxi-l+UpX$5Ohkg5w~23s*n3gn2SApK6_QCTw8|JxzV z3tDVLQ#9nwFt;wg+pG&*GKwli9e}jgPtD70pP0FvD{~+f(C&c!*;YV8K!0H5olpVg zmlW!-`G;@Mo8m|Pc4RRQ(=Wx$x10cd>a1(aidl4Wp^w@6#{JMF2cN?L%bN57o#j3$(qX?p7u^ zUgsj1nJd8babFo$kU49FvBHjl$q@CS4nOn}NCq2-VeH#y zHKcFA_Zu0!Nb2ea6Pl5hs#f9$+`;)f)DV|GA*Z$(%jo-2C}m992#3OQ7ky$OwTrg3 zM0}6_o0|`vFztby{eJlOZ^&LW?bVdN+D@xS4^Z{jnOr=0$&-L&1I+#c?P4} zoUVF}%fHp^!)WJU_tr&g*dBO&!Hk^eR#awGm`2e{w}XyYJ25<^y>pf_gCu-mkZb8( z>PmvU)jxV5rH4;?lpcruImN0y;g!-82D}1)#t(3;18*>~F8ga_om&?{?1>c3w%(-bbiC0XGX07Ngo-GPm z_22sdzoOUktuwxp5Vl6{88UVOHqaXQ6A?$1bg8Ru_?OE_Y%8qP%`K zr^jSqO}&|ItSOMC?=~mIbmMLpvAkw{X8D3}p0IB(+K(@BPM zObK%n|H8P0X8A1q4MY4UP9tjEHJ2k`$aRpIa!4->sl*1xwe+)jo~v9^gfTle@fB=p za2jzRN^EiERM~z}Ptu^|yYLw3FERH|nF8^OB-}!$Ov`>hJYuCw*s@a-=9F9zcU!c8 zZIh@Ilf@-0hiIv58JK0PVwOy~-yUK2^I8-WgM1{i>w79Fi@LWsk|uy91hIph{5~1e zmghizxg1VBG7QdmUc@!v9U;oL`!osya^)Gu^|TKsKJoT&6xK8f){IYx2lre1#0b#@ zECs2785s}ur04@v2>Nu-mNiI_*b;{vgNphgJvlreI67~-C#fCzN%ABLF=C0!++5a! zy%cMtRZsCdr(%MMsC@;V^+$Hb(X2dOCb?-GtII0#!6Cjd|>k2Ek5Ptd|& z(+LUTkOUl+bSA~Lw1U~vBUsukn-*iaDe43L11DnYOowM4J+imwPctC5>>A_ zon)9gpJZ6p#V;HxSrqdySS1A1P!a@G_{&UFmwTkYbIc)O!AkvyZdB;FNPGtRr+P}L z5|UU*NT|}f4dp_50#%Yp>^S`s#Y9K`3egNjk^&0EFufk?X!RY1W9(GO?4coo0g0rA zirDGf5Ah6Uay(VYl340Y`e-9~>XK-2>XKNlGN^X0#I$iE09uUK^qow&tb%D`+AtUr z8cQw`8X7(TjpZ%|u5}p2cj%R{CiqH4XZr2tduk_;3^9Rsg00%2UGmb)`Zr>#yK!(_ z5(S|}SZ)?EHAZg~0I8SQ4BtTDbI=Xii~D|jy$IIND1h4(n2{Tw16q0WupUnHFp|R| zc1A8GA}7;0cKzTWN?B3!kT$QX$ChIRsjwoxLee$@&yuVj6CedB&KzY1(GKBCSH#?Z zClS#5cf7?qErdD5CDGRMrvhWFugWHXDlS5$|A6z;s(B(na^h_qj+=2bika=M=4k~w zpf}F6x?FbZjQ^VwwWM4R*V530nK%x4Cd~0R3XTS*TvkQs3$9ls* zzrX%@{1x~rmXR3v8p!7Jb+q9o@z1%I=xfGt*Ps2{u0NENqx)Bvft}5Xe{O$u1>&<@ ziVahqo>2aI_&*~skN*z{OoH|E6O1Xii(*M>8 z@(V~0n8qm{@`9Xi@F6$!KM=!{@(r@w%yGqZh+Za@4=9P%BW6Dn-#}!g54!;+b!sB%3kHUdRTpIauRF zl9GWg;1b=aj_Xt7Us0!;ZzD3rJBsI?T3NDGsT$NBC8JudpKDn9A%UuL)7mQYrgVfv z;eMV!v|@&}r7@4WyVCODcC%?6VAv#@zXhnFAS_9DGOrx}MPM3PUw&ljYELaGQ#*}t z;eM&2(&)sYvD2%RZ)jv2T6sruPF5*u!)Tc(Mi0iGZv!H}W7ONKLIDdT%yo)G7L(q% z$R{mu+8_$Zn*Lt94{iZL^SX}_l%D-o6Dh?$!3=v=9$0#p6wsZ&@wA_!E%8T$s?kZT z7SS+VgYM)jHXNRQ+3D~7=1M;U&70K?v37Yo6Uzql`4XBf-+iFyvjCOoL0sHn!|s{# zA<~WN5g{!hIsWogWh@r1kGPk~YI%)eE!&;Ll3%oyOu+k>xYk7M3UcF++z&Ir-)+ks zn1#!8G9+Kqz)b`>nHII`52SApY1yykaoc_l=u>ey>L4{9GW1O-AK<-5@q~@iAPTZo zI(k6BD-cG%4cdb$FmeAVFgv8T(lAp{1%?r-z??!A7zEBYnSf)&7-?1dMnt9i(IbNx z_Ng0$05L8Gr84Z`U#kiq8ip1xLk6@*+4)MEePA@wtB)-y4_Owo+UH*1a^ty@$2D2!M#_q_V!3Ry&`%_ac)k z6RMv30?b4EVan5OPXyf-e-2y&h5=VidYOZ#{ouf!hD_mUtI=XYsh*IyXBS~6%OZMN zgB6}(gz%u61VuTL;trI7dBc(nWnk>SBdAN^_0a~M@Xq=mhQV4eQbHLR0F;5r!--Jp zZ#!0iGBCs?wl2VdyLV(w6=;2fgQiQkg?)t5{LZDZuI<-MeDAFTGHu^4Rs}i_>_tXR zd40M3e2cTI93O4o?Z*NK8|6ZoA@OM>a}U4jcWa~?YTY66qqR%BBl@(s41%19KI^O~ zTRX!$CwmVj(_81oDv$3z2CKZD)1?b|;(yd4$TD?}fTlgXl)a{~JB&*-)LtZBS*~!O zSlmgO1au?KFgH+V(pk9Jqy{?~knRxeF4(H*;Y*TDbvY}L97J&oLDfxFJQ53%dxo8YG5Xy-we*$= z)k999a%k^W>WAFfCMUmPOS*jmV5WxcNGAA=p$^xtV2MQRO7>ePsPk8~LLC^ie;k;# zE%eF{gw5z`tD<42k`uvND`Z)U@=FP)xXqmS?R!QJ@Q2Ft@3OsT`d3m=gtrH1o&6V8 zAa58tzSA5Yz%Rb!n96)ROwQt%c`TbNS@6)>IQZUVy{@Rue@I$ad^iL6S7P_nyIg6l zB8F}U3X}0xnB~&&6-OISa@29ZTKiZWAJiGG9&ph-UF$S~>Gk2NKORFtZgsSZ-eNMA zuPdvDEXLSvxY7w@z6ozan{Fj1+p&TGk929Ev(q@ZmbTJ#>DTHi?nJ z<0-n&rd4}(F5uD0gJUM-#34T-M7-wXn>(xdJZIin1{a zUQ|nJ%=u!<$2V$vV+aVdMhvlfsoXy_ogGMl5|%=OC6~V&a%^(nbSV;-#qLD6Ua9?3 zq?+H)U6<5-0YvW)*__@+RG4+E`=FLiV5=ZVs2uyUjKluk@pz}2R4qQEreI_AjUp9E z9s?$=J4iERDcjbawMKIQCk_1e}OzBnZ2jI!} z{!sJW{?mr>%3kB@1V&|CSS95`*`~Hz$em8CThSvMtioiKVN#p52=1nfehT}JmVw0x z4qWxP>+$riRtTnVGJ*IPQGL0P3PQ!d*(*aX2D04tmO+=v60RNFB?l3yDn=|vhEdaL zcVs;0T+W68{G1teP3d%M>tiT+Z#L4Mq^V|{UWYDt(OOwFLgaP?STr=6_yhI`EBy}4 z@r!9Uiu0?|yI(nL)Q@`+QDfe-ObEtwK5Q;_EDZnfXDix#ltx*QUOJ&o=z4fO&bI=8 zMDaD5p;h>X?dEy7>&f6w^=1yVPM;oXE6EYH3JaeG*;D!Jz+^xjm=1q`kfju-wzqTq z_t<<5J3OqzGyCcR`YD0(JZ-fuhHox z`~m4e(nE2FoTy*tJ%}yXP=V_ z&(M*|ngn{N(1El}O?i*Ei$zFi#=`qhO_&3wN)xn$ozA2-VhyKS4Hsj$!z<+q`Hy;W zQiM4U%8S=;;WF1Jdn}ZL3+dw46${fNCj#BKbS?S9@io2q7L3(-fX&Y43tD_)xPifA zwonKrZke&pRElp?S~6RZjnjmc!|=_1tbfUn0juS*Y-zickb*>w2@N@C@QM`7RBxOv zn>EgdVO$+$Tmd;=g6bHsy}=-nX#OmL)UlhoYWXXxxI97>Y8?52oHtosw2 z{k)8yfGQn4`|RiE9B_Zeo_6z9TJ{0XG1a6s- z;I6?#-YUc>W$()wZNY&hrI+5!=w9D8;dKD&(6lp5$HdtK0K(hl@_Zm`L$3d^GCGAE zq@l$kg&?*1EpF{Q|Kfd-?miNHDI55n$c0JK%Awj_W!H%CySw5mNaBv=QS0tUris-c za{VY(Ev;8Sk&%GD)^$SWCRlk_qsVIODt?0RGetAfw$&N4#KZ~If#Hw*>%dt4%Yot3 zILc0_h{zQuDSjiJaGB6`USHN=uPOX^$p-cgSrfMgH`heyRz~pz^MX-7xof+I5+*!r z92^8wQ?7n>*(oVl3qY3K7f|ehGBAgsHn$gQMEpjmg#`@PF09MNhMYRqA1Y43Egnq=9gdo^n|xNU(LqxDZ&b%yKQ^uX}l{-2)K zd=y=%&W?LpV{88?FjYJlqeVP`7=_Isf{k46oPS>Bt`OPR>d=6V&N31<#r|}Y6nBK6 zGVj@H7vzu;qI+Or{pEt#?FUR&aYHL$#)A~3*&izmeqd{3H=&-d*v*H#5ED?AK>m*&GgK>USd{qR!I`=#ny_xRT|2l=CC=GQ)YgY zwEk)xS+0^xF`oHz!1yFiE(!XG8$v6T)mVWW;%s;o9rLD)bMOfjOR05fxfCm%_Z#xZgD~R7I++Al96;^{-#qZ3RFJ z+1ZGy!khN)rRLQ(ipW+1QVnmnhqS}*ilHepqn?)PW^YQqd`Hw|yR7+O?fcZ91v|^e zVFA2WE|PV!+oQV*FtC_IYV*_M^;tg6+3L5RkPP?-P)Y2czN^OlvXMxJ>S3v}8=cpl zn-vF6!a6DUyjR*Ox=Q}3;z7oi^W zISeXMCqlx}T z?&a9427kyuWz6pcY$EVYQT!(Z^Z1v6Vg5G*^YWL0i4wf?>VYyaETq(b85rofcaY2W zF9rtxKNuLz)1eM11Eckqfq4Q{{bgVZ*=qCt#lY}a{KLTb;FDiI`7>}O!bymkTi3M| zl9NFh7;h*8b8zY4`(oP02bYga)l*bnxM@?0ayP`LO31}CKb}=}4aEW3kl>^YhHH}Lag^6dypnZy@ zX&B~u>EN|*RXt8UFxIz>ztRnz` zZkC%V@JCQ<7j&0w#=asgZE4&fy(sxF>4&XAH?Xkm^Fh;Kkh{YNhQ z8n!7k(9tAqxq?Q54@W6d^YQv|>bH{_40}C$VbpN)G&}9UZkqwC)7i|~H)iP3jqt(v z*6v5LuIin=*>dGA0*ObxyA+e564vnj4Z+}eEILOu0!AQWzuusEZZwT@>&9=V6bI|i z$|`8UB;BZ~qc9(PQ~exW(nY%FC7IiY^P^zDD8jelhJ;*DU+>W;Ij z|LqE^LDjwNOOQZjnVv{4O36)?K3H$WCKc=eUeR zZ-n(2Zfh--68lf9tAwN}t9$h6cNJbcugO~hT=BBGJ6GF&{&~x8N?h0ZK-dzLMvHw> zCY;QisTW!AUNKhdmn`S$Yaxwi<`9C}tyF(V7(FI(MNnp_864ismVX4LFL=6a?XASv-MFkG=n?}Xn; z=Z9xAtr+E-e-}nfmwKaDFVF84LzM|Xvt_D@txT;~;YWiLMfWYeC=R(7cm3L3H_jL= zxueGLwB=EfQz0O~2FZ(TEvo+fS=~l1#!iTnWUmMdWoO+pLVSS5`8^k|Rf!20;+0-J zfwHngb&Q;`42C-xh@1H9z}UfY(x!c$RuXbclayUM&g%O?W_qxz1;>O; zN?Bu(m&z47dkOiBHnz}V-iXhWW$}k4^+@hllJW`>x+h41jW9v^bMieh zxGYR0+10a%Dp*3mFe)4Y?viZ2l$D0;8(HhIoB`$?&d-N>%?k9HJ=3~?IHDXmn9fXf zqT@38Sk|+dYv(?8E-_kM?y>5o<;UDio$OBTYLNw_^IV1N00ZJmKhg7++aKK{x6>jY zeo!VedYi%Hu6I<;(E5lg!AG3BOIV}N+?k=<{l@7zXi!5zm7krGxTP|1ywOy>H=|0+ zzgr!YP2y0SyR|$J7>gYLii-&!E!Rjgz;HyPY&CE+9RKnocLa6ApN1?-h{OFfF)!07 z;UazK_o17pCp)eF&xox{0=krk*-7E+n{ESO;_!idInIc+PCFf7E^X_?%?JElC=w7$ z)c+vQGVwkHZ=IzeDfr(V-=Y?zFu4)eSqaeU;p^`>vZ0Ei_Wgix=xM~m9XpK#JY3Q^ z3?4YaCbC?06V7znbHwPjydel@fY4y*+3ce7Cv@-&|1>da-4=x3)!0f|+}e0c?Hdf# zkihZ_(2uiWqX-8gN7wB$6CA}hE_*H{<~Ky62F-I$-+H0<<7PGBwwY*WKwyd{4g>Ox zkKQeYev!|*6&<82<*|4G=?lAqVDx0&44RCvB0g3(_5S*413?l$zLOOArQpog{9gDJ zqAG1!T9K8K5I4L_5nvut8cPXdc^doV(goU;AIozSEZ$G-haLxy+UC6EUe?3E8NaGM zcwz=GX?<}WIlVD>OZ~HIMMSvLOMvTL59Y5Az>Rn5+4AXbyydxXaq|VA-dF}9be(kT zZL8dK#h{;mwq2Z}2xez{OCT887=Bp zX}|TfSHXvz!Wr)NYlab#LeBYVULYH-4-M5 zARgi!PLVf##qv~Cef5?9VsB_IUtN8uYT~F$RT(O}0HC5vM#?{;i<4iD^FN|X7W-=1 zFo9cEsHiGI^MiR9#wzUH7DExXuE}N@ zuIGC(QRuDdEY3rz-W8ktMT^!|B5ZPs#CBbvDa-3`%4w_s0E;)Sh0g2c<>rsW4Kb1J z&CTtm=wO7}5$-%zoYuGN1sdWoHn4z{ZwXY+SEUu2J8OXBggmQbnAI)1#Qzf4pe;{nHW1`bX@CGCAeQ_6KXXhB_e+-7?;XN@es8(=REvvmI zC~05cS2;G7PU=#^*EbmijLs(Nt}f13sgC|(if&j0_S54=s^UM>r|%*_JiwPk+Fsf{ zO$G5=MAMFcogLrP!1GmAjINe1grqkn(NyjZf2bK1f59J_Nri@6r>U;E)L@I;6_qX&UH?`u z@1=%CQHvB^{E=GZ+Hr+{I+It-A?qPv5l$JoTc3$rs(x;u0VZG{C-CviX4%hLv zn(r2Ok+t^XZC7BS|93}+^eR{lO;y)-Gzpg-eAk=4?*~;s9!ujsIDIM|*Ar1TNdeE_ zms$KN5u07W_;VJ2bM^27&AqQ5V%?!Jv?bo$wqj2`L-uKBg7 zGW!4z)B~^lw_mx%4FkOeei1tl+R8A)p3c41e2mT|mvbI`7^ekNm32wLp;0vT;|Bdx z@Z|5F(iuT5XN;2}Qi&1UC*FzY4OpBPx0vP|hno+$9aGJH&q$zq=R<%0a|p&y9EzKs z>L)a)9CHViV@g&wA3Y18a!hpl@yq&jLf1i|G48c@d|JB?TYzIW0Rx#^;SqU^30k9j zJJnI+4;%w8z+1A`p=ZfdGO{BGf(U??tq0*=&#KF;=(jBW48+gog=>B)e=HTeYAOX7d1;MZNOVd*&>Zy=v>kbEXG~UUAD6a9(8u|wON-P zoo6g(EGv?^PDB7BXnhn?K-UyVxJt&7Q6!!D}LAQ1H@GLGytJU@w)3M_G72c&P?{QEIaes=0|ZD+DX!>C6{Z& z8DKyyCEIrUH6_)oy;?GGfP>mRVu5fPQ85E)`uZg7tGFJGfBW`JFP%+w{*+zL*nl25HQG-#5xQpDjTER)mPgwRX?XR8FylUOwKZ} zHVMS6G(B~*${$a@{&vsJw6dK-P;_hGl4Z3oUtD1Bo6A?4M~YM6FvCi3*(mkZ=ax*^ z_sm;U=7bSVge0oZnNVK;tfJXgC3-+w=6eo85(Ga>YjeHF6g5lP-=5=RB1E%bXF6Mp zvU+T-jkzoz@eE)l6qAn%kJ_8}mK>qQT`$jcpq9|67EMmGsoFCw*+E_8sF0pb?yOnK zPeWtRXvn(xV zQ6;K>bohR;y;-eqd1q(}%IN6ekE)v8$~RqT^Ijzyk>M9L*4|ihPDAWFGjB}Pl}o_C zD?D+x9YhtwC8?e4MHW;CqUcm^-(d}6zjy%sJeRwy)ZUj1?=~d7q_qbnFt50c2C=!Z zt`LD_?NV*&5sJsn@tso2Y`+CW@#YX0i`*SkvAsDpt$%i!j2EDWNO7K#sS2-)-FteO zdQBV@!rcZ3*L~TBF=gC_keLy;BNY2n1qX}6Vb_kOiBDmn3Om2$GT@(AZ(pN3VOHSJV9WPSFYawuV`Mubu%=>)S8!caCPhez;LQ|W zxtha*6p5<{{y<@52=|TJ+_@h^ww#E^`LdK3nLXDKZaYi4ucCFjE*14+mQ8IwpX=tx zb~Qxl_s;aWWnZ+(SEcu+rAj0VOX6h^&GC8pR2dB?g{)leE77wl!ld0aD%`PeobSFk z^_`6v7B9;~58yRp&oxNyF-N0wiSm*APsx>l($?#yknBz@N}h;4xjCr6&|Oov zq4g&E_{``cV5?^P@D3p+%NnMPBzGV9Vz7uFP)YnwFr)prKqmiDVRfZi01OeA=c0U| z@2=ln&};|NH^~?i>n@UVX1<5(?tNQ3gm@(%KQiicCvv1kPT%yWEJ@+YnVhs`gV!yw zYIzG6r$qi}Sri#wFUXyg@au}9ZBPfon?){(tvc>s$~YX;y*{H+<6AoTztWORYi1%7 zikH&TPJHob$kz`s!ChY0HRUQN9!PCE(m;1~Fq_x)@=%9rlt5%Le%LlaqZFOG*k_0G zJ{+UX>y3$F2!dibvl!>>eB@SxxR-bJlB&qK&|6Cod)-f#QpG5L<2rxeem z+9p54G((e9vomgXRM$iE$|TmLGMqXu2r8-EPjh<7wgt%#xt~WWVNmE)-MJkGy=bWrPTj|&F z;*B{yx>J^tp=pAkDo5}6E`0z~Ws5^(`p0BS}-iGBwh^{9uv_^HIE$=qi*YDI^=yv?~C0&p!LN<0Y2 zyH;*;-KV;7@T__56(|N4Zmvdrg|A=r`5{{c`?|7D>PG);aVqjrt1)u=4;IuHg5xb#9ufDyLsts>BMg`y=e)j_SYuJkA6FRiG1!Kb_KcVbHeT+b}26Z$DSv` zB$nVbM&wVQ*L=1#HK<_3=5zxuEEwgRj-NC7+`X_ksn)SQYrIOTYC6UfT^?e9qg+3R z(*o;y{D#=r)=~Nmkxc@FZA0yFIyQ=g4k-;`{K};tk3*{r&-C4lX~T;Azl{XzJ_j0GuzF`Z!Q*trM~;n{|aBXo0oR6q4ql=yjY$&%+2|tttUnHNIq{=;31wLzp}ib;za=DXc>3%^QAi1!r8*iR zRD)~2IJ18Es_TB*7{j2LDc~iFAeV~`uoSwQ#*;SP$2Xwe&e>K}oFaibF|4M21~<)n zCU$)W&x9hmM>ucC?&!~>a2rIle@5I3(dgV+_+MZ?HbGc(Zi^1lvv701EEOG-L&J7; zdUVn9y?$cJhu->I>0SFriZ0xS5>|pEz*VQcY!V);p5yEvs*MwhSM-eztLiOU1$`&c zQs??7X4HQt-d46_xNkCHd(3CIRE9(?p)`;s9L$G-(~>qaML(A60Ee*tMasOh z<@g$QOe4fl!PYton{Az6H;`Kj-@p)g+Cz~i75j)sYhtyz9-!93A{O%=Ezi;7R?HfY z#rRcd-z(i<2T4yT zH?yxTI+-sWYb#ym$R}hE?>Qb86Mgnv>>LZg(J3EnT@5ZC$P&UMSs0?iF;gZj94E2g ze8=VM!Te^u1$W8v^s|L7mgAu8u2Sb!yJNIg=J!U*Ctuh-{_;;*3R$1zhg5ElKc2@w z*4x>(ek^X-;o+a8S%ZH>Bl10%nl)JnEq*5`_b&3j{n#}HpgwdZE?ZF0hC?w?FHAhEoqxgo1h}l=$iqtBeP&(?sij4r}3o{1U#Y>~wyW6I-T|2m76d2SC z<$*5)V&5z4BGU--!s{z>;QLxmQR|(ASgO(MS7Iaz2o=Z89e7*<*YpR1P#JifyEOI`P=p(F)F~^@XG^-l;u5p8hJB7%5qW7bqre~ zdlKtfZ}gTWlvMjru7Ka?*;>G#{{29S2dD_bo5Y zYPMth2IT=to7}NCEY+QRz@QZiA{c^1RddA6ll7=ZK$&X%0#7mZa}+cJuo*+N$@nge zp(r5zCpESc^PS5U(J!jTVLX`q$ern^Kw;0m0{_Cx;^nO$Wo1yk4UmiXP?d*`JpIo1Vvf`STe%9eXQP#{*=%0wzS3()_-0HKL4!a))DtA zoIrthrGLcq;a|C+g={CwkRmOSUPPUo1;5UpBjSK5dpncP9KS=Oi49P!Zgtk(w8{lw zHj*O4JS)kOu!{G2cS$*=mkdvvN$2@+e2$>941eEPhW~-P{igDqSbm#z%}TxOFIVdc zXwnjVGo+a{9fru+>SZFRMFN|g`~hCiyJ9Uk@*O-yqgw%qvn?PP{*>I80mO@EPSazY zTU@xc7;X?OzHcrWU)d<+8m+781RYV%5^(xfwJ(fL6RR z4krxA9%8@xOeS#>_AWa9hCG|3d1;GtLsP`^Rm6ODq51Z!&_z=F=y2zkN_>%;zIE2l zi~8GOgCp)gL&um|8iIETU!sp%R~85tO#V0yAAQuO$bu(dY=mrz z67spTFOvHm?=YixN^2(4w>NfdGQNrjB&p z1X!U1xpz4@S8$EfeXvb!J?Q2$*M3sgWxgIDMsy;@$rRNYB|f3HPbku=tvEp>7Dk&? z9J7&$GCRqYYR_QcsfO)@N5j|$DxC=Omxu=%t4d{ydK18o(&w1Gf*3ssDO!B&OyZae zOkZx#T1LHIO0!@xceAODy~B!mk-PWqTLUhz>tvB)SDAxf{b=lE1$q6^l{=@;{1@TR z74LOaKHqiL>SeR_uhpj+`S_&sXG1-i_{*b-$afapU70Nf9Xv$EY&PNEhc$csa<8OO z&^PeGXO0h&`7wQMozgdf6`d%UlK_ZcAJBur7Rdf*WwB#4_8# zO<1dw+t~EsKXxQsA2@LBh{9%0Uvd`j1?QhPrZV(;w|eRo&B7}05^`C85bni$a?;qF z)ZcRQsa}`(H!=|lY>Ct;2K;oI;lWXw5LYG?yZ+9VjuYTWRJemrcO@68jlDgh7;q?> z%ij=D?X7WvL&eY<15@6AQm;*YJ#ZX~a8ciCosvIj5>p`R`PC-32Ne@AVHCYgSgp_u zX97qxsyb(&8FX4>^byQ8TZ`g2d#!tq*2Cw$#^djE_jV%3nL;~2`c^dHIZVpkzbKWP zF&U-qe=v5JQE@bFgMcBpy9FDD!QI{6U4y&3ySqz}0KpxCJHcTfNFX6N1a}A?B*}K3 z=iU8w&-r%G{%EF$sUE7UPBS&tb>Ej|)97Tt@=yPc(T~TLfz5PfSj0jYS&!qppK37v zc%lxu<_8r`H+@A2g%j@ltOiXtO`g!!!y44=d7K#^nRX{5GP>{z9+yBW%B@x}9-HH( z^0jo$S9xbOk`pe0eP)Jir7Ar=D=)!nN@e*HCs=gtsnADD&0&NSxAZ$eisH%O#z0MI zE&)!O0MTS(vOMDwb+^xdJEHj(1HF$w?{)@1u6Zb(IYsCWm1Ita1Eug2&4Ifz>~(|` z>YD~y(~_s#J$;{{MU_Ok!&G+gG;gA%6@T?z;~{^Zn{?sHHGy2zs_lriAfh8+C21Qb{G&8S~tNWOUq`CO!B7M*4#>Rm{5?TCX|f#wyAe@q7pZYN>>Yx5gwCe?9qtWWOHV}k3A;xH|^V9Jc3Ar zFU)s*y1JeU#n)>_KrU0{{h;M@zred}uaU@wX3WubdzS?FuAtKL&wKAI=HqK8ULzV) zzm<8tKeCBGy)~$9d@ZYtw0X=`Fae<|tfFfufqh!F`YjqIvpUj1jAQM2rElskMwcwB8} z@QunXPX8XQz)m>T<=bnV*?zBl6l7ZlVMeAo-m{Q#c&=j^EFI0(&m|li(-Q(g9zVe| z_GmX^jFGcbh?UL>yIritk}@8Q=w#vj`}s`ulirH6=JF8b{P*y zd&mt+-;glVQ*;i0P=et)jpu&bPbhaAW8#=uC`i+}NKeabQ*X6Pj!nN#?5(O-v%g`q-ZFRe? z9%o~PK3?*5&i#oAAlMH$uVj1v)X4wAp&ZR3Cw$xY@chN3e7CO=)bdOS)peDSHgs4q zKBJk}R}DwDcum6Bj!^p06z?)SmM@sibzEt(UTunKQcZdpX6ks{lM>Obxt#^0 zxcbU-29EwyxT(cu9~CZKZo(rB?Sd$7y;zU?Xdss5-0ve7tnTkBFRI^++&MCy<%`?IadlQ6#?%t7v;FJwX9!e;EX~=pZ6)y1*Q#Hd#iFiGodvx zsrpGWA0~uVyNLF|{&K6cpSW&2`~^Onxa-82R0@FtGD#AT$B20}Ld;3i9FZ0ZlT**^ zZ@5aXO)10AE({C7ce_ z8b1U46?Pq^8oJ9+C;=2rj?$Augq0zzmlP)H{9W(+iJS3#l=lag_6F<`KXsalX2Ef5 z30?1s;#`#O0;;s93k*QcaRcm8wrFJ4f}WX3%AkSY!An)^pSmBv+ET%&Whs+yA@^rZ zpA*!CE;0@@`nZzP2w+dQId6>nvkp2Jp>faNI0I?SSfTuL2qcHypj}}sD~lr6Q*&QG zci`com5p($2JQ2Q4LT0SP=vM-e6!{atPhCx&_L}u1*$X?GI_hqPO>!te4!0g^aZ*@ z$Qh>O5EXu6N|=`y;i4t?rr&K+^BWyLjiY>EwuxCCxwu7~YI#?3$wsC(r>BX)oBoj1 z=yP{Av!$Nnta zI#(}XQP(ZwP7@3?v^(3c?{X?a?RzID_vty^t*G=vnXs^8b zNfFW>2!5PlD)#2zd0so+-0z|~%2ks2@S@hfwr`&qZrTT)qG^^DtF6NusHKMzGw?VOxSti(LfP zath2a+$CzCOP44C!3}F!of!`++uS^|bIl}L`&B)PTO}yBc18vbMx&?Is6MJ(*Jx31 zv-QIpCzVg$d-p~OdgCD;w&&T5q8LbQN}D}Wtrmw>y)Viiz*dk0cA$) zp6Kqd(U3G;xbM=K62pDiXRFtx-C%JfaJ5zaH3_w{j6xKdWsSKTYs;8&Uzus${BI)f zj)RBp1oE0C^A6g>3Y4H=DBWx0%nj+p`9ByNE({YU@<)na*)?#?TG`G%cfsV-ds8KD z`URy6oc(Nb3iIm5lZJcFL2&-9Bf;HAgV^VSe@7ZGViGszo0Ue3Ym|0wUe2pP1SP-2 zqeW@<{aKpjqa0aXKGRtF)EjNW7bOruj;2b9#lVqXe)%Ck_AN9ybnid<^0;jgGi zJ+a{KE`)4h@5L7GB-F^QKQ_Bomb1Uz$F_$_e*Qh zBkQDglxyEbfLY-kIiXl4BFpi`{($X-Jzs5Qp0}Z$7~&6{ya*};g5E5u2IQ)uRT5Z{ zm+ZH3o}L$O@%-o8KiZ@V-Z5dfpheL~tu}~qo*4yq(dSfc!1Ark8)tTB{4DU%(ZzkM zh4sZsT#e9rTi_iQeYD(#%BfW3xt|JfM|chP2_jTgC@?wQblUI1AtIQ`utqIz;8Py$ z0dkb}dD0A$h^57~njf>hBei_CKzfwKx*u~PRt-=G#WTbrI#!Wekl_f-;fzsqsHaYN zv^}+S1tbj3dqz{6c~@-y8}+uY`v!oj~#lz%8{zz^#A+5EYz zs8d3>{LndTN=}ZbMIrSEAv8|AM zK>;v?sQUz4;$`%CTyNeOvoY}_#h>P*@yV};w4TAIQ_poTyW}&ZZ>q3)Y!Phv;UR=o z0`u~WinncB(;a-jg{@8moW!DP4qraXqOL%iT}VhoNJ&IKdla7OWMh*K522;D0^twbPWPs- zoWFdrx~mE+y>34--yTguo7b9|pF=Z9<(b0^8S(d^vdM6lUs^2WAzSdSD2$>q=2NA8 zPe)WrH>=e=LsS6j?+%-g-j>Uy_qZSOWjq?U3G6sJcF;9c&Lj<`;Jo`Ti=ZqrJ^bGA z441^z_}hjtGyW$ugQqwzB~&#aT-Ov>e6#Tubd6{_`or6WYQqgze(4=Iwon5Y{acBB z0X3(~K#^DQ8|FxkgQMtN_vsZ{{k7s3zuOyXVHlz7wWuvNe4t6lCp_|v zn#^FU(bT>@@6JR|Z#S>oZ?~mc%o8)0^eA6yczWK6etj6C!jUE4i&n^Ux2*w@ zv@MX?u-n_lSwNCHtr1&WRr8(Q>U8o(8Ctm4Sz7VD&jV+SqLiN2-9|>?@NX)i;omD` z8jCR@UR#Flp7Js9VD}04N~O)6xAv{iT(J2c-}IcLK8>-$o(-kkV^pm1)gwS;(=EB zjl(Ju5PV3}jzRckJ7hgd-)A*TY=Bb8cY*lr#?lQ53v1PMS&rns+XoHy9W(7Yy}FUj zE@i%0IDuZM$s?WM_F=tl9n?-xyd{eytkl#O+(^`8C+< zhl(AsdP@K9%JJ-jj9$URX%m&+j1~o{L$G?y;#iRqi~Gf^@xP1s|f;C&<*4Fclw2MUsTS3pOF$r z6Q6EaQ}!(>9R}JwwhjfG*$%{&wa*NWj^ISiiQt>|GmO)E%y&dDB-1@JIE%d7?`oNU z4<^8-#@9cAfW!jWj628s*7-6$kPy^AdsG{Rof@kiG;y1;En-y$o(@E7B zl%qf0pmRzA!N-DG17@NyRD9$7#B zrhzE`beTk{xjf~01$Z}wq!!(Tz4m;%8SM^?iVnr2N}~hBrQrE=eZTD4lZ3QY#cP2% ziNX&dJ@guzw;Qtb9ddgjrB7;)I2k*##pn;J_?Us-Y5As=N}_HUm)L_FnZp+ zLR+iuUE09-E8g^qa?~Smj3dDU;>}*~Q#M6}I6>(ooi$OJw?nDwMO4)5lk`Ldd`nU* zy@GmY-B<s4d0%nF zN;KDMH`Ft=PY|wlG;EirqBkG=oPC17ge|YWDU!>b^66=;R9Gf_-*INov}wawt?LqV zQP;NEc$dG^7sb#qMDO&jGHMQ`kIaYid=+h;PzvWz%?PLS-r%XY?jI4gng_|3lT{V> zjosSdLgR|pU-KpoFmD`dG}+3ZuTO7eRo~@ER4Cd}pE5bBlW3nr4^qh1IJQtuh(CU3 z#LQ-l-B_zvJbx>mJKpuYUCf}-jk@Ah*1tg1h_=~a;xg`fyjbN^U+w`inK5^;KnaT@ zVmyEh47Hsv+LGGbD=`WgD#6i0?ba0WoH;(p8@EV2R9}i<)p+%9j2_}-&oq8ivf_RB zDhohw-lvE<`^>oH9~)oD5Nn&ee*7*CTzEIeE?eE5H}PL+*aC8CH&_vgar&cJR0=MT z95p9iUBQYNpY{+*!I0*%(>$BUj-ki|)&@?N&m49s0p`s^nWFq9X5z6A)w3uvV?a8N z<8_i?j!~_kz9))%%z1PmH(EGaDbhQ~K#Esv9Le-JSEX~#JSe7)$ zsF9zfOBwq&)7F0bi*3Lp9n({#w;ryl_5W~FoP_e^9;UC*F~A3_Z+H;xZMq=qa@?Lo zKulQ_zDMU)MWgDvbW?aW?Sbpdc!GW!lwhNE@A$44Q=A5C@V^LaXl{6Xs*pCR((YU* z@kf7l=|0)bZU2Bv;@18=jY`om1dumA?RyTpF3Sjtk^&-34k5#j^bgjX9rd^luRH5Q z24oIADT5+RS04n?moqFJ2Prr4#71Lu70P0ylK?M+ySslirE zl5I_%=Yi|Pj6^g!etnNQn*(-+m5&4rYEb+x0J||q^yQB7_`G%uU^gxdpHB$oKeW^* z#30{r(Fl`xtg7AwTgDGcZ_Dd0(2n4?W3{fCkD^Ffkl&;1ae1yr-;x(C_+qmA;-Dsj0xi~hd4wo0oS zHkV>k@;sr$$ZH50=NmuSz=lttn1$xdo|{L%c(@n-meCK1A9%^g1)c`b6YNpJ*83h?%vADkmnHtZd4M={B$&~iU(ap zeN0ae!R*yJU&*kSd!UoNYLA}#e5i*O`u*mv)1Q&Xm|W72vZ1bnUGzKLmhdh+$y0qMpRkZu^%Q3Qf;D)fv&qwPjwMsepV0J%)W@c-l|xsZjkcZ<$Lj2MqrMwl8<0!){0$uOZ2BE)hxd z9unB=R~n*jm|Q&zauY&P`w0 z(PU-CNXhz9Meaj61%Io4TPJ?+TQzxH#b=qMrr1Xc-BG@$W@S&?&!*c_65&gmOE#g` zPNB}`3jQ3M6Eid1J%4|v`h(PG=XPmQlWta#P2pnHhvE~X9{nyGR%4ON%(bk_`H`{E zOhH$KS1{l72f32j40?B3D@FQFNW~IwoQ4Ud<8V*3lf&AtpYu8KeKQX0*O$!a4cY3n> zkBoEqiQsenUl~WCgM=gflho3A-W9hOQ7IAD#AoloMivb6^zVd;?nmCa zE@#BK&8B@_=v@Ol0q&R=f^kHAyuG?6g3U)-J~`g|a4#u83M1(ek5r#Z@N=z{j3zdb z@Nu%GM%+z%<#UYCxWEBrEjb=IS=szb>uzF5Hc#5(5t8FeLG$N{|1c# zX0+2s(&6osw@GQ^m2VhBytLVd+UW3MP{4??`0t!jmHayKpCEJ94&t?*aJZXy2J53E zFlUA<^yN5f%6y~mvf-xA{GYt~YOA7xqn%B)i5REs6g$e!aNFE>{{$57Vn_z@uq^3aOmU-HEv4QDc9 z0*yFt7RNMJL_M5~yPcarC!@TVwCrA`&dnCit0i*(x(3Ftw}L`^R6FTv3i>f3>1}Bc zK*Yc>(QT~^eg;2;h*-uL8TY#2V|cDJ^XTnkmKHN@7I|u$zcP1HpQcENSB1Jm7d*uF z>&ui{Z@^TuY+0y9g4;hiVC)(1y)v06;X2?EyPS2CdmLMBMMz_BD1>8z=nXSAZHoIbl!pKTe1cGcPi zWl0u!c47rZY zWJ+crdxe(RCM2}8a=&q*_$YB>)Qa(o;y6gpL6W8ft7PQ>!c+}4(`-gjKfcXbN`f-c zcZgRndoXBOMl6%gge!B;-Q~MzJn-DU4vW>EKBd@IgLx%XLT*WiDU&0+mbJ|X^RTUf z{JD_bkn4fh`by5Bv(#nnFNuRw_pQa?bkBqIe2@H*G9ziAv9BRSkcRqq#u@4LMARbP z0ra1h975jdD5TeS7@RU))iHBXiKj2XvD-Qo%%4m_yxM<3oP~sR_B4<%7%N)o=iC3} zo_C1+LEj$AJVBgm7X9?dKLL0+HOTwvG|vG3l8SOD8WbG)!t{nr_+Jl);$IJ^=d7{# zkGl05&cy%na41RD>H!bOqp#XjL@AoebPM!nK1wC)HwMbPU)hsCxi(#a?D(PV!s%C? z16ye%!*%`b*~mhFtIOl(6TIAY;4R{tvotm!`Fn=;ky16VHwC0efQ+C?^Psd}Mi`ajTBZiieAwCK(0x*;Gxy?|}%we>E`nRHx-$n872D5?*>b{-ZA zC6_sE8Z@D?eaGjQq5%sOliTStox)-+=ddm0cpKn6gpF64XLGLiLVu&1TA$w!xHM3# z>Kb3g{9RQUoV?dVa}?C~){lt$Rb+ z5BKq#g&gYMJ(eP!Klsi9fHqPLt3@*EG( z(NFe1vb0`R*lN!K~=R`78S$Q5XH)TwfzKD!gzBabW?t~sxv9P z2dx*B360jYf2X#~z?rOw&|n`TO`oR1)-zkUY;+ZMpCza3pj)&#I9-%<1TRB%qKZ4) zFS#%Nd5}&wZav)Cw_(ks5Uc#>aXN#~3he1LD_J+D)jZ!9&fc9-;g$BTLeiH6>aFj3 zvPq`&1n8E(U#;LR!jY&&i@j@G(p~J+CP{D2tub92GT6EPc+NV-;n+#eVe+02uGllN zg8z@afd2bhtkD9i?qvZ@(GB(O`VCch!<9kt&uCdwA|ewCpQda_f#?aMXVxYC$)d>@Ra+U&lTFn|bmp0) zN=QPkBHd>GRNc}8?mIQcokjL6S%=ODSM(y~&f;E_q2usAaLMJ9h1&fb+ONBA!;jHY z6_K^k8M&+W&xiu@Cp;BASI2`;RA44yoUa8IDWNLI&2_2c!_VGFwA*@KUV79)s;qkn z5jVt$x8L6)oCnL*f3?yvUfPC4q*x7~w_>fSxa;^ukZ>O(#BUTb|3JIy6<{AdmGz{| zXo*I+xDR1Gs1-?%IV=5rBrAow*@VkC zz^T+?kfi4tN08r|a}xe#pgv$}iIQvMm#2T^=`6n3p5$&1N_lS?$Lqfu zP9l-5?8aHOKFq1x_cQazQ|B%F!6lfl8o$2oObLBW-RtzBC)E)n0zbAW6w`zTkqVuedp>uvX4SOrrH29L=$!%J2vGjRTXki16TW7KM*s;5o zcaJ~>(t7;sQ(j<9x${i)a_QKL8`azMU!^R6HJn-py!}@Egq(G zF*&o)l3Zi?TzWk|=;<{=6tKq&@O=A4x65wE!;eBnmN&+% z{%blqUs+I>`$NJ>>T?h^Czr9O``(-Y`vUr(l{3qME@mt~N`oKtOW)3%_k;S5 z+p(xEhVe+VtyR`=srxJAOFPzDz|k;VdGh?DTFpl%3y8M#i7E<8i+ZoQ^c+{?^x2pR zcpgfFD7^j{uqA9_XW1*l{~M-XcI3GeW!MN@?+1GC@~~VDQDIwA2QlK{YeWj=BuhFN8L?FSR!$puYj*VZf#%0l z4~BfBF(ERnKI*xQibQe+bh+if!;{KUkU znoDT8cGNX77jUc?t+0)1AN8LpLZsBcqnXeKxyT>4B`1L;m-x4owN8n6*24g!NB$@^ zeEwDd5N{?qIPot2j*UXOw>fflw!)hBHLW;9dcTlzDl7|cKv&dWJ+01PJwz;JTFuwCuw)@jqlR*p(2SPf*IMyL{d ze)3Zl*mlzucGts!LNON4EI{!$tkL4_&Nay-5`6b>c%wl9LdVLy(LhEFJb0l$s=xoi zaMZ;*rtfpbA+qomYFDDAz44Bx!kV>FAWLAwolHTGO!BLRPvp>(P{69ejev5rL;aU$ zL)5ads|!(Z%%-!JXYc(_bU+f_$NS?9T9P0VsGX(kXDqh2Ppb!9H07q2l>mg3hdZQW zr6`@4&VaKeJeLKvX4V29fpMs?Ax!YDX$&}h60?!$Gr0^@&|HS_t0ZX@36Ih!)NqQE z)ShrBciGix!K!7z7cLcTh&`dL`0|kKD zp&R-JQUh!G2h37W0Xx)$FyM#WxxsMrBKRtbFW_>252lwm^myE?3i+%!RhjefWr=h8 z7_XS(Y3cZC3V)pWQSr|h_=%02T065Plg$#9@aqM=n;3JfyzZ2roM7)vrNA{s& z0WW9cSQFhqpls@x7t-hAwAYYjlmi8;(b=S?H`6x7ZE{8MLPKrHs_$S)@M!q|fHflO z)$WiiD4LAU$QZaZz_oHF(%c-_w{T+~rgQEKvp}z80=WZ9H4+smf<<8tgM(Z7Ns*k#VtJc+v~y3(peY)BTthsO)Y z6Ep8Az_-;0|oU|&b)9!emn~HVSu_3SQ&=#brj29 zb+yN(>aG?I2D&WyjunHLZwby!%IZ8aO2HP@{8$}hFR@iNU zogsqJO?)-46+%C$%I}Nn?HhncX{(UN+ya4_wo*vbgJvKfuuNKT==M|L8Q^Ll0vk^Y zWar(X0qz-h3j|r&NN%zAk{&+ zQ{ww0UPw?bEpT-ZSRrDH4yd#OuC{2ssBh_J+njU;JdrM~0(PE4MqF(=1c%wkqN@J= zG(;f{H52kGPd+2A2l%ZOZ3cv0C>J7O237#CMnK}l@As%B=`Nwt8PeFY8Nd~3kE(&J z0Q4|K!Htt$AtIA117asXvzXG82ElEMqgs&C<$NEAWdi&;uMMvog~?DXYkE3A4-I&ku?VOMw#>_aMAe zP|LWJ^wU8?PAPDJ#_`z+Q0}{|I^skwmAmb27E~@q+RGa(M@7`*jFd+;gb+i5Yh(tN z0>gXO`qVLTLS9{=!~bB)z#sgQMFl&~wFYa6hk_#-Ld|X?FOD9;E={9{+0hydlS_qD zkyeJCl|F&pPMIWh4*ZoP_~N6(^imzm7-{w-5?o*2KhXG+zY-2v`1jj!fK8)Q==jxD zXuk5qTd?=|g!s6U@2<;mGBjBZm<$fAoi_&IO$U4c&k#atOCkPT75WA9x@%qR*Ofu> zxxPOkly8b?$2L4@(3%;lBJu|I(@aYF*#sl6~ zayi+1YszP1oPi9!)j*ZQ_=q=oxBd_ihE3LWILa{7EQ7&5ysp}P{E*R1p<3yw8}`#B z33U}Bw{p--l+FXR-_Pr>k&|NI?APCl7$=NyGLML#IgzO`l_JBe@4qJ;VcKdZk=?)+ z!fbK%@DaZGl$so8P*tWWjay1hCasBECzX#`A9-!k6NN$w7PnBm=EzP+UH-+!_hAly`$8=NX&bXHRbDDLwa>mOeDd9akRS<3A_`w_ zU+`6~o9x+j-*|BGM?%sp9YkS^i)=gzxT+X)ErIFXrV!Eli}W|7lEr&7m0z#f>qAc5 z9f}A7(b*~uhEN0+Sg?a(vSUb;bi5y`?0u+QEX?zbp)QIPU(O_7)a}sGL8@)^G4X`u z420F9Hm^xZke`M$&lsa?f<*5R(n-;{378CNE16K8>3jyHQ{o$F3|o)4SC#kM^hdPc zoPBkwY_WVs0>n6q5f7?meTyAqY+3-wVZwxK8UcVD0|3Zj0)U(?2LN(q0V_-lp=yT? z#*Y!=n)CTJMi?XP^I@u_%)}(qa8G>;!c|MR|4i?sazkzbB3+k18$I}NqPbilFi|Yf zAD$;d(ya7TJs}@2t4yz6`0nPBP*;`cTcI3nl(G29P2g6faUw;|j1iDN*bs?ij}9p{ zJ-Hw~niqC>pR*3BgN-7GYKf@ekYMdkbK&@Qq?UMe#FTh|V=}KBc zw+Yr%;}dnztVwaSEBU+D%|@1<_ZdiloJVtFMODNWq+QAH;ANf zh$L{G*CD;ii2reIv`hrQ8K{O=*+Ie}tCcUtK_UMjBC>XmI1T?(!RM~vt9YaLSuXi% zU0VNViiVX1!a0i*QsMGkRHB9I*~LjA9f7(QP+(&pSTsVS`k?|~apwQBI2agaRz(%4 zJ2apqm_Lb`dM5rW%}ctrf5MJJsAmz(t}{F=9JH~bSfp!?=;_OB{?FzI^khOl_6k;lG`XbFC z!I*A6J*0n}Oruq0bNiJ0?Hy!r>I+jYuLdglJ{268o`;4WK~4*IA=O-kbxbW(h7$Eg za5-@YhjTVqdcb>5dt!^9G;ENP1}RFaM_=H15|MU~UrtQ2Ko@kJ&}vivJ{JA+@Dwwi z&ntDWtO_WGChf$I^hol&C$6jcueP=ycEgbDHPN~Bwl<@gHP_i9hS3*iL_Ebiz` z|366_VmW;I*)AD0uAP1}j(e|G=#(~u0~H`~^uURAFr^N{<;2L;@fzr`1E8ebVvq&C z%y%~(qPsk)_#p|xT{kLBV)@{Z&;I=#<*)s%t=tyj_H0oB5{L5tM&g+Mhs1HA1)sLF zWw-Maog_&_xIH{vVFR8H^PL?);=}_aj-UfYLk+a}R*{!82_2CghXkF1nv)pgzaeq@ z020UV9}*`XAaT%(%12V-F#jQOcFQ0Ql1*Prd;qIv$V^BwGF%u71F;wTHTnUFn|Mn( z3emFE-;Vu&WXD>fQ~VwlAa5$L-|Y%pzNFkFafr^gB4dn0VRn?2#sqiMKp5R-cI-bR zWf3(kFsYdn8YS}qU?-9kO^1Ln9~q+sTPiXfc*m93QHWd{RYO(ufQ=)|%qw@m5jJ5S z{~2UUK^-0u2aq_d0EuG`kT_m{Nt^->4RoA7(9E*g*Hm@0w*QbgvjB;6IKOuUkT`|^ zk~o404H9Q8*rSJ~c*vNV>m&zd*H-lG!1%tf6o;(*8vgi5_bUZ?Fd2DPN;^2sh9pFs+UQnvP}`C)S}72bv8YxokHM+h)%_j@>X4+Z=?9 z41^%3$7563%J&(|{&j860TahCa@i1sJgBh@7&p!d;uJ()<4^Rf=*SD4aoAKdz+H>Q zK=pfqFd%0yF}TmyX*R(!F72=DeSySq z(&ty5nnKAu>YMhA0sGI+l`5miWjnJZ7>pv$xV;0>gj}P5=!CN{Y>|}4gl8EgK@7Mz z#MDU^OgZ>zCRCv=eHQMDFCPgl}=*MPa-y#ed1uALjNK|2^2N~eV@on z%`LttbohPFIfQKv#W;#_lG!-2X=B62!ja{GKaSvj%}3hj}WfgZB3S1{(RKAQfo?W3;rMZm#MpxwxM6!H0adIT5v?eD}9ulZy{ z@hD&ZDlH32OJ>F$FQ!S~=C!j4TA#1#$U?S9g}~V_DM$916Y)EG*g%{pPJ0(Y+|vi? z+Z16?4~x(H6r6oFz&$R)&Yr+#wf8FhNg%zIMknp405@hTaf{(EeJc;_#UGLTOL{qv zh#N(=Q+8qwP^&?{>(b+N2t4x**sMBFcirur;#hF~xRk2A>_2+ulX`f=S;ttdS#9Gh z)CjXjm3Lx{%qi~X83FR<8lBm%W1QEIDEMe^d(L87_h36&=3zf#eUnx3{Xkl7FRyn( zA*;OQ`*_vZh3Tv7G;~xMOY}U)BHe<-Z~t8at@`Jiq9@-rj#wq z&a@HB(Ma%wWMsA_2y{Q?{UtJx7){gWn~w+u{3Ev>9)RYr{=5NQ*R zwiiY=$OHXPnnhIuY@tI-@64eE{wr^+u-kMR3XCCpE?SJe5?UX(7o0pPOLS@y3C&YX z3}tA7mE1eRo0bAPyj6XkpSPoJf`mNt3B<0v2JucKtsGdB+^OH~i`YZN%C}`oX)u*} ztaRW6c}5#;@*F2CH~ZJ|)6V&oCEyzpHMP#$pN*s3IF>Hv@4)V$)HlqHW9vJW4bbz` zd_MAEAh+bH#H%!%VH;LeAJ3%;Jdfuv<@Dy9sAy%r&>!ZVMEl`azDfYVhr>pr^a&xJ zhdJ%dAyKJs*jG$6Q7T?+joNK_L4@AJk=J}r z&SCAvVU=~Pa=P{t{#NJ;YQ-y}iC-ol6Z0jZ!5)u7uN&Wn>-ZrqWiv)UP8edj1b3iH z-jS=7K$*T`{1h>*>OZPaAsWresko(7+poyp1J6UMNlek9vpzT~!>1+^8m0#L>0z)He zR6*Ab!inK>r;0o10KVpl*VKID^O4vh~#hH2-nKhYLl(X#&qeHL~G) zVPcH6ngDUt63l)hSdm5H z($$s)yITP%NLTFNzOU=@)OoiSsugK=S!T^CUK%`;!>Sr<(HT48CNS`S1gemF`so%{%)DGH)1WqnraKCRgZSU)t&dJT1%@uBky`jGi`g17CE3 z{G9YL6}tDgXSDQUJ6knNnl`^-htP@OJ-_g%3e+Gh@7;7HXN2s_{4fYS+36+kh($K= zqiT96h;DbQi@uMG^{h6=)|jIP@8tT?n}2VmTOcZ#dW?NKb^a}KvK;V!S(?7uyDU1E z(4~MMPiMtIY*}AZ$MYQ)Qx<4fcN^DZ)jS4BtVF~s@hyXoHo~bNa>J4~{@kjcq$PLo zOW+p2qOyF9qGfWyqKesb08>OWQ^@>sxqO4bc&Uacwuo2lMvUqp--1ehoJl^`uJkF5 zgPY?i#bwlk<&bnr5Wh9DIIm5FI*-P4N!|X;f-AzMSlf#r%TiqS==vfu(JJLp&7@T3jwRkH7Gva+5{7d%bg+1!M553# zBomOJ!I726ibX%w8$Q}K=u6JhAFP|ap;!N%QO5UQsF$3FtGAo5Fq@PXo3yo$y`{CL zoaDcl*gvnZX|ZX0n7eqonR{5fSo({KvZ?>w^8)>4&NSIntgYl%=wCHYg;4p3nFU-r3#@nxw#wWu~v7Rn{e^|0; zHn-MF9v%c^$(EcH^fOAw14daX{ja&D>+Z$&r5nrc-;Zp6j(5d=FYWJ|{@y~{JZ)iK zoA~pKM z+317Vb5E$t;p5eZKfiYWy!@QY`{B#|?e4~o@_sAW^?iFIWxol&gTH(U*)LBfq5P{m z*ul8p0n(NNqK*P$-=4Z%b?lheYz0t+AuXZbtmmZBs}F5gSYJ!t+Qq(Xb{vQcrKH|v z+>AYZNF_Qo7Ih3X{BX0@dEQF*`&n0XP4@uux%2mm10if01Bn%<_|&0BCjOJI*i!x& z2wG`;pD=ZL*ezTi9a`XhHmeTV8kcW*rt zVP(l?mp9BsiqyJK6L(RU+kIla^amd^s-oFmCd6qZv0c)3xe?v&i$=_}$}#|yIm5;+ z5Qf>I13($vdrq{Qzd+e@+&@5B7U{VROcMZ{0G~6E@0A)rR(DP13f|9T5%rV4rPsTR zCz89zd|cB#Bo=;q<0&>fx~-9r@|%G$T6ssj+9*SaGgIe>d`0r13BsX6T;2>K4MF4hSmx$0zgU@LggCe6qZM ze6rK5r_)Fbl|gqO1gqB_Hu@~ahbLc?l%^|^#J4>E2T%7H9oO5x4?9MqiIYYX+cq29 zw(T@*Y@>0~m~Ct~wr$(?GyVSV|MPlg4c5%wYwzp&oafQ@2UDua-T%Z-jtS(G<$-)M z=sst|1Fqy~tMqfGz=Q!)R!fSV00nlPM8(AG1gVAD!jw_1nHS!K0tsTe;ss zgKpo2eIuj|(?; z2SIM@iyyqzb8C}I(~+}TNo&_e&gggPD7)Acic^t+?}|K-8gY6TdOjubeR-Jx4ev>p zSugi&0qB~E!c0HnznSXiemum&k*xOp6gnoIA;8va_?%#S{zXJrc2(l^R3Y!h9;*fU1~V&bOg)G^!%W1Zw9JsdPYYAqUck$bS#$-2hr zM75x&%Ywhq!-CBm&f&=a&iv2Kt7iyhL zE3kQUzdO~(hqH?>3F8AlTpdahGZ~4)p(c|UiPcu`__t+jZJ1GLPCCa{cn-JqmQU6MSm5Dj4vzd~@C8O&9U-3)p# zZ;X9A7I5rjXkNmjiB2P8fkq=yaDzB9Kj1sQ@pHL>j znVcvSJAyF(p}x5k^Yw(p~!kz|FuBe;rt6 zbz+OkDB5TNtm}k>fU*t#-_~&K9+Ur`bZ3Y`ekrZPG@fcPQ(QNN6f&17&8~8*J-j?R$+S zo6k1rFTyTUo$=pc41cUXT0qsZ(>z$*71SZqcaDh-zheCZ$|}V`K-o+)S<|^_O$?q2 z(3iM1@UMD?YU2Y>lW)f;lt*LzZ_=TSnTNqn`c78v8nxnh zggB-PO8)PkR?V)+xax+sH^#g1jGkJpT7MZN532akINKJn-drpUsD=2tOIdW1NdMU> z(J)ee{fNQb42TJFg3m>bu*WqnA}NPnSsS?@F;T!msE5N%{`-y-j9C2^84v!nN5cxZ zV6VgQXpzcTU8^K)TY>g2fa<0k;nS^OMnlSc1uOpRNEghcYqe$|@yg4&Z8t~|)ml$0 znD|^gRnTagYxVj^da$D zkYtV(1EC0F9|KJ6yoX#nEpk2+8Y#F3PF)ZktWvHHMHx)-p=hFgb-+R7>o~_}Et@z< z0?e>Rg7mkPgL0YS1cY!>#vJ^Q?eN3|^}6qvV+(!-O9CNfD^SQFq)f}-CMB@+)Wqob z($emCaF%aH%y3QV(3)m-9$Yex(;=rU%$x=zH3#I+6JHX*;HX!=Iz zfz?hs&c462ci*9D%oGT#c-%Whs^{H-nsyS@e;R{0uqKoQu@Y|l3IFo5+?o~Kt$8MQ`{qk4Z_N@U zkWl3`;UVDF8_1Jrr{@}T8|#zbRbRL9c;xP-tsMk@XZx|;!l!p+5apzC@gFgfMs+M% zB?Co+YnQNZ1w^s1^H1yO5atAk5n9bo87Q4Q=Xz&;!$K0GUDs!;n}%JH3(4uj@!rVYAQjTp3iY8Y+YyPw9h|MeYtSvj|3;QJh3JQBOy;VTeI zqvUW1YN&5{V4$dS^7fs2-=27uv-!H{E5Ji|;us#a=3C@u`MJ{2+*tb5?`tQ^y1(z| z4fm)hzDxUMbE-F0KVCO^hFOMtxnZ3etB|S5&R@-m8ilgWQo|2_ehK@@Z~VJV>)P~C z$2V5h_P+h>7o7yZ`?oj$$|-%Z=L`Z*-?OD-?t&=L<+k5$sa9^@qRm(7X0Jpb!UII%ocgQvNah=t-|vAF4*)SHkMCy|6*m~Hi< zxS4vA^q_1-PCm2{?+9PCVY^eEELxvGPf^jsF>~4NQviW&|KL5s4jIH2>Q(@Ay18fl ziJF2QG!r=&w?FXIkMi}Kx}sZJ3RK#*kqVn_slJglBH##C26I!FVm%QnOx;b`5%ISP zF2AZ0D~NJvdmM2az4$PZDUB+Qf_4(?)Z~lE+Em17SjoyZb^7;t%N{UnC+qn8Z^d>p z<6%~U{@2`3rYI`JEI=k|GMT{;Mo-4X*H39|uwfh(SH_Em^mD8@C$z58QDKoF?i`>6 z;4>wTRYyDU7vJC8Ca0BYIOTV%`cFlB}N<5?1_x-m{BV-h&7ZQmW!}+msVX zM|$AV`VD8IgwLMu<*&|vl^ms{B9%cic`{u>oQDH#N){Qg2t;!F^+J|6qKOv?O$sC! z+c3LxB--H$OFzs2+>5P^VF=S`Q=q5MeCMUbkh3!Ls)ayegCa9u3AieV+9dJFQ0_+d z6e-4DU6y{!IvA+ICJKFZZKFb%$J0gmwhIO_1$%3Z*QwJ7?_;nu|7u5`}gP}!RVqvL_Td1^=PW(B6 zZ4lBr>}{!&YS!`}VTSmRFuSg{Yl;Bx?(6d>DV7lLjmCHn8^4KWm1&Es1@y|7Tzk%! zoFDZ0)M_A*DU4GNF+?{|%s`nqN-|VVGWiwmifmn_bsK;?CD-6ckVvUiJ)Uz6v7gSt zP+|fkWyLJrMELAj#^owwT+ns;S|TKcEz^po461&O%XdhDw5*X)K`h>J(Ii>Jfx4x4 zf5}nyWh+4sw|}Yo{uzeFh0>%HHC^$0as+t-naa*RlYJoqCjo^^+Fz*x*0<3vr?$|xZHlEO1T5OCCf5xx5U zb|QA5;F<@e|nj_OR%^d4oENK z0_kPu7Wo(iAieCPMdqJg=Ct(l4|TcG3{+X~LMc$j9Rh4j_Ujmd9Hyt2O}V9qZ{)?z z+RBpe7pi2FlCL6MKqPqa`)antjq)&@0L!1YxFg?>7^e9J!-kK(k*Okw1j3f!+2$V< zIu*DQQe^-6Nh6s_+*0vAWSX|c1Dx_`!iB(mZ0;Bz>PG4eS3INpmWf(VExLe0T^9k> z-5)(pMVN_T2fUQB35w|iR`Ils&bebmwh~63XRX!mL^fVHh_-902QT}n+bC)2rp~Q zb4Lc@WhDeFAiOLyX9VB9o_U)8%u)R7K`|WTLpTpFEZ+H z4OMZJAf)^-;b1}-nUFB>8GLBY^dc;IZXD;2l4RTYy?Uv%77Nu3f-`ARA(xWcxTkR0 zqAo<1*x+c!zbCyEh0EZhWDg5#>MCcp#QpM6<)b3Z5Rw@p;`e!Jws#mMVcseDXU@8w zlLMAXszC*7fDU>pBzNxcu7md-q5N^G0Vmm+`o7AX8Lp$p{3+p7!eGd2f)(A@U4j+4 ziRlu|3yNW#Ri>9#y+Xda_|2D-Y>T?msq=@C?z#3&6OFYL-$o(eGVdMt9BuG;zw=9aVw;U?30yA@AbBErFzQc4_=~Mp}+Xi=7+qE;2kT* zL|h#uxuQJ<`7t0QI)L+pvQd|0W>$gMO#}=D*vA6Y?h@A_4mis`gxw(h0Rf!d zMC0r(n2cYO5l)@mE;p9NN#`OFeGd19KN(X?vF;_R@yn`qP4=$D3yhE`oIVw0_i)4f zLySeh^K?Qq#(j@pKfFMT$NQbA6HxDskHW^lHRwgd^j8Fiu0L!yo8{~J$=0c)vY0c~>?*(sYMJr9KP_o0U-01-vc-^D zghce+KNzJfZb=Ies^E_`7D4Wr90SNblRIGzgA-kKOk|`^&6Pv$j)gQgyEXClf`g~b zLV_pkP-R;@PW+~hgtg4`vnQbkCLLK9N>8O>n=mtb2E9_Y*DV!E8uxq!Y1s=O>fj)&<1VUHvN}fKR`FNgS<}>b{;VB zZ;jF)0zhRJN&KG<H?>cjX85-rW`z&nifki`LR4Fg1z$P)cdIkKXX*w@XiMkq!J_l)2t8ADj4M1n z5L3mUcy(b$uL{N%L?qUh{dXw*?vvL=aEh7OKR%6AaQV|C|7J?-m7e_-LDcQ&Kw{ma zih{Hjg+gQF&&{mqGIkr&*RHCMOD~s;bb*@8QYm6TY0MuA;rCwoTN=O`wAc5?IYgfT z^~Apa|AiA189_J%zbv}{o8(|+Eih@&NmEYz&h3xcQJPv#ZTwiAH9)tjx9v0M(2KurtC zObPmeVG3WaXTQVuEhBxQ0KsW!|AW)S#pjYcm>(lEyZE6RX!FFyqsY|7Hs@yXjy3C+{Qg}%N zA94htX#|q0s0VV#sB(s!C>FUH02)oIq1+Y92-ah)yF5B)BdQG8T>NSzmBuk zQWd3t9k%Amp=JZtiFliiCB91Zt?O};x`r)BU!|>M^;4Qx215DGn#hMgw*kS!a2kRK zqyJ}*X$>EoS?HN(tfYaBI4q}I^bSM}R6lD?j)nQKOu$cDoQ=m%og35sWp#1E$SrLk z0snCBLiCptV>5Sc)r4GUX#_X;6#?8Huyq{W;CcUM9YcNlfdfdfoG z^#GDf&LfC6pcN@RTdg-3PF$r>slViZwW(MegABw*v-^gm^*`RPZhqA0rNwLEaYE^4 z2OW{t79nNwZbuF}e-hN_^+^c$S&dhu>u|Fx(NXPEWu-7WELK_+M&bZ?@|8lMVQ3)kqJ$kjIKHp#V*WT~-4Jv`l zJp7V89t0{MKe=p+m1pKtiEqcV1>Z)#5J!Q6GkdN=iN_&y7C+n25-s)r5J}df_Lstv z5sWw|O^f#Y@tR`xK#2#OS(Ab$Qcmnj^)`YDw3qI-tY_v5mLYI5GW#}psJz?|WU*+w*H0!S=sI6i}ehBsU(Yo9Q?m89i4&Vi=MR9TTj6Q(+L7wuG+qxZu^L!wah=IAuPEOk{gUeEs^dnbi6W%?0{=zNQ_-*1 z6YJ+tFl|Y02cK=uoG&3HCTzZ&dqa2l5P06)?#x&2C&CA^71&I4IErVg{Q)|vww9=o z9`set{=yF0>=r0AS$6)6^aT5;>@oAjUYoq7z&RJWNcwQyG_c|u2aPi-sD9+Xif5$+ zkp%RG#+$g_R59{h8n(e95N?w_31O!4+)hbmUPs*d!+(~!^M%bzu7xDhd<}Yy$cP-Zt;}*TjliAzp7^*4S>GYcCZL@;tcmx5` zkwp{-;MB?HN@Zmme619NKSv7>=|d)j5y$0u2@&VLXpW9Jp)W{)uB+zxW$f%8&*-j3CD{rvD$sUS!S9|t^vsYtH3tQwCp3%gb@6NlOJIH%QW zQ!v+SZfS+$y+b9vXJPT@U%# zRe{mGa|RB(7pNwuzC!4Eu_KtxzDKSkDr#;FpZ!IN<*nY|(|$rFjLqpj!!(Whrvi6P zDZ-C|+3dp*jJ?(Ya4=W2k}6&15X3PUYC{&tYFks9&H4R|VLMZt|HmmRX zfC}BRbKN_bOzGaNL|%!$RaN8-j^)0o z%8?}RY^O4y3?28jF+UV@3V3@pK_9U(tIjUO;nli9;r_-Td;c;cR~0fj&)M|?%z)cN zE%C#3*{Ro&c9F~(Dor2uABp(I8PxjrJY8pZ-`2*_F+QfyY?OA?lKK=n;zDfhaXpTg zXF&Ah6-97H;784xn%2sq8@Al+5{lZzo!opjk?@@p$G_6W!4*o*D7OwLAs+#&Tts%r zJ2+Uu1X21h$c)@kg^i&%)zgQNMiu0u2(wy*Wc;ThFk5OEHo<_7j)do$1$I4>Hp4=v zahSZUd#&H}*TbFQ(-()F9y}nS%oB26xm!M}^VD-rC7~d#D9XVUy${gQ7_-@q`cn3A zZ-2={7u8jfmheq(^HGw$8wHf8^Y|;sp^TM_Zg;34E)85iXmdclmV;itqaRr0b)^Kc zS&eNdW=Yd4QJVsbK2b+z3`H+8HlSJ%vbUh0%C9xA>=^J@!za8#By@py=<3Qh_1Qy; z1O7zTHX3F&hdGt4h`z#oMjz}z_xpHYe*F2GV=p8HsW{@x+VIU;EJ+!XVt5QlN;>V%O3SrQxqb{$Y;GI_c8pC#r{V^Ljo{LJN^ zTjuYY$=UVI_9pQ2!z=8f@Q35WOisIbcG0F7@$`@PcX+pbO644 zOfbYgOuc%&td?#NBpOSX0LU$??%>I}V>sVAhgRmSSIC+IkDn$hk@phzCRm=>$u(^i zTv$^ph%=_z-ehYK6Y`rTM(+zn_M!i_wON^4&U`&yJ}X_!x^Qno_r#;&%@^EYIdvv_Br6L`QW;*cz>A^-xnWD5B^qSzZ zI;LDD&gROkiS3`YTDRSpRuhmV<57NHNSx?yebb42tz+?H(1vUAtcEVXZW%B%>g2qQQkj2{H@e(a zu7P87a~=&o-N~fyTNcLk_u50d?P=IXhoP>&*N9~Bkefy%#gr|5NgfqCUu~^h{pw5d!^8*>Sljk+IK$a>#RP$ zARlTZ7Xv%;XQZ;H|LB1Z(MP_Ns;T(}=)*o2Yh_&NZ8&M%Vt=$zkbKhaWX|)p46~Dc zs(luD;0JGH;C|J#v=bfIV?-vvOT~HWN%5yES+SC^w<8DYrvXit#7dITkL{eVGytmu ze#B&?vxzOXB)I9Z(C`uprYS#7+F<$$;zJB#SucyKUm)AwaE#j}ohmQS9J3`6z zhst`)kdeS&CUmVKV~tMLhO=x=THj(OA>Zr67ebzr77pc$c>wd%N80cH_A|eeB!YVO~Q; ze_JNnSyb#$9h@GG>8lm#Rebop9wYBhY0f=nT!e#*C7c#wlwo{AaQ*x0(0JU0u%63i zUSW6p@s8mklVY~!MD03XFT*cRLwHy5bosmP5skoBpO&GeoN0ozlfZ*X&UTL;2lo;C zMhSZ+Mx3iqjIPl)0?(z@rEl>Or1u>BRsXu8X8D=*kiV?Y=8$!0YVvJ3Nf6F7R7YU4 z{B;jEe7@W2L;5c1F1V;ODSW$@;v-rNw+hon|Lwl@fy?YFln>vq@rPZim=CA?5AVJ5 zI4{%(;22dVs0T{LmEYbRFF5~CNm2JkfP|RDGQ(wmiSPok8zO4`08s&S|7G>)-E435E+5vlc`9UQ@b4f;@f3Y$54#BKPYIP<smtue)@`G)lg5ea-vkg)wtm>pms-G*y1oE0Y1l=)jNqPxMb zMzg0#JKW*GxPmBsC*Hpa%^a2lk@YE*k4Mbc+M1PvlrFRY4`+Du;Z{+@#dh$+7pfh= zB-w_4)4ITMmwN`6g|(ds`;^G9S1zD=@_Okt;9JAF`un5fPY?&=T7=tr3_T&?Kqqk= zPs^&3^zuvvAz0%&{>8lR&^GpM3kUmpuVf~tm2)&JX|Yop11hVu=`VTaSv_mVmjhdT zu$~CpqKKk9&huhtH+Mx(TAhy60eP_$yPz4>G+DC2 zfsW*@^L4;yS|Y%2AHBGy$NG?d>c?BK9_b~?2~H8bku5)uOrz$$-qb0nLflbiCnDA< zi#@nYO^?W?{nN|R!G{af7N=akjK1a$#N$!nQ&(``%J1C&UKO}L9W z`IxvhC!iy%_xFO&*sOf*&JzHq&gMJm9Rt1YEY)3iTJ~*Z9M*s^U(VaOQ20krLgn0} zy`Juim2u&T60aRsNq~@5wT6ie-vc83U3Isq!NmOzvDcEDyoOUG;_O&k&2IS4@ZCro ztfzSC6K`4o9bZ6K%lXzcK~#PS;;}Wk@a6q2mR=+&HmtAcvrb>3{_|GaDF*dyB9DpD z71RD9dL-<)X>gklpQj=O?6q;{RUgHKoRvGA1V%c{ndwvD4?gsMd2^qn;`)o91U7+b z57lh4vxF4Okx@H7g~hn+-+JDF_nbKk6J*eu-Q$?y6-Y~k^SRMUV_YqTs@j@XpSB#~ zHFe%>;p^X6Lyq<`?WUb*ZV1L>p0LZ3s=yhKeV*}LRBJzUNs>fqmnr(Kt^`F0|EQna z257xh)%!=A@nmfrnf-{*S-AirH3HW)9!{Rx zRrm@`Oi~QvKrz1HC&WL9{XXPHQCO4yh+}#%w=lxZf+M|23_PnG=%E1Omp9gsxH`6P z-?>oW$TQlilIxs?vc+((UgHaL`!JcDy@&wS4%}^A+3lS0CENpo{i`Jg^`QZwkQHG- zM5vMLmx(`NI;Rr|=UC%nwWbV*70EL|E)y8FJb1QFdKi!49B?*U{}){OTU-o&eDoAZo_3v9Uo2E zs7i~w$$YB6X*ms)-*HhNfybN(@86^G^VD6jK;r@otc zQjXzTKDicncWmtI*s$ zyS+d4r`8WN zO$^7`1c8hCNnh>-U$~%mF|n-hY3XLv%$;z0^hgJCc;v7{Kn@S4VPYLagEKWRTn6>3 z7-Kkr<1|cJCcT42@{1zAGxwv@L4sQb5e@-pQ&TuKQ2P3Cn0q=qLx~l_dXf89&oo0O zOeI(MMsI@eHYcVoBMKpSrqW$_m8#n1{vuz01YMW~>Hw!9xvo&4guqg6#Q9{w1!m4_ za>T{`Qjuoz*uh;Q$ZnFvDuBo1@E3219lWWLW~&ZEF{oQ=S-!$zo%+eY%X{G61KRM3pA`@o`L`uxn9HWK96Yt^`uON|Vuds06(Q*+qHdeTqx0 z>P#oXM&&jCY8ua13pq#`u!ipG4zreLea3(b0-u%ew3Dqle2sHsjRrH^Tuw9WLgaG# z@R*MH39Awe?Q35sVN*GR1*2J}=dDkfdre6kGPypVG!&x`XoLBKLq%?GY?h~g0*|%) zF-YJ!IfoV^*HdDEqJi(2@3kJ>D^2M2_f;G*Zt5v2Z$0=HT#GS6@EvN!cuWnjr!sk4C#laKRU}h#I7Z+*xaiHz@L?OEVN-2JNQg3 zurya{ze@hMn_Ty*E1S2_`x{+$S6$(y#e9D+f73}v^cM%eta?;w$;e`P31=dcxZ0XskT6zPpt& zI&pS}@Bg>$VR_dyI;ae-oxJWlyjz8fTRFRPj?P)_ z8u0w-d0b;II#GOQc}t)#@t)0HX@sbdRyUga9&(zWcUxo+FG6IglNT&oMY&8olE67kb*KwtBJQ50A!cf@2! z`Q2OUOM(z#i_(@5h^R75Vew-`;J8Baj$6w~{pKuX@N_xd$= z33jV3X4l^(9qe$rMZWz(7@mlpBG3R=fV(_zjA=Y)zYOOutbJL~QA|LpS>y4^uk&(( zI+a4vkXm0+s?Ut0*@WpVa*p~^ypeK>v(TfH0%krMdn5zYJ9B()&b9x^#eZG`D`_e3 zE+>H;Rba~YBHTt6enxC&b#}mnJk%P!V7tj*En)+su5!P6*!+^m_P1iyV>K6!;fyDv zey50y#d+06z(D3JO4!<^#=$^u&6Dh~Kx+2W^rv8XRh&87b9Dja zFcm?k9WQ!OyhymScCs<=*_H@PLzo_NLc+KkFe}((_iGRD(~@q>GQt}SVWNF6QII{+ z+KSt|U~|K%s2CR!M;DY<)0sN|&Rg>(G5(;P(Pw-#gQ0PY_fi9k7MlC8WJU$@Cb*o+ zno;Wg#5{u%IH!T0N*WY%Rd&z|U1wy;-|SSz2D99K64R!VL*u3Ny%qv!- zyvIY&bGD-n#(s00ugW(O5XTuNYd1f{Oqum4Foox~YU$r7C@hw*3_|P3AX?0P_&6_} zPi)Rb_bXbmT=>{nqa$SNvJ?A6ccHBLB-J={5@7?jHU!M`hk7*gbTu+-A^}+MoLkHZ zYF||{>wv1J^HeBv)Ue;=`-by-1wADfbLC6>Dd~jSiVS0;H!*UCp3bGPlN$Q~V$n8s z0)>(IcPSz0HeT@cuM#j)E~-t+{|Fy{sRS_pQUkW?Cl}aQK8gZt)t0#sg_fZPWS^W= z;SJ{~@;l}m>c!wiDcn@!Fhf!3y-t7D({4}(U|)`$Wn7+k?!<=e`5-fsh#o1<^x z0yWK=S~k4OM3Nl8rsRd3b>YrJkGV?U#g`y(w!~2JqhDe}9DFaKU@WvlJXdR7Vt(1r z#AoC+O=pzk_l@go<%`Ub@Sv<)lk`xsonhO`?u8WFjq!mNOvHlmfK9P8Jnme4imE>S z41TTjX7hXelm8Ry|Dc{mP(e(#l1&^^CIF^$dkSru{yCFVWE0bSE@w7&+&iy=|I~PN z0S62_-?^%j2E9AnuMat!6JpeEAr~ zRF97OTk?=D5r>7-qa;|1lm@^hFECkWmP4+wz@$dPNwC8ALKXV$T z&h{`jg>oY#<<}O0&7ZV39;1>nb@ukK7BH{hU=HT!WBL?Ma$XfksO+lO(HN`>&hAj@ZsEt;i=yUwEcra;xXXc3UdSMTB+A@xHmI^T)=a#P-fsMJrTFPjdnw!cm}DTNWnt6 znKN0EmoUeDShu?T9fJk@&DnYA(wa`r;J7sJy80~nSrm$n^GZ}xI!t+LX2C1X4M)~l zk-U^X36h=TmwkffB3YVtF?R8;Mo!jEroLx%&b~zAcBIA^@q;$yIBge4^4$}%!&z@U zu~seRP5--AloPUm5R*v?HRO%gyVG6q9da|KGSFgrqsGE7mNeC2Q0FtOA`5z~$uA#A ztT3q*McG{Tvc#GuM2dNXk}MTWsWO4T;XcozY3VBM2-yiIqP*tH+y_+`O!PIKW6L*b zdz?6pi7M?)Y_$f;%hTQ`OzrdOJZgma+KYI~sb^FCJHDfOG?kB;FMRnkbRNtJRvb{J z20FVu1bokR=~jg@Ip1+*^K{LpVd7}mubyc$bT05hN{oQ1n6EizR@D=MzUMSM*pYb)U5>5CjB~ldELxpLu1T7yPqw^dvMkm^I};73 zs?BO&qo5(3bp$W{P946+Ca!wex45^Jd>#}y5E z0oca8^la5(X239Id_SGQj} zeU+>lgjjgO$V`FzU}P`|sI;b@pO~+vots`;6|1^Mj~y)NqSuP^GpN-^Vo^ilcIeI7 zV%+aQCU^*bbir+$W!RYP*MR6b9tM{(-FvMTMU~3ryXComKK{_S+DpABbK`km2hk(C z%?DS;7SH%t2@rILrLyk58_5jW^BU-LMJ^4g$tAiSbuWE?zeN;=p9MLkq|F#gD|88v z$mFl9ZP~7UfbGWMu}xYmlXtBXAl}7Gl{4^_mbZM+4~BxE}fKjZ$P? zs8!{=PMW130?SPw+r)F?sh7U6z`l@>K91OX!UKo2VGW%W0`!}NyzVoz7UrkErP!U= zN802e2#l;&JlVm|^Y&W=3bb-1Mg3di~(_cvy;m)i?dMa(yPQXq<_%w9-rNMM_;5qU}uU?nd_metl}zyAPV zFlv(nAb62;XLYLasd(zuQs}uEbaMdwB)_2>Sl&X_K$GiK>ddrw2IB9ERFBx-0JZ^z zW(I14Wl6MAcqxoUwevOk_vTwrEvFgL#XSmGeGmNk0kqjY5il3_aQURj7jHpV@)bcN z9!<<;A**)YB7oaFiuS-YLZW?a<0#hH<5nbZ(oi~bysD^pi@X7*s%+t}O@V4TbY)g| z@W%4+KbcoZG&O_7L=F%pHLKik=M-^xt$1@E7p>z+C}UFXZpj@W5RCCA&LSI@INV$p zt($oEmFE}Z&K?`05#lAzbsU@yTN^V`9>Zmc>W_I@lvA{H#>N9}%W(+G(jb<-N(BXr z)yy1P_V(Sy6<;rMz!xIj*4NnND=}?){}2x5o`DP>ckvk|kl{1yxMGJJTa)i3@t ze0*;^9tSCjtyf_bgsmU>2I4h%&(fE#FjjhE>7> zPe?e&SFacW5k9c5=y^{xgA1886LMFdS*c;OdwNI`MkVvV3L?B@(m;}5OVo>nGNv<+AYU@4k4f!2VCQCg7d z+JOa>j;Pv#qZ~S(yMa)AY?~A5WI=8z=L7J@-a>51$9O~snFjP}wmttBO@s9g=vi>* zJqL!%9pCBgX?|wV{!pI3STyv0{Zqb|Gx0uFIogmmTFsJzz##MIgk;W<45{d==siRA zI^!`yTQle5ICByd0ZrVR87Cd{sl8{g%7gJELPkN9*{N%IfvRVe6M=c~y1}93?7$xd z2{J5X9Vg|6cgU}n%Kgh^WzR>RYY2goVIEbw{*9H8i<#agHFFEAm!Zmj%YON1*f+CB zLwYuy`^c9mn@}it?j=1%C6vVzeaHrupK=3}JO`zsj(R)?u2nw8KlUkdx8sw5UQ7Hh zshd+R+|^w;6XP{k)mtuy2Un-P%I6iA2eP19?HN?lw>8r%e}%xX1MjW~*vW70*N#8> zFDD&PQZoL0>LBZqE&gGTNy7(rg%4pZnPWeCmI-aZy}vvWZ33FG1Z<{Tj`ptm5mExU z3XXe3-uA(}K49oM>dxF#dd^LJ4K z7=KNMoBpNqdr^_NwY;t~wSTQdRc{?S&~^C^3$2i;R!!yS z6tJ@yAlLh+3Q(0{_#3a+xaP?_2uT#RE%jnY1|UU_F=Q`=C5HCHjCYPBV8!^=A#kav z)~?3HEubALaXn18(x#Ft>B1T!-%nWVTYf~9f2;m=N)&EMX!vowo@Hiz!8aj&@PMfT z2GR4xzdUei0c(5tUlaBbO^Zp8H1S&~B+!gJaeb)EAX7!Laa$mixNF))hEJXmc?wD&Yxa3@~*{ij)eru2{*JyQD%k6-V8&ax8XO#}CO( zJI=(9u(QY*h3L^;UEr9wgIF{73K&T5{Qb@`i@-8*7Z}aj0o;`(8tRkXB+ETMvnqCQ z{xQs>-Z_g0X3(avTl$7Mg)H;exNUQmu#kE8+kF-mbfTK5kX-|$WXsZVj$yn2H*K#i z>KFJtO(uw$9=dV~hxXy4bi@5hYlI1g9<+3lx^8l?6lezF`7q6lwSelTF_F4i@$?XL zPEvA$q*JM%EErJ_>8^wg4r;5(cuQ`*4kIeI&V=8qJY7-Y#qkE=wb!`hhp4PSVRb2{ zXK#O5n22E05_QHN1&<-^3}{9RsY-PSk(3AQ48hl*7L4? zADloOCYEmNq3nI6FX!Y6-i z*ccC-_yfW?z#bnh7~d{`3ynM|^gr56Cf3V@otH5@^S2OqeLlB(K9h6cntzO;z|Uj& z!iV<6WHt@u={fBCPpkB4YkwN_o7V__=Q!j=qO}oE8KK`sR8Z{ zdGstjRU185A}Z2d4ug^R20a~14)ycct)`3 zV6KtFI>IUSBgb!5ou41tcIBqkX*izCNpZUcNk!MxE)GG@|pz`#ea12$8;_$Zl^ITI|rAkIm8q)l;Ogtu)d?I&_Uw z5+<$8%`=Gtuf6vc14s(0EXzOP7mtB^ZUsKhDS=XqrQCQ%aqvEVXtC0nEm92tW=s!J zTP+bmGN{#)-p!2LnDl?>wV=Q53CM-6JMgcC?s+rw+d=q$N(% zJq0Qrl28)O*@1)x$`2KgaWqUpyVWqGhf5NaEmk7fyih~3EbaF)nHrU}$~gf8D2StO zUY&p1Cl1l-{#l($Z+_Tj*lkxlFr}L4g)&odzxAPbn*Drj=oR|%PzNnAiuX#Laz65B zO0l_FBqd{e@s#Vkry2_^^IML=*qw~u1LCa1kBb?cBb*v5F^n2!3k$t`6*xhPt+vZv z&~Gm;b*m%KJi}$LcES24h9B=vaZ^Ft+R$>$lvM1ae#!W<(3icjFH3MJ9t{ymQgWkT zy~4kee*Z3fwr@hkSa&E}`K@55FKw7u#hSJJv6ueqXW{B2EVhrF7i9u|&%GHw9Ir2B zW(=SQYA8K<`#Zsj6`V(jqsx6pcQG~6r&2Y6s%U# zX;V(ji0sWumVj?A{vw8bRdCj3jJb%q_55j1-FYTW9oz^vt!2s_?reo3Be3%CKde8a_npi16x;^s-(ZW zvwmILq3L2AVcF^GJA|dPyAj{LzE#CMeIiRGfQ#BfmRIM(XGHST4D)j;i2&D6+3rtZ zR$+Wve#_p(e#wl~kWVgr9!QYQ&v5((Mu2~^J3gOb*PEd{^QOY32DCja2hA+`k8z=qMVGdw&?hqkfYTF!xt4e-&frXOxAgvj~Vq&3C7Dd3}U$5 z$}ulT{^@J(-?0Y%e#UHG^`AFnSu@<^b;rfNct&GY&jEOIT!E{f+?z8N>pXv=XF28P zh=_Iydi*4iDb&UGSQ!toDc9EHSY><)d}P2k-}e-VKamXxy)khxSARZ>z}8`LC5J~JkxGws^ujk#DF3Bk2$QLIxb<) zQ>OU9xGTFG)M3gj4Uk0TxkF@VNvEdh`6&`AV{m;rbe$~8bU)}P2uMLfV+(by5s#Yb zZduz||6GyK^iK?*GzyVGSy0=ZYKg=da;3GLPvz4xsh*v{+m)45f7`TAFjVE9$opHY zEQo9By~g$F=U*p7`a8ZUYpbbKfCrK@E!xdau|qe~F#}Arp0Fgz%8HfkcSym+0-U~0 zRSMpImzfb}>rTTp>KNVQzP%GoC>iKAP{?7?%uyN${(;-73TSP|hT;+1@lfByATHcO~{-*x%+AN(9*=HZ54$8Rlg;Ya4Ql+mchU&j;dT2A`d7M)3bY)pEt#cTnd7mjOl3euB9rkdWD%iv z?ZIAxxJL>3M%q0=yEeb>G2}Kqqd^@mVe~D{EO6g0bJ;X(2;OF$c?cuaFQpqVVebB%kVee;RJr$g|H zW=f20ON{NXkCbnk-OMFhC*C*M%u#W>Phv|l8s`cL0WBfij}_Mm+v7Q;QteYlffvZ} zhrWoQ1s8^O>rMK)bI>7Ug3IL$>aU~$0+zunJI1Y-h8I%38co{2(Yq-{6AaAW#iTYG zOr&n9EOW-PC)E?gKD8+(Syxf-e^typt&OjruS7$WMZz0t-Oc%}{2K9Y|6TMPt^pE* zo5S-R30JpmVMZBiPUNR)%Nzk4?UmH&^5LNeff~WXhIXl4q=K3M`5odGopa zP%05&7|_!fPr{{{3F!qRN}KVsVz*;h6CFJA@mzChv|KDGpP~7@Dg~F5UG=~_c{$Zpd0dx(TZ-#r@y*c@lu_CknWJQUOq1OrWQbdzY$*|dZi); z{Y#Va-xMyy%`h^BwB+=FT|^$3`LIz~v{|U70)q9->iY_|1ALAz zuvNYAnXImyO6BTH51@Gx?SXL74kzgs&q%5P*9z=g5&4T#^M>!dywB$ZacFgqx|&S3Yj^WBh>21OQyox>GOHJ2TTY9K zg`|mozmkM)Mwh={&1~^a6Pt+BK8g53tgcnsLIAH`nV{}I&QBFANv{xz`|4si2)e*z zZ^xyG;*~r?BXAJ(-yv043VMYjfYOd)hbzWG^uzww&~{O%XCBkcyn#j7$!*0%u9{i& z{U&KDx;jPu6#+8g{X}0e$Io$EN}2!XV{=WEaZtdjJJjxTVWG?Hc?Yq~5p0~ISQ~tm zhoE}6*B^BYf)JD(`J8tv4O)GqwedEOGFzx+SakVO-JhZDM#PaN6UbFFx`Y`><>|Bc zWH?0cBGd0U*V_LW_Rxx;mJ_#Zix_?+fL|KM@oi=wW(XLS(E(^g1uPGB52*PrFP5$C zVFtc$*@-fl1D~>d6zRhq3sg%KgwDb-21ING&r_+X!y&x%I)O-ZPmBx@DK^#OUo4Z~ zC@Q#qPnhca1#8nP;UJ`cZ>R{*Jw8@)zk2Jyd0s7n0;WstY-31+f+UB?8 z&%yXxr=LO)VtF4pZ33=^ocYX|SR{^uRoy>J)yUgw-6>d}CA)T93K$s7Fb$g-_)~eO zC9Wt^BYi#rQtT+RT|*aoe9{O4mumNKW=CZs=Jvx4H9nPX40Ct-q*M))A2%LsfKD6k zs3&&dt#}tN(eMYI+|5ctxOhG1z%N>n4w&&lNPAW`+`5}XrA8pw6_F_w`A)eRJiLtz z%X9&9SbQff7N)9ZLC?yYd?UccVgO5Kl75^1tU$y;EF?Z*d*}&~o8!1wfVnBTMB0IU zF_Hg?xz3-L44zPXrTFiz^H|0~_8{E>78Tw-f>yAiK=IH!;PpVVPHb z{EFN;z^e>QW2_xbZkEmDlw?~-Em>YX=7%$=hSJm)s}!EHvi+>^%FNsRWX!ODsz^(3MM*S%GXhvjXdF(bT%Pg;7xw#SF?0mX2FW` zlr+X64o^pE3VsNv$x+Jz(G-q6tn+lQA#O5_lD$J?s#-PHU!&b!kT@B>H`p(Nz#$WF zj}<+?^sQdQ^B0P^Zx7o5>qhOZU0ip?`pDuFR^}@d!?Y-r>N&!c@qIOJMGC(cG`mw4l zj=LtGKpFL9=<}#!b6nM>kJ?f4J&&q=dx;1}&%7AL_^Qy?S%=oED?65HN3FY?R+X`Wdy5`A=T3S>oq?u~|uZ=#*pn%d$Ra&m6D zJRpo#B7>ZN5w@qBnlR*0{11^Ds3+GOHUtIn zrz2a$^Ly@)*0$!os!P_#)8zZUz#!bWfn*^j?k1!sLn+@hDu%$j>g{&;ZHzK{-tAkO z_c^l~PJfoq?_=ZtlBj=VS7DMyGTWK}{!L8)@1`bG*Ex$ZRym$p<5kA$k1h4#fxDk&odkc<%{^`J0S2N8BRK!e98AcsQ~ zM-quNc~gH*jvwVwgSBPIAYGYMQ{&Ys#Qo3y1Hot{B#~o z0N6r!Ahz*saL1Y*#XeTDKoT85Ac-866Lthh2yENi1}9GbAgVH$7)TO{eC=wMDgt>GX7kJ?*_TJkf4(j377-yO{ul zS*XAniUZGSZclrpiFB{CtIT2nVhb;M-T8_@Ab5lIk9 zr;vLi`82YVwGL94kDwqKiipNFy~EP5Rm3nszOs@8_=&Z|0JRsMZ7VQ---tYs8QRGv zjR*kd-p_#6xA|&EO~r8S#b-PWW+3+U@%h||u41?>OB$rmokOudM$qrwtba{k z=z$>b?(Vw4N~S86oxCPck@0?pak+rwP^$fVplWGQjD#s67l0xlMv_6{1(6R>KQqwK z_ZWgcgJ#H)gDaFWcsjC%;z08NZjwwSPuA!&{#KT_EN%|Tl!=kh0VQ+D{w`ZzvpS!n z!8$bb<;?yW#uyP9m1Ah;ca$W1G>kE=V(8?3vF;rgbL2L5g9H61UYh1?@4ZY?Ia?NH z$i9*qbjTwPvo4fBom7rPBMy0{{bw#BOC_#r*HR71xn;l|@pK_*i!?Cs3 z?8uXeiP@I_4Jk>LoEpX;njS=y62crQ{kO-)L;m-)-1MS1C`V;_rr~sVUGWN5ZL9=Z)rM)nLHQbROK%7`^0fdM!uU81N_aBtCNQl5hu@~$dFH6X;Y zu874Gi5ZPBxCaXH#Gh6)02h=BzX&YU1-aDb&Wl=o*d0o{W}SeL06%D^l5Yt{;`)^(0Rf(|aUL<}zTCRffHzhlDQ6o4=2L#puaiL*!T8ROJUcA9?)I#{v>wFMH(QI}HX& z+%OJ#o+*d_+|)w5-y|COahjC&+H)(9)9JJ+6oFK{sbN}7?6{N}c>T3FA&cdjD4KgzcC zgbZestA55zieldJmEUid4PLF#GM$fS|MZQ@VomC&3hzz|BKG_;lRP#q%2NL+lvE?> z1R<>c%@?*Abu+|xER2DiBPY7`JD-;tubtri798Y#$8aAgiUfYQ{4~B4zFg0=zSQgw z-%gC~ml#BeC^#(I9Ms6zFiFk7cdH2n+&qfSCkc20Z?6CQJM^E+bW@lqSW-pXr7f)>mQqW8CFJW7k0&7Wabe&Azm zt4yRtrbOS1w8&mB?NZCqIqKk}bE)WYX@?{V3iA`6cI(={{;Ds{5c`%b3G+=n1s7Q_ zMf6fR-U_tzNk^FY3{AqI0|)*4eCLFBX=D0+x#W?jOK%2B6(2>8D=mLCB%#;5x?PDu zT>ztJ6mq9}t;f%@_CaWMT<6(k(i?jlo(=ItUG$Q=f=TKkbh|m&I#nI(JhBNVYd*16 zX+h^yN_z`G2|ZyYjyv5%&2j`B$Soo@GE1aJW+Dl!ZN1Wte?yiL_0%x$`c-JXS~9Vr zOa9g$EM3-T8`@?G$5eLZZ{sFuhRHj#q;1VU?Yu@rOQS-z8be<9bzB#`Pz&jfp)AQG z`R@7UBx+Jl)Vl#jwJ~(gCHkQ?Z=Wf%o-QD?k+8><2xbA#U2u!~)FDU6;2_YbCjYy` z6KWvg7jZLI>Y+W3Q+UAbN{V5v(ViTpW=lEAr9Y2j`8g>4cyOc>nQ!lV+GV@|o@L%wCPV{fKnmzb4rt6>O!6 ziy(8!p`KcE@A0cUD5qv`u~FnbQ4O9<AHR}Z!vxQ55m*U#uhaL#Muw#V@~lxrs-)x8+h=%ISdhPWB7 zq|3w6!VyoqZX@=;nxq>(UP>;IN~4>Pxysl`Pi}g>v{w>w_6R(#o9$SOp$X3d>k8vL z=`Kz$5u?6hfZUgAT?d_3G3nex4aE6yknsCQ!9zzflNa9RI}pd0lQ&Lsl8KV!Az#_gwW;eEsWA5psqd(C-%Hac5;h{;XZ#AM8!Y<_IFRatpWXb@<0>#MrVg;onWM!)Z+eRE zXN%HQ-Q>0p!&8{k~ufp_i_W5&}Keoibw5abRKB=QVXX9S znEg5{Jmk3g-jgUw#i{fxN6~P5)!Y^w*Al{9_wi0k=W-t}U6xuk(Hd}#Y1M~;N%DaW zE%u|kPQF+MHK{G1AJuwz#J8O+v4aMt*`cS5QIU=+Z&5!R%=bFrR*=LEffB&tA2M9j zQ6=TRPU|5&+UI6TdES zp3}G0{*o4r&lrWO0mV`nfa5DgkpL4tSRhe40A$&##IENcz<9JPF%W+dG{6eyEQAoU zy<@$RVk}$%rpiSyEyIfqaNdZ2BeaDGQd=uK@*;K$x{0aeqrh}9tc{$wNlSb|B7y-? zg*MJz%3W!c7_1_4Yi~M-#I={Xd;^0oDGR!HfwFW?-D<@}S$-UBtnMfH>!FTn}1_(`7N2ZF_^tFn+2Kq$7t5TL`( zw!p&D+QEl+^Eje|Si(92e3h8FfLyRV%FEsb$H;#8*NAeWxYYo*z?rD5N+6#sHJ~RV z1F3OC!+ELdP^|Q$QLJ7Gkbv+9NWh~*8^l)iSYV|1MVxqr9AkpzlZj&h=ve>~r8{Cs z;vA@v5`gBZe+3|!&W0ccao*KRR|um=Uf@HZD!-A}%5(aR5G>21ZcGK3|G;*eXbd3k zuR-Pv1F*}*0B*)K;Jia1D3a!a^X@CbdC5ZQAe#WWMBeZ?kd+`7QW}$Fp{@!>N0MN2 zl*lQTb)RMgCQgwMSJf3~DGUV0MvsC)I2UK$Rni%NRj2{h4pVrS(k0Lg?E*Sxd=#q| zwrFE>ZTn0FdZwJ*lg>ec$r5b!m-k8;yu~!L=vH_-9HN+8acp4B0XA@~Xc4SlG?7$k z3r?zZ_hvH_Eh~SDni+-yWMay}C#@4AVY1!AC$)#se?eXdD?+hM zo{%oj*pm|z5pP?wCVfa%qE4A*oF2sDm!{T0!Br|EFD8L0SOc8DW4N`S=Ue=d@g}HP z{y#uC{D0Y+|7tV;zd$(uc$@ztg!2!v`QIU&zrfD_R|rSw|61q&1;P;#;uHG6LpU|e zt;x*7Yn|(fw5Kms!2N?4nz+0_ zNLH{NJdG{BP(|m~qh`zdqf5=spx47mJ`%zSd+24C!l7e&XwWMgMsv8(?RE- zlgqG23x<)MvtNJOmInVk{#uice{*fInG)*G5ccP?VsNK6gXoWq%+gNK!{Ypdx5PwB z*j<>+V@k@OY@9Gax^Q7`W~mlYM@^hb!b{|R}T@AlN2Zuj{gSE0`+L*zvKK+x8CSsY%-$9$JDS7O^4aIoUm<+&NX zVMpWb;v8ifilsd1extb!Sm9gA<=Ht+>%mS-J{9K8N=-ozV(y6a)`lU}I08uQZ5 zOUe&yop(ERem5a>E@+~gY`b z`1h!Z+&GD2{+;xxA(P7@zc*(2owPpD9(pi3I82Z4ioBTNlf%LCPMV?IA1QEsh)gx6 zS+p+8;a>{J^dE&&u}VqtkHS$!QaHB%D4g?gxmVZT@~yIWV*FQ+6ip+{w4e46_ht?X! z=euphWPWdGNJ*+qEy~O)g42UQ`rPABn*U)qW;`MIJTI!=NDL5n=GU%ecuJ}EmbRcA zG}8QWks<#=o`ZVe)YZJ7rndPdQ_m}F!`q)m>Ec>4r~a_Q@1*d!+F)Iuv~If+_0)f! z`SO2ZIKY1x&O8#sNf`SthV$e<7!KE845t){;h4op4rt5Kf51}TL}EBJT&YM5$LR}( zjsjUPbNHd~OaLYf)rOq`iQ$kSF`ReAF&ceAGp|=uQFxX9VmL=1M4fdgVv>@&KQYV3 za>aXoSE1VQ+xd&(-1APk&sQKZoO1ybz7N_VF`UwW7!DYT z;XGknLt;3M#038f!>Rj=;VAvXa12X&`;i#VH^p>QEtCfZpqHh!Z$voe@+&|TCgOu- zdg6lJg53ZT!`T+r_xeQGOVjoj!x7+77(ik;SC~$xJQb|yMX8p?K1_^DMj5z%8mFI5 zs9wAHf4>tt{kW7h?{{OW9CToBdl4dp2SJ~PtqMQHer$hm?wcmqUl~2I4^G1qo9!X7 zrHIvN4fu=U0RLe)cmKt3UidWE>5W-IbV{WMHHg$ASb}$INsH+3RG-#Nh z1JUAO)r!(^q_DufNoQO#mfD;FJ92#c>2<+^&`A)3iim-h)2b?&ix%V*PWASSTdSY^ zob1njX4OGq&y`Lbd!HQoEFj}M4>c+0vC^-!PnzZ`gNJchL(mOi86$JLK{MGeJ)7HAFDb^3FIz830+=G5E*WtWxiecT*@ zY^@85LMfZ-@7?xHR?Ohkof#dWCSi89{k?v*XK~}JcqX(%hf-W(Xq6QyL+~-l9-#5K zFsGjN{ZSnEZKm_F{w}w`H583X&JHN<$-XZ1al{g;)EAero$G18M!L0&)Ns~6n{r24 zCRnAdmA(O2M(*m$M^Iu2^a>3(R<@Ynt03(1%^G!GG?4j)>63t2akSaFB5eSg8yAo1 ztiX6?wgoaCSHbA5K7rquYr4cnG&?4m8b{x7FB;h>D9HHYJ3~44W-U z3kV(VG4y~h3DZTtmEG?w$OL%NtER1|&r})6Rigzu5KE)hgmdV{cmU)Ra;jUa3^I#+|Hl%Ojbi58wc>80V;(D2`t|l z#l5wakV6qNbK1lyH07i)9aVb<;~CEon4rY@g&)5`!JsIT>$C5y^G7AIw0@^7kf7z| z&CemTp1I&`*@mnqQbKJ~Ij!=xpKlm?m)SS%)d7(1b6a(l*~V8|3#7}Yqml6~JPnQG zCIN4C+&J=~bNxcn5WEzTN2KVN2N=pP;peqCdw1x z^P2e^a~hX0UBXy{{Y*MPS|-cSAGM{j+GGRA%-RzQJDp;loOP|5S``vUp@szTs+>&U z*(z9{1u`U!DHYYm50`V{Q`TE1@QW3uES{A{2Wg8#S}7H8@Q5@dr**uDyzf?V8cbFu zg@M9_?8~f`m<*dJ%s@5OHS_dEZmLj*)L%AIe8fx|p(H=)Y|68`O>6uD4XQJ^`%sOz z_sG7r6EJd0c1+z=FBEOl*{giWLyrs1J627PCnew5Ih~D+kw~*1_TA$KbuLA#z@hXAl`t* zcVgO$x7i#C2S7Rc^lq=MqE|q)#uR`h~?yWpCwuurZDPVK_S-t9B6*&%F)+bxoU( z3r+n}>15TBFOn0uYG1bReg#)&i&WpUIJXF^H~_!ADmvFJkFAOb$eLG02n4sCvyNMp zJFa18wf$}9-lT6GHL7TK*n$IEYO`F=A45`{UmI+kuv>eAY4A2Er5QBN=Z(jZ3ZGB?DU z%MPNAwDI*Mzzikf$xltRS8}C1z(0)gV#vn?9NN!NWxvt4L-F)#gJ#}G@KSg0JD`O( zI9b4tWL>l=&2pNL9>llvmtgDAovvjUkPWAzgF0yr*@kQ zDdEua@O3IRy#RHp4hSztUUX|yhhKCNURTXtJ=6#S2bbI#KtxATypdVK%4DuCWm?X%6Ra2aIr763Gult}q+ z9;&TnmHlo^;?$d*y}9i{DN-Pa*g%dGKm5VZ_|1YxXW`Yc-$2n4k^ipX>!;=IH+6ou zQ=_c5SI&L``+a+Iu|V^~{%1uPK*(l)N9HB4?F;crzMc8|D;)Dl&gcGOspslkoW4@j z$XZ_?j_M)wJr>SQ!+bMh5Wq}29C|kPLXUos?mJ7uu`&Gdc7;%2cs(J+O=3H7^r4l=r86ZR~YD~36 zQ1bAe@`pl5%7F6oYR%!zNejwsI!Vk~i{a|?feO4}hOiu8cubjrLoK(kqHe!FzLpfZ zy^26q8sgrFx}J{2MRP2YHP53#Ot*ISye_Ja?s9?R7kZt1Fv(9Bzs<{9s}DPg)#jO) z`mOoZ;E@^Das15CI3RkK2d?GGZ=k zV-O?V9m@SmCgCq80E`1YSVprY(>A{n$BD>`nq)l3PMA`$rvMZ~`uhL$p| zj^ironP!<+%Ayk>Pe?z|{qAAY(7RG{PuV=gUccn?a+wcVFX{SYe@gp`F!Lw(X=GS_ z({+a*>QCPWgM+xmwpnOZW@Rx1QlqU`Blx!nU&p%E4GTQ9HNI(iolNmt{wv?;hYrG# zPS9oJeJ2LZd>G1FlC>woaxCdRvt z#Qh0%=HXi^TPVz}zGc(-$)e4NZIptAI_ewc>0F|+C-*jYg&IX^7%Q^8Pjt5?c6u9E zD6vq;@X+Hk>3{@!y7dggBLd?umO|cO()!UPO?;Fd%ON`$Hs?Y}Hspa?d9Sin$P$Oa z&7V4Yu2YloBD!tx!!Y|y2CQ@Am^7PLo0gg8EA?`6$z?&c#09fBzv_qUg=>YYHC;*O zSs<7NR&RO&+9QRrF9#aF4X{7`V|*w`WWXN*9I^h5PFO4-{`p)U+V3!s?|085@VHsA zlc?-xM{a{Yze8xd{cJ#=kso}@M)n+{TFS|l6gatsuz;6pFg1Vq+bj1%Ree`V%$xnC zYCqnX4yU5qg+qy?aO`a#jQ>O7 zX#S&c9-vnwS;R;R=a&Rk(+V4s!uf@ya7h0*3TGTi;j}R7ybSAV&h8ac!W65agMD6P z-#6~!E+*Q5z*|}r6iBn2*9w}%OU=>VPjOHoMX(ptRaAws8R=8;7y2~iUa7IiAvgi6 z2N|>3rW@(;zTUWCWgpoAg8Qe=JH=_EM(^-)^`WBWNUev$X;9_xB9T{MK06bI_vTk+ zn*P{y56{_CFX=^TP2m@&{B)UYG%*UYyN=}O0+E8EEb#5l!P^F|kuk6mOfRX5o;clo ze6oz-oX->k87bRysF6|llenHw+P}I)c$}JWd0I$ONIx6o>zRaGXUmAvHCovHeM&#| zLsMzI-`fw=+!Iv)L@SX|-#I%QK65cp*Z8ImNSuH%5= zc80i&8gYf7URWzRkf)4A3!-L`ex2THs;^36`YO-Hie+8&r9nt!p!Ypd`JtcVPK9aW zu|=x={!p*r5I>YF6iMM2{7(v}x9FK;JcU8jLi1UYaC$=6%J-#$`WNsc5t}z;grw_hq`x1Fu(XVkhMJ30|dH zRlD89Z$|ztZdcjD93z7k3?jHw*QXHfAy>I2X;-it1BChoB`z53L?d1%ZJUskx4$RN z37eidYWziFi%;$r^yZRoTjAWruvZpB>ZZgOFRrR*QXAxD)5-I}Yde4e2Ydb5sM(zj z>o!YlvijMBt5=~PFLTh(m><7Z*wQNM-vw^(k+jRwr%!!00cWgKw`)SmR7V%LPT{B9 zbOU>+a*6rh*6&$FYn!*o_&xvOZ%1Mm;TbY%x{L~F>M|6sk2I@}RJe19 zClyux-RB#FsB*0w`hLx?uFC@puzGuZ3uuDFGYpWHn)}(@N54#PqhWyL{kLTl#}#w7 z9r*Cr_*D|C+Ra{kBDs)W$2ua%Of&ShnGeAoYMa`?v)}s=&&0*&|8)k+mWeY<`^aK$U7t$8 z{wH??D(QV4qgR|nPJV@1K)OK=0G(a)9bGK<^I|o#m|}>FDKu1 z##>wz!&T*CN?p=MBkAS~!D= zdRv!H(+|v4N5Oc-L0R!H5&OeQehi+A-E)6gn=eS#=F30Urr72`tj$Q-+2UW;rgD*! zUh@$L$=W>rWo-Z@!^v}dnXTsB(*I#?dIJ~st|W8+%i0VeSsNB4Yhx2PARG4|)&|J6 zR>;u8@h3jrCA9PiNa0(#Us-*NPZeH1FMka6w30yl!QhxLZ92e;(5DN0(>jw~8Z~rD zcI!hHvG#MMflD-o5Xh^DP5>rAr_U2IovlHkxt0>#U~KpO?eXV~@BVQo?Djf=W3J<4 z1{KcHqPI0Po`d^_i^zERskjct+BCr@4}0Bv zmTD6H=P_6?(gON93HA`G$o%J4bM5g8Zspc4+V}|WoqVv&Wc0e%tnv9X?3}x6Fy{2z z_K%~Grr%HbjP}$Fvs$!p$*OIwl1kP4f)fUV>e1&HCQl)X*oL7Al|vrD&nzc9T<jl@FKM#wWc;7Fq)@S*3+XDs$Chynk{HY51=4y`@mS>-q0c+1vxGKGKQC*}$_X zHSZT(^Pi7}jW+j+WG|!^^(ZV}H$ht@R|0l92Rwj4)s%KYqgQnYMJb>z3*GKD^o5Ik zoQzN$(1)l(tiLD^)~g8Qv&jE8`b4Pw@O!tTaBdqH{}h^gnEh(Pvu#HSlA3D=!_oFt z3=!fS{WFOPbwS3lkw%^}0?-t(7wdd1i zTeoZ&tq*^1yPt8ko`T!iGjKd+DW(U#F&#+Fufj@)X`r7G>DZTxKwqVLYW66?#^AmK zmpZTNi0<(3H#x|{-AUh%e}TP^H@2MwguYC^!!P@6l^S@kOr&PA3xuQxZKr0GC}IuC z$iK6z0uD`;DSpz9&fYS`G9b^-tcFBiJK<>}AL%mVHX1838;=(PuhFWQ;%XU9vL1H5 zD1*}}=+OC_bETHE`n#B*3-MeeU&amlx-5RJN$-2y$L{)gl(^mXKIz7%&{wBW-GJ#k*@PkipBHUku?aNBPnqyWi@DcMl z4rx=eZSak8go}5J{Nt{uk`Fx<9k1g6ZC;_@%kqvXt&6$>s321!w{g3&T zI#PTML3E4vubKG#m^>as4F`w%LDs{Q!`~Y1WJ3pRJ4iHV@5|Cv3peInwO9m5n*955 zzN;pcl6)kB8-+dR@{@goa_piizCGG_>^t)N4F@rRahg?>vY(~cU# zSBITcCC`hpY%zH0u0brFs-L;mbWMs3N;pFvNb%Uln1=WO)2L z!q==fXM0y*F%j?*C_a)Eh>9W=1})xI4J0S!=x;0OmJO8m-iwQfRC(9k`Bv7;OcxX! zE`>O55sXh2VLECHRJ^oQ6y#&{g0YAOq4F!q8Skm|Nz4;6nZm8f(RB$;nqTgMpBrhy zhoaD#7FwJMWtFnWewYQ{nJrKD*w1iaTsjK>lGi3fle6Q)R{`@$A*nVMTQ&8syTyK$FcASa-k+Qd(lnGF(03g7}R$SQnuM#jJExCxZ`4WPw{t4Ds%#mK3>nXqTx(Nwzd6X!s`2bAH!bv)hc2maH5bVi zT4-wVI~3nN6S%BDFqt5Bm#d&{WBDFAL_s%Illa9`nGfKPi1Ac@;Ql@Z#r@sxK(sjJ zgp$CU&0wq$flMj&{)*Eu`b}YCMKgJ9T$O-dLI|L=el59A&}G_JAlA#aT1&z;2#Uf0 zMY6uNj|Z{E0_ZoU>5g*tSQ^Lcn=$xY+I>#Q_RvXy8_3XKTf-IJr$Vz~b=dcN(kuqLZ3*K4%c>_4`OT z^p4QNhrceFmN?>5SfJeK{sgioV-|$b~*_xu!fR+rjcU zb*GTm7_gx6sv3EvsFv>8gi*Hr$OTgV8R=#@Mxdwsm+@n>Y!=3(Ds+BDdxLq?Y`!$r zM*4JtQsH(+sU|F#m>nGBx3+Ud|MI7%w2GjsB*|jy-*Jih_;K657GFeZE4KFgTmx#o z4&&$zLcf?$qJ0Wm#c*lbf<49TfX@~m`!p|LX(GHWus0_wI|NNkMA%%sH75DO1gs?{ z*kZ<<5Cd4k6)9k4G6CK5TxA)#E0Visrg4^Qs>|csO`y!oYWMv;anMq5C}Ex$>KWQ~ zrO?584o_nYpt*_CRCm0+;d*~yu2Ql6W4YMJEn55H)yl?(=}%9qd*{Cbla>zL)}{96 zg7T-JY{g#PUJO{dL;42qj!|l>iz|)?=-;r&Z9Yjv$~#g22GqoAH=l%9oQ%qJsmNGx~ny{_$Manzc$H%$_I~)qT81ahAa^gzl z4Y5Fhx|_wxdPN?TuV?N=`D00+Isp8c)=+CWkDGyuxzUAFDu3nE$`E@ir`ae<@=t~< zJ+?=r4B~b3&k=647#a|zUZ&K5snzpIroJt_X!$nTOzW-|FuN;#f5HpEjkDk9R~!a{ zBQj*%G#ct>v$zCdLq?I4IOGY*k%C)DdFR#2LSk)J{QH`*`nqBO&+Y95M^) znYR$Xz~`WZ_JN&}K5S+*!z6@V>9Cl5+>br=R0sdQkpkyz+$a- ze8zd6HTvcxYq|rn0-lK5JjAX`M#cXi?XH93=;FN5lihiAq00PxVyW< z;10pv-Q6Wvg1c+*1PJ79@;tly?%w_5-nxHu)l7HKR9DY*_c^D2=leN!!yU+OctiPi z*C|XO3{s6juS-CW+r$^2+Hw>^#XXJgb6xUoblDicbku)t{V{RF%^NQ3Mh!F?mLjs! zwm)>PWQG#OoM`N)2hMIM8dHeB}VP;P}P_!OsR5V>-kbBn9z0(S|-0^2m5`^Q1H! zRLKsj$Fv#@{*=_39K008IyH$)9%XMDX-2sIj1c437Wc6k;-bDaEbKW_n{J|ia(D_A zn*WuwjIb-C7W+-tA-sxMqQ5TuR>USRjiV%U#bd5yTyOVF_I6_24Cad7tU1n9yu99m zY);GR&0G?b5HN!I@N32QK77b}@ZQ>ip_1OkAbhRl;ubxJkZ%Whrg`{clpu}7a?K)z zI!R@%IXR*rUjt-_eS(&%GNj-I+`=%HCtXzu3Z4NZC zYiJDgv~iija*X?g7j-++LU3(h46{3`g+&TFIS_SiN3t%^s$R*)Mk^Tmo^Z-el?AEm z|5>Ii`-r+p6=v`4J;bULrT?oxulg?8XOfKMH&+1~Vp$3-1Gk{VRM3 zvPnI}5B(0kG~ZTLk3n=~TmivItU~RYDR^kg@OUJynq?|^`x-tZ1^j^)%JyP!rO%9=H<`VH9isHMb;diSSfWVXPQvuyY){V1Rm<#Pe zluGQ@g9GGYn;3J_L>1GvVj2X&%+Tx`kN#wQP+yAGko(4zp42ZP^^;!S>BL!{_2aN! z#NvCMqtenQ1FbSWpOPRs>AX~4{NRSp{NF@us(%7I%dV(L9Trs-XM&--Ub`5$el2ZK zAc7uO;0AMlEj2FfRYisgA#2l5vsB=-_){y?C>tib-hO9;J3pwP0-0+vN)90l(?LZ6 zBNCb63-vi)ufq67?jHM2xbaM|ZoQLgUby~1(l@79Dr z`Z5Yf*y`)9pFG$4^PMf-$nLBly$d+xKbO4@q8DW_h>sj?N+tMYDt5$iq=H#(lQKPY z;6YnBu*Wd`JfReq;W-C)Rmm&7DBEJI`I;C+kPt>!LKsw_ZKz2Bx4A_U23 zpD@=iQnG|6x;|F!9{9PQPNFrGuA}Z=N*{ik&a9mSw%?`i*vS=U2D^?ZI#^Va1>^EYZ>`b%8_bOsx|Z; zlS!8~o#ab|&sfClTGSldFlr~mSK%@vu-nCWzUiG3rPM~3k_fl>&s1I)s-&P0q^>1@ z(Ly$%Tb$OF=C#&fYrc!TOdDhqn2bo+Q+Ls>xrx$qSKI%pM3T%l`^1&>=ai&BSAh!)kLa%b+r3ChsW>FytQwwhy%51KF4GYNBvp5T7RPyBk+Pk-Dr8bmh@{Pcoro)H z{ibQ7TV|Cs_KyIN{c~q)eGw1nJE=PFly54B`L7^Ru{oJ`je>J1?s7vE{O45o{=;B7 zQ`c^Umz2H*)3)|#HRtxGjm(oQnWz)kcW zTevM(sll5MbJoRiu-i;m1Q%ge#q6T0u^fvved~Uq#*Fjb4@6J_rx_9w+y{L`?$V2S zwB^Ew$>n^L&;YDM-m#>a5yD9Mm2sTgIHIO?*|(HmE(bcs5#Dx$qVK|lZ-f_}y9qNL&5*LH#2W_9Eu=sYZ| z7}wUjp{W-b!mM0nFTh`jjYbz7b_oR5`n_+7((&6D&)F`N1-+gH4X=Tct7`rWMR+`Z zG)e?L!zvormPZs{fuEu`N?H3L_fE1;55v0koZ&i?&%yYMtCAu2^kL`V7SWj7y3#%T zaSH#?=-Q{ctn;xs8nH|pC2UV9?z92z0P;gd+~Hpju2RIBxTdR0_AUC1@UsPExj>H3 zH7=aeo9G-TaaB)PliJ~mZt$ zg$mwV!Ane0pwVPev*uVEk@Gs4S;-;W3%^3*^zEQr4ZbOlQb8-0hniHc5^^#?C<;G> z$}(i(N%-;Rpl>#)%Hy|SwP;cm>gR7s&!B{i1%3|p(N8pDDnUlSwc&X~x{03NJLQ$v zV)zjl>1>d(&VD*?oLgY z$BX%`Hv9d1;Mv7C6_CG)1d5?YuAVb@?L(9C>?7C*`lm}E9;6GlQ%Q$X5wAe~Oeqp) zGC1s!6Ckzif@gfT!%(665ZNCWhtQ5SBI<-T<5R3K35}|fHi*QODe-|DLFx>jSLcA? zJHfb7*j$(4qX|%7Li78xJcGl8r+V~n)#(Z|(&S9<1@1c`O1P|#!FFctrh1J%s=Zk!gjSTGGAt6!ViytaICN9Q!(UB8#Q4>lz}zU2o8cx_)*iG(;ewsB~56( zj*2aDN@?ztLDJwyHo|Wna}JquJf#XI_Yt4Oo)YN9;6zyL_20#uvxLDBhqz-$tt$wt zilkjr;9d@HNxe;G*|4{Zng#dCzS+|0e8U+k;loqBNY{$sGC0GJCI#9`t()(C#F!)B z{7y5~ZJ)TbFRbg|7o8>)Q8-b3xvfi@%x?wPx(W;xL#C1ptej%}?lq2oAXn_?iR`KA zc(sg5FW>Xn5su@`hWsorq8d2~)i9{tUecDi9Oa(O6D$|n5nl>3iIddSg`Ar`hqze8 zleHi7T2j_r#>RyXHD$50Nc^FvOHe1)KX=G{lIZ}Ys+DL?@Ph9!i+iKh71pNSJErta zySbgrM;=`Tyh#pb>!r)B$;HVowXC_FjOZ1Mc08tQL7*&gQ{2yhqI?)7a)?0`+h_bq zq3wC3jR~na+TFiQ)ij;(t1XePVzFUl3k*k4x**6!zoR)xSc+bcl zDA=n83M6474X#3W?HI9Y3Oh{qx(3fTT*eW|JG?bmv>UtsmcJ%cn-)afbtN@*P;mHB z@YsSUeMEeV_I8XU$px7NHefKmK60Z%pkPO93R3GtO$5KtD`7EnLhy-ziY94Es%D~_ zf6kvZlAUOC7=y-UY*I*$p7#5EfV`jYM+Qy-I;#lk?pGjuetT4b?_SqfGvIa#ANt2a zzgzu*+Qz-Qqb{}4E2i>?vE3__$uDPyli08I9-PYGI>vKu^e0^4I&ljQO=m2nin-Qv z>M(zqUWnK`3_m^HeMVG43MlzfNwW&|dI@PGlzx!Z>6ao>p6Q84i*WAvyIBWj-PbaB zWUi+*gFM*$PDCRGX#5rv$9k`qWLG~IklyLKIPKQRTOOawyRhn4 z1)VSk>VzylPSj^JW0)E5Dgn?J*?cGM7)}JkP*~m9X;D?@*zN#5dRUfa(G9sGP<9fu zUjsay!7wpjLJ#12DN8q;r(qU#@P_dE#ka z+vW^sEEubN{BgJ)Ef70C#+4+PbX6P47<}qia!duWaBUTpvYkRVb~bI{i)%mrIRS7w zD!6H7j7sf-m+p@hCyEwxoyJ8SOYhcjg2)@92j4an@KZl$5)~K56sI|-Ut&N5o=z_$ zAR-3mPmAnF z<1N3#?P0N4k9ByBxa^-F-;sQ*oi-~nyvzk@i%#6UZihinpvQM!nbp>Lg#ji~Da9lB zEZ{RQ5?LI)jSfb=H)&fSjMGuHxU%u=!{0HW_xYiwOf_uuoud{;5rwc{D>>ur7UNK; zCmDy6yQh?wX?Yt*`_6y(KzZt5-X#5{a% zB7x+wqKX>x2ZVOgZS^Q3IWOqwJmV=VYike6YeLGY^CLn^n{Ex4fUr;8xb-wX>_=-i zKcWz8a1WP+TEE?GVk~&xbsWbbXE%pB*bu{IQzV|m`Dg&g>a%<7vZrjF8C7EJI zHg$REMBY_n89N8-j+lpzqp+HN^j?;aSHHUhnQ6Sew3=`1rLjp^I<&N={d!2_9 z4Yp<1Cd|?PYhuh`5`#Q#D3^DKAz3@pf;yA$Bh4LlzKRzSua@|ghHCu!6sbeLQ$F6d zVue)O0Wl$nlSd0N7ELM-mL>4$TEYOYZ${#EMQcfOXTr?hQlktglp_Q>m(W{*ht3uf zs`MI<5ld6^Cx5tx_rBk7TPUm?=5qSi)Y(aaEd5T{=ZIc*6cZv>3Xum!rPrc+!q-<( z1Q*)mW0Pn^Wf0sjV?-i-5CU}k&oHx9S^pGON zVDsvCkjDz^@^^l3*qX}%Dwa^B*<|Y4JH=BfMr^)L7b238t$I7icHL(2ElEi-F=<`3 zwTFwl*g09nBfCdgMrXEa{Iue8{z+TJWt%hpMVD%CN=JgcPY|X z$~ry~(ejXn)G)Qwy2rdvt@xA^3V)uw;nWImYmsb^6Tx?<(L6#Y&j%Pz74{yC3|ps{ zvP|Adc+j!oN~S;Nv7u!@A=kS`)1Q+~XWa&Nr)OQ^%Rgeeq>RgKg##vz$6u|4+B!Ya z$1K8_=u27rtPG|q6e{y_WM=z`bA@pHMPy*cYd=^Dgz`Q3hw}Th-!qAj;kofINO%2> z*agB}xli@7H_qy2yEC_Jiyv_fRWYd)e*?LpIzesn!^#y}v=$a0y0m_=QeKqU(8@_~ zN`Q+Eqb@#{=w^){$7DD1#d0m4iK(yOWL;&`$5DBHg&obtFwY8ZmNK?`?tnp0N(XP+ zZIC$&1MIZZblTy%W2HHXHl5T?OmFC;UA4Iw!=m7x;6_Z=qbl{Tc11@4;eoZ;W5LxN5v0pOuQ3NH>swQs?eXUc%{MeV92s-F_<^(s&^dvUt`nDrD>FM&`BB zzmuVk^mPtpSskf*8U4z;1Mhr$NboNAPL|&GQJdy&aMd|HLXZ>PyNBj90IDrO0=K{h zFEO@VF*%Pp=B91gLuGd)J?zV?*zgfc{7$YP{)(mdI2GhN7}b8hSCDfX_#n&6z4xH@ zO^b*qy}Gm!?Z~($Tjz3JQ0=|NHLuDpOX_LA#+>YzHDNaC^!#)->_|8vuD209MJBs> z{@Z-G34?LN#y17RKellTA{i4O=-}^~R=4wP#}9nQqBor5hYNpIVq(2&?K_!Zy9k@G z&=>`HoiJkbkXalNStUrKBSh(*dy`mclC0`(;idX}kgCeDsLg=Nc#O-b zec5O?oHJh6CD}i}G4(3FR9kzA(~T-gEz%FJdX~FNc)*tN^34mN-foDtVubbui+dKf z)*N%9hdWcVuZ_z0@0QqQ$K_gcW~W9oor#BVL_4yvpT{eLudK$ZcxK8J<=Ni9>#h2v zM>gpt>^&{6a8gzLeO8*VUsg=j0u#-N^dyg25plZ-EhDg;0p@dtw-J}JQ{JySLZ==j zlUNx8_nKy3gHz57ckKtufmC<~lxicI`JWyX#ka->(TW@&a9i8q0N+=b+)hl!4@h=-Tlr z$A=cDaxu3TtEHHzSp&I7s(73qDo`!!tVt%`U(Plg%@#=;d%X#J?7m^Rtkm=w@N3w? z+xN3-@!D^xGXEB@TN3jNF-fyL*(O!_7;aa$EkkFZ z^KB=ykoD4>ZpWmuqC@+&(7TB)&7n!G11j2SxO_ziPzoE}g#)oqgC(=EUIO`4P7C?@ zQ@vGSRae}7)qMVU!qw3ho^YD(X8*#BJtI`<>PJ!qhhNW&_3x9Qx4M2mbi`FGu$3qy zQW|@kpu7uwpEP&zs1G$3e!qi9OQJoPtF(9OnWIv=vi*UI}DEC9Dd48{nz`AP{Wf$T5FD7dtweh=ty(V>@4^MupcVFA}uyTs>gTPaa3pPLQ z~3JMjfE=QnV|f0OKnr3^jzGg`0d@=@VTa2M7b!O_L`R|EZXDd0xL|rrbDA6 z_vve@+)PG#3!lDwH?p6MCo?~^zL$$qm-QZ4Um*IJZ=A5`e|Yd=3gkaKemoj|E-j{^ zp^U5D2qoJ3FuTjWU%)E7_8cK}k0LLVso>j7$LGwn>gZNm?}eHn{VLfJi+cPqE1oKR z`!sOpT-zQ0!tv9GZE>yB!00I6qdfR{6h5cA`+aizyfq1t0D=>i=qX9~$!nE6Ny)c| zKc9-r1b%Qf+Fg5#S!{9P3Eah1BFywfTW;*&sJ42L2?pMu*rHj6au2S)JW!a@_<&?JtMz zkMx#uk(SfbA0zwUc^^I;YvRpPI8E&O2O+-B=pR%pYj(zlkV*-M8m`C_s4S4~4p`V^ zBL;um!@7%mIt++ zB*4#Bur(E8%o{yCiFCiNS8 zJasDxblU#9yC&Z6kbSV$5#4P9Na=CE>tF3g7SL{fw`~I2&7OY^*0ShuldTFYpMd1v zr-m%#q(yDafqZ!!s@V?!%A?HJv=zci6{bzPD?v!#*GtEo_X;duPt;T8k`!>2G~| z{#_3)-rBNFF%e8HRaVpZzM9*VTgve{_k+iabK_I;G@+AX*_~`))>b`l=cC_vjv_Uy zSjMXS19{~szG*u0ifO~6D2eh=(apuTS8%}lr)PxO&EPpR$q*>=FLcAp(Tz&qcm5T# z(8Nes=y4j>lZykqBK#$QS|w9HK0P-3pp!Jr>DR^iBj_i(v7;qJ)AHjTubToaiy%oN z_dH|bRmNdI+z$?qBXdV{maQ$MqwdRJOFe|n2vy@fnKnsZqpDX~*a;ml z!1d&YUiT=`8PLyzEH*L0p|Cjw+@X4wNfvr*8SLK>yLC9?E*%qQ;Jq-sKc7F!dw)*e zb3W;Rl4up~9#^txdu}9b*{t{`u7V0-CI_Ih)3?&h*dqkF)v>-$AuWv;8j({HxM-fS zG8V74iR5DswwTc#+B2AOr>s0rRzZ%q*Hiqjeh0X;lF!6-U4I8J{VaoCvf-e;~kbdv0mXfca)7qw`?w!}^Gq z+VMxr3CBpL-wy~d0@;)lvi@=C333#iG*uyq`SiV&&duy2FTxCwH4}BQAoc})#;d5a zjEs1fwL+dzS6`NSrWBYfH(KYnG;AX8+j2j-7zQk|L$^>Wi6OW(XEv^6&BR*RI>;Y2 z>I4L|%)bFGsWO~&TVgaz*rEq6sD-I@27rnB^IohQRPi{J4=G6GrTed!h=u~BlWSYV zClTjyuDcNpn`fH_W4^&&VMuqNwW=?u+f%Qpz=EFw!ot9qdas;)Lun#3KA@m~Bh&q? z^`FuWnfO_Gn4W3b>()^TD)MXm5jlysH9QXTF_eAdTklAqX{!Y@MEhT9pN=@c6_IhD zUUB91a~S_nou&geg!;rv(gR+W3b>Wxo*rn(DsOgW8^c{Ca*e#A^Yc;WE*& zmEw8I7K{FPttPfDHfM1sBrKLQ_As|z0Fok7*D5neDb}cBJyY}n28N9Sp@ySnw%I16 z??c6ne9S--d!>oLexo%b8xeYB2X@zp#;hmv$5_JwH1hK08AQ%-jc zv)rv}Mnv=*<|tqE+L`}xZsY;yM)(zBLG8B0tv2A?==`U1lMFaFt?$IG(chrvsyo3H z%{iy)1hoiBZtUg zr4Yjzp`EGxyQK`$R(~BLpHIn#3D-TIll76(P7U_iUk0PEgNP)~{-7qv?A{1`Uc#G` z7!R!kCc9V$rn2HtfIceGL&DyF!E<;WKK7_2hGB!bJKbIp*kF2K(sRzgppcuGrmdRx zv56hK)kEERcA#ho7XsN;o@jqF=37SgQabt6e)KEs?N3(9x{^7hz)M`?iQJfPBh8S< zsvunNdr?SU(YNEWDj8aPJxt(_!9+Yh=rXy5J9{S(NE$!S7{*>O=IrgV++))~V@QMH z@Q-ps0w_20Uw;@^1nW!QkW+mBgoR|2`1|u!j-#E7B z9*&(W#RR>Z6Ok&o&O3tTni%>fS&;H8>yMhJRXU`4h;)HX4@iA3*S6HiFvbHzmdXlq;7vv}F)Z0q5q+i*vK#UxsOWS{J)oD&Fkdnkv!8ZeEX-f2S5w^Fy@u5LWsi zM)Q_a?lDeS58C%sWndsjw`qdJ-N#rzw#z8_eT7FN6{gOuzUV%xB)dHgCB9CW;h`x6 zj=tk+fY4TNAH@UI5v~x^;ZCU&x|BrLG^hXvr28TT-vk1#P2RFVb5WTFj|^OJ05SmI zXjq#l7^i>O#P3u-bvZ0Z0g4ij-f{;cAmEdJ%CfNF-&2SVG6^;PQ76}AJNIO8P7OMK zBa>*ef`YC^*Dx$GvhFH#EUvq+U{#{q5b7*X@Qs$xk2k$+@Pl5Un`1QcT>!cX{0rR_0np82pBsnxw%Hwd8)=;}z}y56f0Pt4M5Mi;`l`1` zgoE+E>|rRDbej-sVBHxVJXu0yvnF!qRtqzDdQbT{B27Zv8uoxD|4vSR-e_L$jxUVI z4+<=tA0~*p-P?v-FvOGOjiCDkD}{FZ-tAkr&}-5^yQLRxMc>gs2jbrzV9UgR^C*fy z086;k>Px2EpkGbPxM^3k;Q_);CyIEp^O|&VE(OM_AuB48jN_rY@UXx2?qW0%H2Ym7 z?n1~`Y>RGYAmH4z%vj~nXR**Mn0$VO>ZWk&0JDm?&Y~6eP-?jZ+#P3xm1wVl2&Chf z?6qRT(#v(nBoxmhFhoJn+t(Fc$tXd5>L>%MfRN}zvZuKLAN&5_%;QB&JW z6K1ZVptux}u{6}>@qI9ifgeQge8^i{zy=e)C{y;QCUmwU+OqxCvI>p|2VVPGE1Do407}solrj&c!kM*SJ~r3mO*(b6&GRY5g^BUURmQy%;y9U+0>8 zR0Ip@?oR>{B*yl$MPk|JHO8oLKa-;MYxq177+{pfe+%WzJa&z*6+?XgqT~ZCR zg$KIV%E9%SutgZ8QJPf5f8#y(tD8)0&iy@mMFvh3}ua zIM*-RwP(>L9MmBS%vw31%FQd_rOm?4=$U6GzS?-j!I}^H!oNk=iDQY4UZtW)fY163 z0}cy|z`;>SP0aaI`mB}uRmrlFN)>hh6NgmQ z>a8r3DSGQ+kY(xohXvzsTts`HT;+>-MEI4Du5aHquneJfN{2Zo7LFh>Rbgt<$;{!@ zHVtz*UdeQBTa>FGR`wVFC^sJeC^v_7 z?E%m7N&iE+sQ{E4hkukC;6pj`i{K*?>6Q(wr*qR^{A@DneSQ=MqFr60MF?7y!k9w8 zt$y>fak{PTG`kuri}?oq?x()DRq)gTJF5I=vb^)Z$?^}u7GcgbIC~@-8ImaM7P-?I z?wr3+(~gst{4`$IXCchoanx{-LE!G-5u*&+}={;;tXJwQrC( ztL~ua4#3UQrc%xSC^wH;zK?)%^YmA_Irs9AdcM>1`C`hlQ2Kt-WlN>%KIXUdWWh2k zCr*0_;s_8S_;p@D=?SeOZS^_!$2G|thqC(^^~r8$HuuWGDJ*He5XP|h-DS6*<0-H6 z+809P4WN?it_{=9v+=03q64cK%5@+VVcG>IVId~p&%;*(4ome&%L$Q>8FAY{f*YWq zNSz0wq;8lpK~9!eiwk)mPdZy1+ukrKGssBzakl;I6SEv@vi5PkneWG2IfqweKQ2w0 z76n(=O#~0GJN7-63!R7u5y(F(imuwbkvXXNlRn*f{@neHwTvrV{UzvjdU*RD zF<@ltjp!<%+#CZPHn>eH2(p7nfb_8*Qxu#>@x=izoJ(j|>rY$>@2@bJL z^U~C>4dAx{{>O82;uP!wF<;c3aJH4b#uTEb#H@qMlGEr;g4;}bsMyvp{Pr~|isLlf zs7Hm$wHeX&sI1&S4 zh&(%{ro!iw5u}945-B~TrR}~nbFf-IFkJ3QdT%QfU0mYy4x6K>{U7C~ z6>Spx1MSy!A>2bAmF(|b6PYlFzrpBb3h|TPV&6m_Hqq`KPsFoHDrQ?$EU`Q>rAKLC zh_A-72}e5KA!uW0%nRqZHy$bzx;6e94bcC-osqt&DjB6ii~alc(WZhjS^;t~S&qDV zCx`7J9e4U^$_8VK@!F4_arUOOY`#!lb|}7T^$5q<#K7Cq2N8w@=7=mFL9yB547$uZ zmpMH{N2o)XF|gE!Y|++BGX<~ZqP(Bi_T2R$_QEnO#{wg<7FUpPFi*h3mC^yM~aZ4f;`X$g4I3P2CYS$e)tR_yF5k} zjAki!+AHhU^T8D{OCPC}-~}C-<9>FNy0&9tEwmm;QG|vdDN-loUqRWtja~ENKwYO9 zy>Xsd*l6!MW~aeWBNJ`~@Ajy|R(eCb2ygPM%oIVV8-I)-+FDoaaRpCPcSwohoP}_|C;_>%d-f~f<)5TaT&S^{5LFH>v zs#}`sy(EoJ(jTv5s5>d#ts;o$rUE~mPGwE|;$pB%(?oHz9Cg2{oq5L^svV!@aE|>B zJ^*e?4zGQl&s0wEsVNoTROOa!53!!oa?tHIL_L>RHasq*Ri^)?+;CKQ5q^JEdQoo5{wg>5&3-@YAP$3^yqP`E zv6QSpS8=;%{b&+V~w_XG=lFzsYm8$KQwpbo-hoG(;V^in-s^S3e zBms#m<>PkwKJCFWJx1Mh&vAC8qGeEC&c`4-jM)xAFtu7DzqzbrX zHt9eZ+gv~wJ&K#`PU4=~yRF}%-6V2P#%JWNZOm3EU5ooeH(Jqr6Cz?qd`@(4-Di|M z06g#)uc+DZ6Pf@4hUJPn0J#pJZ={08Ut}0ALT?3V~rgIpKpg(WYl)DvC z_L8|TjVwQP@5vie-t501JaV^h+%d`gmlO!Esjq@AI>KE)I~Zzk?6gx^E01oF*?K&- z$7VN3tt(Gokg%bLO}yATgxoY&3GSG_vzq(w0LsQbJA1-p9juqE1)RPs3eJRg4hRoQ zw)oILfOdyA;M4pu;7nNgbLssoE~>z}5$7xQ|C_nVTC`2W3^_8sx|4OMn2|0J9k87^gICVrX`7;;l0;ymmP8<5kf6vX{o^wH|DL%C zKcQbvjmt(V^m$=!j=ud1r(9qGaEk8N$gmI8SLAu1EAjxQS=85}7Gf76?&!UQAM7Fi z_}Anxz`~L)7YWjrj#NaSX8-+>8xr0Sw#hq7{yGh?Q*6i>$=w1Q!hY?$Yl0`(3M2*? z!~ubX5$j3hq690FjM@J>sud~IY{GU^AhH1=2AR2INI0ikvDU_ekoz`z_4Hf6T^oQmDsI!uXR z{-tAwj~u{Q3h8Fa^RzzlgLbLFtdz_!CPfn9+mV1UYPbL!piPqGj_!&o9}{yRp;$h# zD*=c(ots|3xq&hI$GHhm z0h}9Ez`1b)oEv>r$waM*2-0>D-qe4b8+{t!pwWGk5!VdQUsDOzkuM@ri`tDLkEG)C zw&I$j8+(@tgM2r`1|eObf;1fTThF&(jyR|`f3cYtk%yOqMtC9;0Y(EP>PF5S-~x61 zaw;OjzLPSOYX)|N{W1lNqBmsB0fxMBfY}4VMW57~W3vh~qaIjfWe%v1#YfHbo(MoF z9RUy+O&C)Wx&sA(xuXV7QAY3(7vBqXQ~wWiQ}mzEjRpYSG`>JL@BczK4FGhr`VVyD z{x5Vx^cT7j1E3q$b=l9aq+w|jhF_qYXaKtDWpY=A@%>;dF~CDcPY!`5c+u=qMF7(1l%eFG;WOZ4pLLUAFVV>Rl zR&dl3Fl=#H=*|>${#(m1up(!o01SEa>E$x{ zXRR@{5;+S$0OIHa^v!ZWVwlL?E}DFbME*NHJv))1nH&U`q%_Z);B?5Kk{1 z{so0ojsI8-*y>e=vs1H<6My5x$k65%CrD;}fyrX+@014WJsQ7(PcMbeD`JSWzbm4# z8=d#qFfYP6Zw?A06^sL+{}vMiPuNp}6?a(3$lsZXfg5V<2=GU4EQW#*>L7^dr!<)4 zi<#14`g?L=BVpYfBZg9JD^VPPi1WN7v}TGyo_CkUPGEf3ZkB$82An@Jm`QqSu${Z1 z7;xZXU`YWo@`%b>5`0YZ&n>L6{?|Mhc^0ncOFvtQ>fRMb6)jGvV73Ogx3!%7e8gg4|@Y8)srt`XEoQSXmp4D6jZJKnf< zMHYZBijQn0G>|p<>5BLsH1jPyDoFdViNIJ0CIxq2+|E55&~U~}mn*AALPx@gB4GQ; z>0Zgn--9;23b^&1AW&O7DZcAhWTdd7IPvl2a0~hF{%%5GEPf@Z326#TvSTSzXo3;1 zu*2714owq}0b2)n`qm!CO$s{*m7MLl2+@KD&Nkw5c(`Zpc3gx?WKtb@PynLUYLbT@ z^LEo~jQ@(AGi601O+@{g;HsXpJDUAN<gFv#-9Z0C-7x+S>W2Lv>L#tG%>KVoH{bs! zb%R6k|B<>0SNNjr)R04b!C@+}|HUSxtpjThnL|H^MR{}w8i$v_s|R+>7SxzS-f?fa zCF&L!Ew#&*(Bz+wyWK?ZK4-9D6A2&KPXAGq0WTB1odT`UDX5u|wj9~5V3nRG08RlV zFzemOPK}Qcs#Khod``^xs$oseMg?Z|SI2B@&ms0AjmxFCPDo%9k=rx9i8>?)BgDB= zvDGhq9PN;`M1i8E;9vBOtSo*!GkJK_nvf#(FAu5aR>q`<@Upe#SVdR&JRM*ghH2%B z&uOVuwDSsUhtzCmc7KlKEE$M%O5OZ?${1bVbA4K}S3;Pu)Jo65x!*X{=pC>mpwYd* zX-9#s5r?Cd&>-KNr&rAZMOuMtby9xIEu${*k#!@_qRG|-gBMW}BLGUAAe4Hz=m_Qs z`)%QE_{iH)r_WeTMLRI$=-C4LG;jMnKrZG}te+KZ^-VuWo>mDa=Iz7m9b(gec>15# z4MZpMA(Cxj9Q--jIATMNM1bkSoys^@-cP!p0n`o3U+QM`U+QM$g}NF0m%0%H zs2l0O)Qto{-P8koLnm>f&TakOA*|*vpgVWMNPaA0`g*OxV}?C~% zAAv7!%?OqKtQ=n9J5}Gfqk$gCWuuA1z#=EkkfZRCzTMxdtJrL1-A|~4>30peCn>wy z{3;EvMA4QNvDVxy&ezw+C{k-I*cSamiptMQ)bwU5I8I-a^or~U3FkyheijDhq|WFd zTcn1-VGRhXNA!AuV*G@ut2?&pjH)ZA0P5!Hg}T|L+8g+nx|#W?DEYyRr{u?AqChv1 z%yAJD0$SY_tl7y@7gbum$UbhpgB!H~@1gYZL2yfL=)Sbef(!Cl+-?h3Los0vM@Qwn z0zFFN&#x>gm3L2E-=HSs;_3|ECyXWEg&=G`Vr_AI_k9nv28v2(%4UOQAZ!e}g}wRm z#?GVe61CX$Z)B=jrRJ>?aPPR@j(ec$@9{FrWzYu4G+Em6oEB+VyjP5n9AW!_v~6%I zK2x0OK=MZ1jr-Txn%XuJ*J>=Pi%lWF*&)s z3|1~!duo2F&87Q09mV<1t8S)&btjq+u$QSscqE~)EIhc|xk4m8uWD#7vvuTL8i}Pp zspomrS$(jfB}I5HG^D|%WlFf2YpBSPqM07x(e1T?im0l?x)&V_H$(7QRez!o9dH&D zP#+q`55EW>;NF!YKC|?8yZUHxH_o9n`C^Ujiw9SPeuV`X93^(%(a<;H;J>SV2o7?P z$)9E5eM!SwxR87b%y8THEQ@dv+k@q1%YzYn$0HerigAOTD(Ti~!Uj}k=_BIHIfObF z8CKChFzfmePD}-(1~G{Kyx>vm=%Cdd%+^Xp{{b6WTRcfl?`X?h2LZ470M^aQi*-Zr z->sYc7we|2l%$%-iL7i`}F+QBjV9alfUq$1Qf)Z((3#$RH@| z(^z96R5q!}5#30$?xO`Fo`kU?$etZtquRT)Vz7032oK2Kct#*54=g7p`-(yt&sWPz z8xfN(W%-VcwlhBZU+X4tIP8D5ZeVQz>t_AMy0Ln(ZUX;WH~s%<-5~sjbz}9fb)yeh zH@*L1-N?OIHv)gHo00#pZZco2n{2?kaR;m$JbV#gJn$duh6%84o?on+RKU8)D~A*T za?a+Em`ZR2wOAm-z_dOoVBR#r<@U<`yu2o20MHvr1}>?6R=;5b0KqXMrtl941FRb+ zz`8L2tQ#l#W3++H|JAz5GO@du${(E(wS(}PQ1jp!^EtoK3|!PA4f`rV10pPFVV{uN z8&%Y=p`qZDpx}|-L!6zdED_{;;Nhbs!j>nP=@p^Q3+!bE!{>8i&h(N79_q8;_cli{ zG$2KtMWMoN2u|Z#Ninc2W}_SN13Nk^POWM7C2BbQzWOMY#j+EK?@mZc*^Nhv46`Ky zAoIrLBB@9kek^5Tek@&S2q4Mf{}sEL`d_dcEC9Pv$^ft%SOB|;0I-{e7wqO5xLe)= z*bS`y*$h$$!VjX1`fWUNN;Y)zs4{eNN_Ze^mYbcdk2DZuK#ED$S3*G6C-=)f48jh> z+6M(hO3mQGAVOcJ>NlLDq#|IzX#nri!shnGa44g`H#Dr&r(y&IWg;VEyr~~3=GWjY z6N9jT2Br+Lj=g2VX>-MR-{V2`IemOn+wo(k45b~G_jpMZ@A1yG;tk#y`$!lif{=|NkTqbb3@0U{H-oTH zH1q(sLL&ewO^?vM_sKBFWbG?)+lhipVzkEK_Wj9V8s^Q8PEim%h)1SIJ%kX*Vi@Gl zB8fMs%0x%nDfYbTW@HuniN`P~ljGwXB8ez~Pr@_cz-R4?vKp?TWtYSC){kQG@b@Dz z6EX~Fb1l1X9)}SRBIEu-P>1cDpE1)VA^^r41Qj67BJ9?B3_L6fNh0JU`Q>GNeA9u@ zrv;`!a($mR>39``VBq9LE}2rg%HLDGlKz`^lLduE6s@!=2||q_E3r4a z;lAwkeJ+lbW!4FFUb^yS(UekCQJpv+t~O-;;o}`BP30?b(=fUNzN8Q9B8v^vTzvz6 zQX>cCVvu#DPO%aAJ`xyWp#kr`j*xwuMe74Q!@Y|*qd6;bsRr^ECq@^1c?ImA4bpjJ z0WIjC4OjO67{Nqr!G0fPJ@q_Vo#sNoZqxb8BWMx%_ci3mlX)k0$p}u?+Y8<$4t|2d&t(Y9x~^>-)i=-l)dnx}FoF>qve|&20C%N`$KqD3Z7fljFCXcyF_nO5UGdh-!%&kbGX1ke6n91iI9*SkU!uqp=EHT+Q$+v!p=m)tRJ*;9{{RV>JwU1|V=CT?NT^2#?Erl81Hmst5~&(~KigWH-D zjOWV{t6lQfMfqs42(}&&DC3}-w8(_D4$~Fh2z&?~+nq%XWNCuLYw>Z}UH^B|?5i2) z0ol=62T?;5q}}iL!G}bo&VjHwAc8R3Z}yad1?`Wcc@Hs|c>jmDy9#R~`uBy8yF+ma zPK&#{dvW*R?(SaP9f}kw?hb|GPH-#5-QC~xzt4I1zBuRh|nw1}z z0}Euu&3RM6tWc*W7v=r28I~)d9UhQeMRfOC#N@ld69PMP7$0RR9aswd9qgVwG?=aO zA%%=(zwTxHw%9x@Ri`hFO`|}MtDDPa5~gqltu>uff^2{|I>D(dFvzLLQmsAXuH-eK z=7(0S1pGE}yhvgZ+g0G5>*^}iCFo1E+5ob;%7C7-@S~0FDVDFYg8*g=R z80mOR!&&eufLMbOf8EBiu_%W!*=sM7h{x}n1D)qaX$3nG#}Xbrj~U0Z0!3JU!}hJa z#Zg|2wc{G6Os{dtJ*VOIS)NtITzD*#QUD9}OZdx^p4q5*C26ktVT zKP_c3y3VOi*ts10orvy}0qh>W`aCJ_WZc5^kYzLWn9kvy3$Sy|d8F7M|0j=({l5XP|2H1lKV|Iy?2-Mm#Qvv8#{J)$Ch6rSt>$LpW-cJWY{CM* zGcy6OGK-4>ScU#`Lo#;&{-ev-c>a5t|GP)V!OFqI_CGwb`R>Vh&i{C1%1LI>O44*x zk^@b&a425JzE)nw%Th#f6QZ8zug_@jRgzyk@_ofNC-uUm3Xl2QZDO!K%N5CdyDBgm zw`A6%|HqL{e>@8ZyrO@A$uY8z6W9xLo%HvskM6~UkE7?!k6ZARkg(_a^w?{3w-fmO zI89dB@_4aV;BlYuaq^-OKW(NX{95o$Z+yhpEli%S6F{LeMfbfIP$KO zE{M(gJ-5KN*9u{_nDpB7VjbrU)H-qiQy$ljMf!iE)+Zvs;bpT{=OTvxJR{fYIUc=oLR zd1QnOMZ_vZD;8pca=JY=FL7xu;mFeu)5>6nrex$;_yonl{W>~+x>r^^8 z#{3Led$HtZJd0uQDD+HL$U8v~h$81hv|k_I*E$U@~Bl`3t$? zZ(HEv@)S^SG`G0KW3hX&I<5Wk2-y|&+D6bnf%deyLA~oC&=FeXad&4#$^dx=3$qgEv}i* zzW!ZZMp;&Zo>ELurx>QrovNSJOM8>w-4pjq2+m&=tCo62Q`c;C2{d3*jV zg6)*62+12^kH08C*T{#ugLTspFS2&iRzoD)=p1w$K*GZLfL!PT#8!PlkTKVzyC=*qe?`DswA_EA+?jjmGYlXqo%QaGj&7rhX4 z#s>q1u_we7`lY!(Pp$m?fK-lJWP$g3+_>8gc_la&A>=wa8n@+jS?Q6cGP4Uiv)x}66o|0=EX(c{%D25q#attx7hjBtz54D3SMy&c8TmhxY{_f7(N?&Vv>;>|2vX?9*i!8D z;Ll40RI)ov(ny=NgaeKrVU)#MbM@e|9n{IQGDKch;jTCrdKtD)vR$pK6+%BZ0PJ~j ztUIQUyt2V28K;4}<-{M#ni|-KYMiOTh5Dbc#M}Q&G9HIdUp_bR4w6pm=NDL#rS95L z@++u#Aa(1)_Hm*Xy=XLC+Q78s) z6LMGsF!?VQrd4cL!6@0nf}8aQ>4QIs&XaN5#P`)}4@!^O?6QVv1NCc#9++eK@wLhD zs4Mw1+l;$bCJ(P=M$Let$_KF)BsO1p>3E2ob7>q2?gS^e^hUJdRQy2SB4kpv={TZ} z6O;go;F#|XC@A_wSLv z$x^my%k(Nk^ii)tI96-d&uNgd^OTBH4)W|l4szpM$~<_|1K*4BoYI9n59KNOPh#cC z8-E6J?K(kv)Tzud4}kmZ-Zsw==NxwBR2%b0-gb9p#coI@{#>kb6!8~)h4BPu?=2s< z&sOlWc~U`6&5EH!G2Fb>rlz>1seNb3w+-UF9Y0Fyof* zNHP9ewI%%sUp^m^-EwSWki~!gSo|LIbFs*V;;FfazJF7jly16Mxj+5WV#z&9KGhC+i zj%;qN{J&YUC69kBnZMp-$hV$ad;6TmOQz!07C)>N+|8@epbrYx?@F^KuaWsNt}Tgn z+NM6O(4|aC$LCMdKrXFmKw}5-vxHL=uu1L^^uQEIPv_ zm?Ua8BJ6zXI~i8dfQoaM6hTLET#Am-Sq^jhI7IPxc_pEk5pKUErDEq2`Ab;I`)pku zLNy@{e^wYF9o9RMo3oZIGk*JagC(P^Bc@2PV*0y&W!dT0?7HPUaextucj)4P!znJRHBnTNVp6ub8|CI`!E< z84M_n=D=$>r37ublU9FUWKKrQ6S*jaz&DYKjUh-|Ue|OA@6z6^;g>p-l?1b7P5I!n zP^Dc_PI2`M6F~c=wl4b3e=OOiK1g}SlgvUJ2zIp88l`{pP9!SjLL&+(ds1Un1T2>- z{$t6ur!=%YA)GxsG1ynzps}`SMm6>0OD15)&&7fGrgp2`Q~A-wy7LT^Q{m-~cb(-XyKc>o1ryWT~anyTZNbzq&LClye8$7#w zQ;jd)fOu@(5T;DrP}wS&CCf9%ziL*jT5%*dNOO-$JM>CdAT#eo#K^| z#lb%Rnh_A~`ks7Xp3_ZQmtFj17(wL`NW&Y7q#6l>e|n7uIG~AMVjuceJkvcCFI; z6~p|ZT@~nRTKR-JTe3(MBd| zH4%vQ&3y_UH~_x@|JLn*HcD@zg&6k>woV(#QS~o!!&~x|FvUDO2l5Z7Jsb#$i#}?p z%%+mlG2<@LeLNbsdSMqr)YeSo196xzK8aSl*gqyMnQK5tI6=7UKjsPSw zCEZDGF=0+$j{F-N!!|I31dcd?Xeo&Vx+(uv-AG?UbJ|eFaQA1ZLX8)!!2_tNe+hNk z@CZ`O?G|V1lf)|>A6W7GJe*!j7p$P)HSkKf;Su~wPS9zG41yEauxsgl_+Ktr^+`U1 zA?N99gmLSch|^lUW|uv@y?$6ECH(!P0d=U zT4Ti(KDM_&qVjtjFN~>xYy@RtLAD@U9T&Ts_^{RZWZi<`24xZ?l*Xrleuy^5Nb~5I zdwL&72TOtDH>XG?jqc<>m1MSMWfnr@jd+c}v9ZhGNi2Z%wdrwKz^JddFQ$u@BimxP z0qN$!e`tZCjdp9)lm~kMnNL9(ac`zFe3-18S$rYGEl1jZ`rZs>K48Nxs(_-BFr;>R~ z;o(_8rtPnA;&?wtBSV)OVGW7wM@l6OfhH7p{nm`<;X2y%>AOzva}p&uEy@1{GL%^) z%+H=zVyXX0AVzcpI*kASDp{NI$ywIO?@8%W{#kcp|9tji#V(`bLLI>j54_FV+~a<_ z97mjO(`3=m+SHGc{zICiGr=VbB#z!PFc>}Jg2-0Ry+1@w_8V(Tat%T@otCI0 zs8?ZNOfKE_x!C;@Auw3RM>SV!CzM$-i1*#|E=;|eE7`M1m+^w#!<>TEm}4iI+WLI_ zFfaLXOESe_$cBOl!DDa!;l@CxOCmh<=^f#32lw#}FVEw=2%EHq;AD9>M*U**&D_J_ zy>)tSXEfX|E_{w`Lc9J78ZqtzO%`P2IRhT3nD{6p}tj`I#!dJ%ZzXt zx;RW?*sH+#+Bb8$0o_!mBFCVf1Ub4!Gz-YY&y+vh9LwSYnCV?LcodFke@hvj+Ua>QT_SH4>uIhEGPDP0gIYXnUCDvawajV~0xlDs*~N&z zuCV!GZn2qBGD%{Unm)X>j2Wp;n2}5Z0nRuk6NtR}CC)tc+@wmxnCP?=JnO|8__`&N zpSwpH;+)5D);H)U6tjNCD%LUg7$hP=Fey9toQ0axpmppK!vu=*JnCQiZf!+b;Qx&k zjf^a*pN~=~=U)wzbti8zxHOdQ$h}6k4zLX~T>p2By%nl_S58&KS4)eb^)Sa~(VtY| z|Dru{@yP)4NsX=Njci;Id6%TrM;gmzW_RGdm&~Eh+1x0Kz)Am2qAj{kgSeq&vO4K) zN8v99wz2*Maf7ro`xhz%pcw2djrt{>MV|_^Y&xMAdA4*S$EDN(_%|A%##lEJu54`a zBBPFWlSsOd%wVb+Z^4VqiWsM5)g^PbucQ#yA&3yIm^?D^I5n7TH9`%kTZ32RnEcyC zPzl+TX8bOMqc=X{*tw0C4)QoPzd?V_s;+#7J7XriY8Zle-{l+iU{8Aq(|{&a1`a0E z=az5Oupm~{KfGmVzo8RhUj0P#a!wGcBN|sJBk?!FPaUM&7MwJDVTG5pAUmb1@f`~1RD3}XkMez9r41Y$zMDUbr^*!e3aIcZ|)b%XCI zyT8tUskQW$AzepZE zMBdzMBcJivx4?pzVvbn`lv^Z*l zj>hQV`kr#yY-uMmFDmqlR;vI$dZu8&G1A+0U)B4*#r~JYB@ewN2|7X{yS>NVqjEdR z*HPq=RKUPiJJ7R2;5%_QeMs!oCsC<`F?xUvQI4}n z4Hwsl9;j^>kxFBzuuzrTN%Me=Y4O7QzD_Ggo=;hzJBcArWbYaF^@Ya?R}m@hF^*bfkJy zF&&VtfKQA<^NMO<9QyV1*FnF!ov#uo9ua*VXz8$X;(YL`d7yO^WUA_SXU>N_F5=DRyM) zHVFM~n1p4IU5C3R6^n18%dH*DH1eaSI(x5?s*lFc)UgM0b9m+ryMK4eG9Fc$%d06u zZIKRYW_D-Hw5Y|Y#lENTDBakiMqObZQ!#xcbIXjT=|?aoBi!M}^=FftHw6E&wu%U2 zGu0m;B(Gi9|BUYT0UYY+E^swdr>W|ApU9k6o$5vPaNKk_QZSGsaZDLo_eGmQT$u{m zpJVIJirP!K?FmF9@@nk}eR6d52Ys4(*?9OIrM@+R4G~tV-TR_rB+5U(>6`Fr`#17; z_7PM2{5nSRP_s64j4U4fWw_fxX!N_bMU0uFMI=NWbWOpeBj&}Oc;j% zqlp&}`LRRaW+#shMcJ+C2Gq&y&b#((&)Q_X$}9w~Us@c4Fb!p93On>g#>||@ zP-LIAN|KM@`(ePWmGoL5(BZFSO+#5c=<&(Bk?}t%E>By?jD1BzHvLjsk zRix>8$$Kc4V;v}yOPLJ;jVX|$7)dzCF?pf;?P(aD)m=pdcto;0iNFUKE}!v&PWTcl zPomfIGC@K`sFQmPM)Ei~Zpq~)8;|T+#rK@+F)FAXfSb0aVzD>xEl3eJ8Zse;lUB{zbW#KA0XBFqJXyn?hOC0c=KeSh#4_G`T$ zmnIKI`;kv*@{8s!i}-zp ziwZ=$cU>k5sm&Fj$u*t5q;4v}!batFtI4WgCXc(qsl9u+3`g)HnvQVG9%pgaxj9$1 zGR`Xl4#+kG<}!bSx^jD@LN1w&WQZF0KFfd)eUu2-QO0rX-_I=ZW)sblmu_A8H#*s6K2EN&S#sL@@gy9-$c*_2afwiobzg-2$l zha^Xg>~@b5yfUv4UpC3N_FyjpiV{JXq3jzI^fJ4k&=ya|-|H?-4OO7zpaP2`l?LLh zR#XNA6m~~KpM!9X75wBh-jadNv%FPu-h@*og>PZG&ntNh{o_iBit!~zP)C%Nhkt^2 zTbS%|H>Fi4EvA1Y!{nqE9&t;E9q4eb{Vd3o`?*pz<^rBY>!PYC-9X{hSdy*8S5f*< z0JCd00iL<<9<|^-Syh1~`6Q2_9I!v*`&DnzK#qFzn)a0#L(R;0n=G~NRHnDbtv2h?IO;i@P4TpkNzqnS;CUpss zVNwP&%~Q3gwNL3f>ec36nhlGP1PTv~sVDft(f0RJ`%6-uHqpv5Qc|AJ*S3$^de3oz z94cZXwu-&pl(%|2wlRy+HI^!Q8UDj#hsYGA=S$M>af+UeavE1D+AqJwd%(+xulETEmU8JQyDVn+YQ>UxE_eH3SdkkvE(x=PLxXYOQfbLgtR)cXd_emo(o$JBFiyMyrEfSA*`n z1i9?a7jn7o=nP$XDGdr}5E=~g5GcsJuHFns`sFfQ9itUEA7~hUIrk zPk73lPi!uNRb36>d<#Efdel{sT`u!bUU}J(6OxX9FQC`v+Po&wE>eUj%i>o|HXzY)rL)-L@e%`e*wiOjg>7B5&%k-z3SH z7Y7yxJ)6_RQvtIq)uqe(*|^57k{0azbQ>AMy`Jb&0x4+co7 z9`ueR>$_C;B)g^CbsGMq1HhN{`r$~#f_6%f#ZHeYiutV=;Go852zZ+LeqhJ<1jZFl z-eqtvAt!}+9u<8VIT~@{QEkn}pjMA}eM~B$-lf0fXYqKq%~^JcH{OizalgQv#06Tj z;7q;6%jA)BGLIvziE5^cxNp8mAFJ#qY;)H!Uu=A=DvaV`=bS&@pNl0j;e9XmRWuj9 zHQRB{)Q9WhV=*VMqPKq@#El`Kao8Ppri;_mt8l2W>qLgf8)?0IrO3Hle?C9+r~Sxa zsR?Ml6g$I(Of8Fw+ZnGRds;*3gXIpj+L4~$mt;+u-r>qM;;{dbnUC)tSVFQU5nX>hZv}&&qnP z`t+=fHCiEFf-fjpKSjmCN2(Pb)lN9rJ?;sH8p4N$P%HK*iGUf%UiEdjx0nnhSEo@5 z1udje+eXO@jLwEg#pY-CC6ro0aTAL^&cZ)U#RLugd!=@@&aFZk>t>bBHR2C3N+6yA z<88cJs2Ilv`QbfD$HiffnA}4Zv1W%dZ}y4eH`Bi|LdAwAA;%^RqZJ%i>bggA7DmW% znz$jnjoj4k7k}Qv0IBQ^SGKn09k3l0E3~%dHfP_p$wD$AyZS7*lX}qeR9mm(;B{oPl?I5yaj7`dhM-)me{vetk0MyL7ERE9TU{|JI7n$+u7Oj% zc5GB5(-R2jht~h{ahxYm(|21IZsOlBGVI|BB5q}tkSXpUMO5MIH+)Z$<|=s!Ilp^H zautBQ^;@=TjRc`sng4 z=aWF2L8=2d^#pg8(J5g)F%o>GKzCdogN^b!QGr|jHhG}Nh^ig@+$vJ*=vl9dizwM1 za`YeJfOh{54UG0*QBCXaw-E{o&`^WIioeN}6s`nEzI@=D0M5yKA<++r3}JW$ul+h< z@4*4(dXoU#_dOIysy)5Lb5-yL9A$eicL_)wA2n5eka)>xqOWq;7J56V_K>TEO%6MF z&UWWWZ#1I*NsL6c<9ti|CN9i4Cv4be@pJWoQsI{lmys|)EsQ`iG~sfcv;Fo29;}L} z_)(fXl!8@}3^+f_6MyrzACfRNjh?%O>4&~i962=GBZWcxU>_M&j3~12YQkv(+w5YimK!C?)cjPJbVZjNt?SFLxG!#`_HI)-h|h zB-xoAZsT`RDeKir5xIYo?*1;kyT80_31l;zh1e3wFN9I)dyAiVe*`;@l}h;bkWW95 z5>!(mWwwk_LUosSAhxv!qpWG6(RPPiYUWOj)s*_@#s&)z#~Y+D7SlWGV6M0kJvFt) zj8g1hVb7k25Ur4SSv55IuXCJ^)t6)WiSn!cB`IZAEJlbbpf^Z}sqwX@;j9c4qgfPY zvj#yD#?!{~B-;lW>w@|gg^{^XV{qmmUn2wv$ddU^f7I>imN(MwCkwS}Pk7KLDOMLa z(>ss6LEqN8Dc5=8nYfgq_#fb41Jt-G6*oLV$J&u1hls9b?EF=ksJO_hq(63{tqNnH z^^TFaqdrfapl0c8_lcike;qOCobWky0LzkYA=5n2fSAHcvY@8^8%L>KOtnY@%M^`o zg6!%f0&amDS(rw-b_)x|kDvM`=a1{wE1HOn%LXFEF^Ay1q)Dr@eriE^Q8GlCF`?+L zO~l!p*Yw(c#MFmey5!<&#;jmR{<6;3(pg=pud8%iB1y~!1`->(N%A?Gk7qNGr5M4f z1-<9>yPa|$s$^eoTc_Ro^B{lp7eI5T`^T|)XZ#dIn>Z)3@r$0oJX{}Lp7uroekV9e zfc(;c7)gUHh8s_W&>53Qo0rG*aq(wotL}I| zLK4k6$}{$P`5NJhw~kzI5P|e}cwb4ci~EP-1uxxQ&0ddp5<7NzMJmb*Hr0t4O66-J zo?34IwNqfvd~~muAJ!b`G_SAT8&u)TpXG_oFaGF8t<iF02b5Vzd2u-8!N z0&m*-xvtG>o*{BQrt`$(V_O%P>VZz(TjX2N@rY`<_Z-bM)#NXsKypLXv+%ogsz7SQ zcE^8Oi!}<`6S?VWbRmWswb%7qXKhDY%0`;k9vcO8G6)H)kq2CE4@mn;ao(PM~F~9C*nqu}I<@bN>caqM>Ux+Sg z4^D1O<5dV4DjSdx_$`N7Gtzv+0)sjAh0JkFLe3J(PPwGhIiGjGS16$0Ju3j`AoCFe z#0Z$;+h@M1x^dM&N`D!haS&HBVV=%=A8q^cE*7k}c^rYrjA!kb4iapMULjajIif-f z>GEQD#fB$MM}kgvB#L2DSG17vja$QEom4$-htG#KwQjVR)ibQ0HJv2?Mix@avdt3CVtOWq&Bxx%B&i!I7zDLr(I5N$ zYkA6w>nF_s#a?3yUnE*6MC6Gg7U6K>K5C|+&q(;k4z&XPSs7eh0F%)y=)Gq|my+sI zQHByc16AwdK_{EGRK42Fp|#I|L6cnJ|(d z!>)kk`IJv5G%@zt^`cJDzZ=n>))#wd=b~0>Ir0;YlFF-EqFg;bW1-Ti$|`Ha7r1`zGy5(&Gw%DSL0CM#>nstJx=5>dIB#n!%3X1J_w&kFOM$VdR+xplj*6DDAy zz{*H*a!gFGwZd?p0edRLUIC@7e>V|8wYABfjQ2;jaEQjcI1)S!qbd&|0c(YGe3DDS zlJ-wUZWja&vG+5Kfc?@}#rJ`a_$A(?OA_4RA0{B4PReQ0hWFnF9b+=`X~&V;dj`JYWBl-7Jx z@KGD5>Ig(s*CjNC`UOJ>TaZJjf&t4F+M84`XTTA&l|wRmy;|A$SuY)s4$Q}#Hl*Fb z1H!ifOnE*R<+F|10{lr4qmC4+?QF12as)l5j`ZEirsmooMe%e^?S!xun|J%{j|iWW z1x@CTFa};~JjRf=`^o!@S+dX0Q#3(K97v|0-e53e)Dj(n_MVZ9@z6x6q9i0B>Yr7K zUWA-zI*;3mPQZ$B{tyH-BFzi~elv^=!cIMmBzLQ%f-v59NDYIMgo;dwoJe`IUy91x z40p%*yPx}W@cNPZb9}X-Kl?b3H*E1oVxaxu9hr|}`?6gY*)2?nnWNkU`g|^!q{*ng zIwOdI;%q~qsSJ$_BoJb`|7u+6V54JPnNxI?GbredEnh7Y>tZygiansofPlPxOcta? z6{A_UxmgE-spp5%%m$oQSyv!#Z$V8cifG%lXH~Z-tg2IX9N5H&S^&xPU@J?NF^1=N zQ(X@{!t?L5IAf#??5`C{y}$I&Tb-JfWGRp}h?B-kE#8Tk&+YKUILgz{(^&j&^r#XF zu6v%pCxe{BX+pAx+8fYxLod-yrPtMPZ?PD=!#-K(ug%ZJ*+GU;@GAa`?REjm%FSHx zXIWPHR$}XDpRTYKAJT_2osJB=>+1SzGT4eCzNCdO)8lCxro%^2e;2K}%pdA@`Dp{b zjJNQ@k*E3CS291E)P@GSy=IZxd#3#s6e}kj68HpPxsOL8(mV$(>^`a=B1AR=LOAMkgV!V{ z^G6n<-IS9V%M-Zn%dq608XG1!y(qboZw09pMi6>Q@^6~vry1Sq7y!A0(4gX=lWAJbG*N&^~gftsQ znL_{O*03%s))I@JVp>qoN#n;~Xfr=E`!SD{KN;!vtqq=cflA-pwWeKhZI$8E-bzuG z-YlP)3sRky5EBcF_I__^Op8vcGwie0Tij3DHet}Qe~Ych@#o1}jGdb#e2mww9Ie5f zra=K}Oy*KG9_KO1J1Vvc=%G~>=++Z2wh`lYvnk)7`;Wq#ESj7@R}gp^sV}_n}uCR*Kline7K1?^behE3y|dv%kF^35|?N+#wnSe zUcoN$bbl-TbS|^%%rua0;nw}alql5|$%th9E^;!t=@JH!10IK%5jR8{G4~oJ|J%C2 zeA&pSS)klX-MT2)2^AT$d+9}$lC4qdc}N45EvI(*QE`i~&v7ia`e0GRsM`TGp{%FB zR-2F zGoC7Ts>7GPB9akG3L>a9lPlY;ulmblkF7spbHa#%!zzp`To2e$SukpUW##0Ze7`)q z{Ht;s=%WcQ?X?Xp*a!37m_s+5LrFI#G4t-7=8!keF?Jj1n{9fQ&>vk<-eBI%bXnl9+JmNox6INxMV;Sf2&StC@Dr#&N647RJEegqc@J8S20v|}>S7mVZd7`j&g2KTw&K?f*7IGQ?%4)J= zALREIq=3a`DNbYd@C1&dxh(vdjYvlN+gq9x^ZdAc{24DwaRDq6!tch8hCxGaffdF} zcTD0VJ`5ndv8s9KX?a6n)j(z%MjhN>`!8@LO~b{b`s0IB)T3B+sn_DxQb9Xx;oN<_WakRZ06KefO-?1 zI?t}la-c3os7!8hEZIW9E1bYE#8dnZZf>8RA+xf(Hu6|Kf$L%KNKYAz*TVYuWq(Lr zwZKU?o0RCC$9I3gG3c+JBfIx8lMGXNJja1Mb{%IdJ4`q)7DA{2Vx?`zhRBQ@EJV>? z?d9Rdk^2+DPGEZ-1LAokwz5l5-MqwXeX=i2nHHVRY)4B36Wfr~Ii-pNjkNsnq96X8__ z5f+R}Apz_G3Un-8%rbD)`7n+|(VGO-B85`?`UsR@mJ{nR(n|oj1UBUaLYqkA-zF<2 zjFPJn|4;qkvKzTX5ETPRQ5GO9G^8geM-baO?Y;)T#StbU8I)|oH|I&8U2L>^94!!% zd)%qJk;Cv_FG-?bnLHWj+b_!TCI6%%jJnAA&6dgeiFuSOHE^Tl+GxC&3z7MUcM}XE z#q6lKk_u00}rTgRUXU z;mTT-hV_#t0T$voH0FIgH}qOYjFIy`lCjcrx>nJx!#TN(^wq?LKdFSEd!p}+(Ohzp z4bmZXQ(1Ox6|R8culAQK9P{zE&`JBB=he0wzSQgJPj**a<=nR`peQftG{cWu=gFS^ z-~(-xzXW?J##(6#UXQ0~R`z1;$j#BF*&13mQ{WQ2LPyava^9q+%ZRhi_*ZeDu~8bs zOor%`&+W^5*mxj$(z|I`q%#m!lg^?6uVz5=R=6J&`ZPB@SwJ}Z-uExJ8F?X!pccLtYdr$>bf3V}I0b6Z?LGY@-kwlpnBYF7FoSX)SzLvOn1TuoIRw*^N?*oDwb-9Hm*dup49F(R<$9=j12!kW9b|S zc)YhNeh$ma)^?5%2K!~<8=v+hb~Z%L4Hgk32Xw0V#Hm2gTE4Ut*(6Nnbx0!2q8j5R zZ?pCpuV4NQ?9!*$2ec|LG2w;T!bm5Our!-V;HY$8m2XRrJLRWV$-dK{cmCw0RKvG5 zzHE0I@=;w@CuJ5rwX~p`wo~EdUpK$`&0N!euWB5n?1@YdrhYveN3%xO=blc=rck@E z0B7Mja4BcSjwX3N{wDPzua9sWt$MtLxd)HMA6+%Y6ivx<*+ zfPxVt6@M_@95;5bXUSLS;~p=p^}kMU?F+ zHbPo%QG%8aeRj$N2Uzylb05)IcUd?i1|*OK-29ZM?b(;GNo6P>BzE|=RiFLV5duG!UW1;IT?0&h}P zfz{Ak=c88yS9oxnvF>g))gbkpMV$IUpg|QXBhKyU@L8T;bA5%=J)E#ZPRVy zh@x5RtubdO21C^^QFaD-Lqky||j}(YF z;f1=~9Z1ab|9KunpwCB#Axi6Eb|Rbv!~;;13SYQIm5*Pu{k})YjQE2})1`LqFl6{F z(7LF0SzU3Mg)oOF^e+x=RgU)m;FYY18LM6#Sm~69RN2qeZ@9E3C!ZZyj$>!OFMhU& znPCC_s43|ouf;b4;I1ovX_-lZ{iN3wq*$Jr>O`VpcU38($|_Q5I*alJx1`WiUZO%; z^g}LUw&h+gLIp5#>@6KYc>|=sOE+m^JYXz1BzfA%PqN2;1N`120k@N%Wr1rj{rQ`T zn`ck<`B@M5$l)vRkfA8puihpt)x@pq)z8$bX_8#F@$kXB z2-FH=s$Lj6P$%9$`SFVAwuqZslQ)s|S_eSq>R7H>z&^DZ?&e^&1ju@Z9z&N`?M-Wb z+T8DBOX)wV-ZiHw!0{04nb@7mE^OuZSDM21_Rp_> z7(7xNgcH8QX65lCNj3TwsRCp;5NMtcrYI*(+ejACJe0_cWh@~W0%E1=T|-=)KIOp| zB(PV6DWkfko+pGrS4^upis=v1s}5MT9AGv98oh_yN4#7wG^B7_5dn80{ix*kro0{G zcOh6ii9T=`&6^J@hk)F6-TrURyY;?|2(>oUeu2PUXEuW<+baxtKxGaYd5tIVDvhgI zkFkTdq^8C<_Q~rh=kD@2kG-f(;jOWS0cmK|QetZ)%u;jSvp3Cv zF*P4Ul%kFGg*CgS!x6EQ_LHAv-p_KbUoYU10?pDNY`zW#p^BKVS%qi%f3+|!7=H-) zat1l+NQBYtUPq9@EK6G`^&!F|(S)Qa+AhQ#gM+2l&48uVMrr+9=PNch--B@=p>v@M z;mB)n%l95T8KMYWw6RiKJym$JadZ-boyuAXWyEJ|tro$BpIeM%(JaK1 zi)7ho?)yIYgM!R45Qa=ix_^DrLh+hA)Ji{$P|i*cRTL&J;9bT@Kqlq#Ga1R~0nn$) zQ&JL$9Fmb~m2L;Q&}rSGNtuNOducOglScz&D5C+-wU4Wekx^A4T6K_g+Hn?%(uolh zna@smcO^segvxxn9Cv@)LutxmTLl5mO$er+dYqbMw2(_|e0Uc;%~3Xw1Tj#Y3Ry3^crwfP(J zKAzvnJGqF%p0?jT7P z$P9<2lM-ATef$YiOnVOxTeB~g4sP}YCf6BIvlDcJPd&1S>A1uJwT;h6va$tI^*bqd zXl}JedhL=vwi+_T-2{4|Ah&v@Nj)?i50n6+j~9ufXLx$zx%|#_A7d*V=l?16_O-*n zi*F-QFNr~er)>)MfyV?%S;@Ad z|Ej!Ki_vCq$OjF14`pvsk@OZDd4tv!-|ad$JC0s3>Ul`}|jaw#G27<)OXokGXAdCdbR|pmPkSh0M05Ioh z#n}VlS4?sA4kSkCt%6Sweq8RY*Hu3SALZTz*)Mx8s-OvSq;hZhZ+{;UjZkk*2@mDo z1kulGv2I(Js!03ES6fPG`D_^xz`iTNR@>>{o*?Dz^zR$6+M7UqsP>kTHZ&ri7h)ey zn5gz9Too;JhiY#`W~#k~Oi}HP<3+VM{$!@wTQowox1ft+YJs5O8f6pJ-Y6VYZj*^o z^OeQj1krB@4v5!w^VLz8PcIo~bX5hGmis0$QS2>bj$&_tOcZ+yX`$F#$OgsU;y2HW zy>XSX*c%rN>z@VPjjA7r7ki6lsJ6y+#)@oQXRO-Bbr!|mI5R-F&Y~68Sx}~0u-041 z2V=~L^TB51mC7_x>n+5AT5r+SM6I`Igj#QbOw@Xd(V*5_CiD2QIvcUpTOcU6M)|QO z8>fb~-njNy+D!(yob`sZTYxU0-mdkZS4_7;OdIkiAgaxHjZwKwWJYPWIoM0K|iP6E8P+j8culT@5U?N@{_i+FFQ;#oj_xsM{8@D!geySQ+ukZvJ+K z;sWIm4tpmuQR^+3K&`io*i|>!LPfUFbk=$!6D;kkHy|X^z1(&R%;`g zp(tCBX0f+evYt#Hat1&)&?s1CwYR&3=Jbcv-U2~wHxizy_C`B^)!qV`sP-14LAAFS z4f3GlHxt#~q7kaSQ7tE`y#*CidyC<_wlEk=6>X6n2}N2v1_WKbY25HF%eu|%CWZlO#vr{&Fp zZJ1jYb)$krnKxSbsg>{OiL$FKyALi<=IzecoXx;8Z-Gpdd5gYL=8bwkQ|2wm)VoC= z9u^{~v=(!NGH+ZAtk}l&!pwATegon)-FS|=9y{U8l=n?!qRJb|B2k^dM2)wQmDhL+ zpd?$Rx1W*c8S&AEkq-0}u}S=9rp6n%jsO^di3)Eq7F2i(gyAKQ?j4z^@Wz!9`9nk| zD!j$`>ixdS;%FHeZ{Do%#_fk?*tq>LHyaww^lffGkq6H0C$;kJCsFq82fb$=;O-l_ znltHG;4P4e0&meb3cQ8*qKm?58L8P%5DCtt%lu|6-zek;Gj&A7l#OOsy{WWY2({jBnt6n17L0=Q zL}|B>7fQQfjYnxW>^M={Ejnjuw-_NxyJckdG>g)1A;Oj37x1~#LxbV4v|DtJ(ry{C zSGdt^NoJdoW@d8qzq~ECiPCQDou%DKhI!fX8x(Sj-%ONtgLxID-EcfbX}2JZ(ry{? z(r(cVrQL#bOAAFTx2VX*g&d{buy#_b;M6L+1u{|DEyj$>ZW*CHYCNE}TJXTiZoz~X zY2)%|9XG#Bj)3X~3%gNyNSF#1os&(BF9H6LBwE$v8aB$Pz81ZsLK_Fjx^BTlrJD@qA%jH=Zw1*Dcg?rg!#*x^5ZqTwVx_ zwbdxpsOv__vq~Fh$LqLZ{?ea1!BN(YT0pYYl<1n`;_wpU??^(ymJ|Uq1w-w%P%zYI zqk^%jTPPTyP%yTlf{`*6j8)z4V*Z^ZCMlh?SjLCn2Z%7mf%SQ>P&NU>H;(`UilX~f=N0%?vAhnNwt5zWAA^8}^S&&?-$b!l2@MjUMVP>|v= z;4zW)&?X*zkcw`n74ut8h^wEuHsKSx@@(*oED2ed%`*&|UhuyZLBfL_S{XUq9m!_h zeX2@Y6BD|>Nfg8q6S_NiyIlMCrifYaFM-;b5CJSPAzE#om=KgV&oDsmFM*J_VPnh) z_&~GZb@Rl8nXD06&;A8IvDZzA#XsfuJd=%5t*}fu;?2ut#c#HWD>WMx#AZpjAU;E6 zMRb(HSs9z9bXLS>ugS3_pcRqWrFs%jMs!A3#%AUDeovHD4>ac|dIwS_VzU(c@=0Yb z{#m-`xkMD#qVC!xE-@Omp6B60p2s_|*tQ+93apw)Cm`XS`X31Hv~0%I4lFjNc3`b- zhug+nYbn{oXD0GWu+~zmZOk;GTCnd}Y8+ukzy+EGr>;ycjk&q;EQmZeeP+d?QS(|| zfmDBJJT;o?wSO|1$MsO6(T2n(A_cxG%CDsLX){yYi~Qo+i@XSVn|#(AVw!?xp-mv2 z7>oXaY@TWr%{G0Eo=JOsy;pRP8hkOtK){E$3RXe*O+u5iv%m?5O=T)Gfn?Uto5mq4 zg1~|_CbFI88( zgVQMqHav2{3U?&!IM=_nG^6w8yFeOq*V0IN%>54zG^sduKrKy%)oX$UqsXb?a4Hji z*m*_zAQ9$Juo=qa1?4S_M0CI1R~wP3by7aZEry8={Kd*uf}gB2=AIi^Qg99{!V*rr z0v*U|>cg_$5Ih(3Rs!KnF~W*)k*Ev*Jm?Fl9+?M%3vF%%J{JUK9EnyFiXuq>S)P#; z5G){bAXw;L`u`O?FyX+Lz9uE?(37RyfK8+KXhcUskcM{U?!jjaF_89=+z0}A;D&^E z1a8>-{z;2qG4Yvm`4v0>3O?BgvIx2%FT|n-Ax|l~q#yKiP3MXv8$3@SOpS&so&#~J z2;eDveyXH`f}xO8eWNQYwU;~J=-q*^3L>}^XhD>hGB0$(U|tHU7+~%)V6$7YZ^=}^ zDTkIs=MdMH+)qI8UXaZ;c-TpKL;RTCZ(cu>Bi!8ZPuc}2IE_}2Mo@;tHijT>g8m_x z_xI73+BS`?tb6xB7Lk6shvgl4P(h(qBZx*9;chviiSQfpgz#-N7h!beJS4(_yz%fx zXs-8nc^&&d#RL$kY!ZHjKMHcyx>+94eyv|ME2~7Admvv4**r`yh}cVl*p=@kkgC`P zZMrZ7v5@=b89=ob+axZ;=@fPM6VUN2WoWbM>6PhTowhA?9!y|>Z+t!4kCZL_Zd*}P z?>jMCJg+E(vHGnrBOW}DW~&>YL3;JfB0(%iVfCX!q@l$eiM&E$(F}|gchLm04u#A9 zF{`~<3{nbgmQ&Z9q=E+Wl@Qb*uJXu!IkWvIP_f@w%9{WhC`9I~0EgRpmTFYHrPT%U z5~!Cox2o!=%!Hd-n9+GK!CKFEz9xl%Y}jDEc#_~FGH7HOvzg55k;;(Z;ss49K$JUH zk%}Kub6qu9C&G}GJ|ZR_Gz;;7a^fqx55$5K(G2OsL3+hkN}_+1h>kI4#3@^=U=@_# zBs4WUUbZ=;$~w*&uqzt+PWG{nPY`qYKv4yer5T#4$Z{l24TPGSo0-5eX%lL6pPBH> z@QtsBve-1Bu6CksPz3sOH&OK5y*7Lxy9FXbq;Ej?NXes)tQfHxih3IhWSd!75U1s_ z=J6J4Z6Fq8i&n*Nbvho|aH5>B&gQu);%D21h<|iMY|Lw{Ea(MjE3)oG|CpNM`H7)l zuv`@>hQzAr>y~nM1(AT{h^)EMEA-td$8<&KfsA`ma5}NcA+I+rM^^luQo+Ro{y}*0 zayt(dOef20B!9>B9mn&Wb&kdhD`%z-zqQ404!>qj5#IfKBSCog7-amW^Q6OXfJ}AO zJmeQ-nupW^K_V%2;6iYM+CC}SunV+J_eo~VQjeKc%k>Zmyxb>KGv6l@Xz%Jjz1aLobs*(&EH1j~*Mp_>fHVU|>u`;~EE2)4^yHQ&Ga73b-Y!*fenS2a}~+FS0mgUfgGPt6I3{stBo9SX>?9^9Nrx{<_<<#AANT}DS`gHltzrM-R^ckz6N9& zuOYHwbZWZNsXRb@3lb}HA9hwjd=2>?L?rg0)XX=bMA|nYcAwc;Zr2d}7k;Om;t z*Iu5#t&G06&psG|y}-M|pOoPp!ZY2M5{}2PFZE^S`hvb!uTPQ*Tm6rj71K_CzMPSH z15YZ1ZapsXG)0Amk9uV8Vg)f^VKi|I6Egn<$0H-s{P=?PMzQ zuH!ccmkNG!%$R;=YE}C{_;(yq6(11~y1S={1kzo5!sSpq4;QE0(>hTjPNFWA*9ck3 zL|$AwsTmmEKaX`}y+2Pei!rzR?Wk6|<-kPs$8lt$`aSFwTvU1mef`U$hYmNAx`+lu z6@#t9`$XDQvD7^{t#{9%v*Frn&6=kX1nGvT0Q<)`(_@ScA2<$100pzr%mosZAv5&; zChAF@FJ90pknL#{JQKNH4IPOiM&6BVm~}m3B(`+Wfo6(1(KR|+RQ9=ReAhf=wRq+W z#fgG-Nx$Uv)u>-ceU7=yh|MorCH-0$+=QY;_mEc%F%Sl0&}^kg3Zz$mi5~rXqIC>$ z^_oJ#h9ki#+qf`-D&&Qp-^Bb!vFq)$n&$oRQ0#VE8U2nB>KpJNG|M)tM`i)&RXkHA zFhe!Q>VwD01mLY34*pK$VaGQi)phxEnQ-*SnyLOX!Rqa_j~id6>}G=Tzx$_s!u_;d z+4$SagrHeqeUs zUr7bk6CWx?$8vEx^3YDCp554aD1xTjWDsfYeO3$vg<82iEYT+%0=j60MJFW30-umA$adN zgy=&{x|o@H#{aHgYrO_yA8FsM2!;Lqkb;E{LxfI}v+sXXf@m-^P5Xv*XYR#quUJBl zGdi}tLB|day9wZWiJ{sDlLw%0gSPJ-`fm>b1(3~LX3jpS8^ESlz0}0U`XX7(KRZ7B z4yi*s4o-ypEf+_ska1%R!oLL$_T1r`{x&;`Eq1RG^deR65a>20tKT*iaJLVJ*WU<)a;DbdWe~~J z{h$gUs1_VfuA2a6Byeo%sY%^95ZzeH{s7c9?{^m6&QJjR#MzVr94&7WMr5sn$hyWu=Z0pVcuG_coFb2N zr!RX{od}7D12HtK-8leq%g^(BNa_)H366~WNB}-J)*!Yf(8T72PPw~bSW~>6n$(tf zbAYUz_Tj|=&?`~!b|K=s4&6N$%}og1l{$32CFKnO+KV>SUQng>qGPHrhL+TKVCSL5 zzN$HT?Jwf95R-jVJ{)*Rr0PS8kmFg^l{qyT=Z5tPA`XP?t&;Bm_({UasI*T_PcSrU zS^zFaZxN~ns!%m_OI5?brns|@oYN}uYtD>SblM}*Bp|2B?HfP{sLvmZrxmh}v-Lbi z<4cka*D^`;v@4CXU*)Y(o8ewsq_fJr6~irw0LH~869bht5>MfJs7tv_o^xtF)Md-J z6(O>X*9Zah)X0E5GYVC%l8|gWob4+Dh!>IjiU3_=&ew=9~qF1MRk+4E> zT$%)M$72)R0!fq?MRd!_#kc|}xF`Bz25%txNMa4<8zklcmaYJG*hJ)eiDe&#TH$NJ z&}u%t9*)F9b!j9W*B}V3!{mX`x*bkwB)g`dq>;#H@YWF+Oe+E0_%fWH^m#v9LGoDgng* zOuH%p9+g28u8CrS>cpNmnNf+d<=cm_XRiJYH1=w@9{MN*abFn*Z?8&YK?7h$ z7@9x>UL;G^L&sDj3@sSm@?A=aSn;}{O)-ZXs9F`eP`$FjZQ-sTA~AYz6Z|>Vm_F|} z%#Bg74=1B*ydqRuN+|X@H-)qrE@1>>My*^y91O+Yw5Edr9Zwt##qxfVChcnarG5_$ zf+kHmv_L@CB>RA7lJub!qS9PA5Srvok|sIXm~-zqw8DoLn&g8|nzX|{jy4i}PE_rK zph=VV&(a1(+TAM0*u6z?=QKl)c9_`Iqd}~H6h&>TS9immhGD-`E`2A-?^Ox2Lmv!J5Yu%5- zQ)V8KX}a@|WDMDnLUeZjI!#8jb`R^-=y+}dtr)BGG(3V!D3kVaNZB9k#B=I8XyF(X<$KQnQ9 zc?l?ej4&1kkdO~rr8NiIu`tiroPF_Pj5DlCg}!3@$gVHL+PeB0JfDBIyNN4mIkLpW z)pSgcrl>JDk}-s0UhOtJB3RrIynG09JF?(8LJFP;1a>^4L6)M%fto{s_WcxI{u??Pjn%>Z%WWlyud_?Uj4lc|K3jJ^a89Nr+o?RuI=7 zH0;bI8>2h!mH`?~4fj+JR$4Lg4dK=%S4>&ezncb3gu|0>2KViT>}6DS`Ou4>K)##$ zB}5QQ=2zs%MKUwuS^3fI{Pc@T;c4e52fODHz#&4)Z=5~8IGy#R`6A+|pJ&S!>O(U7 zuo~oZ*liSH^IO2w@bDcO>b`t~yv&|Y`Z1MZcn(Yv*=f4_dJ&J&KDl15Hmwa?E$MQ> z5DDR-)y#mmg|;fXI%yS8%)zN%=#h*eF}{-qBo@O-BhyRrMDH+HA2Crr)8f23-{fm% z!)~&zJW%|s;BVqU*;ZcL-Fn^%Z@_`z;_S;6y@RrjXCJJ20JspK39k&K8fG86=9F`I z8EHqh7K2Zaek8xD*=AXQKzL)h5G+mKaJJ1ifi&jrZkTS{?Lz)&JdO8FjHmJX?GkJ> z10h2H&`}(0HgRa_-rDlV><}u$zfs6~Cfctef+*4cg3BGrFSX z@P@@-iM^a94&yEHGmcg-*5b&_FQa1Q>x-p^XIxLx+m>wTba?jyhb<%1+%ZZ4hptwbT{#I zBP6k?cjEud6FPhORrcLW|4A;Qo(%g$!L%TA=t1+%hi*W=60$QBu$q~ub(8E_!X;(N zMi8iPcw#5xzzF#WZv>>QM>JA8deHwQ~c{LP7+x*(K`@)HzgXi=LMjGOucP-MbZ<_$-T4a!Cu24a|2s1WUfxLWx%(wojl z7RML^0V8M@%!2frjOJ!XiodQsosZ>Qo_|*}cwgKd9iu^wZX(CYt}Fc5jrV-e?sCTj)KIsb`}`xZ(j<r&t z$!nu=1EeN{%;fcs;JYI;JJzvzbFOOb4<*ue})~Mmgyi4a!Le(!Kr|l|5Y}u$%I#aoAS_^fq#~tlDuu zfgwOd2edA2Xy)5{Q1%mmy$2T<;TvO*rJ=^l-uy#=fz-#}=Zmg+!J`CgI{#+SX$i7;s%>b4;J+{Ft>Um2`#^`4Kdjl5t*3ER(5BMpF zoL-TAip=R1JUJRil4T)9HfTNSV(4eGUDZ;Z>l|Hm{SaR6eAmZ|$#Cxb{Yc?&!g9W# z%GgmNQnhSrL+9nf1>p*-LqAI8}FZBbEDCR(QI+GMd$d0QATKi zTdP824U`K_Men@aRvLh*6|!44UcKRnUc`~)A4&j99#0?}B z9YC{#M+!)zA_|PR7L_V|2@`p7(L^g}w4Lxq5;>lK(>aFRHC;Z*R0?Vx!=WrSxB76? zm2zb#Z@R`s_wTlG<+uLn0<-&i{B1hH7U2dUUFufq8*Ik-0`ZjpXtsMke~{k2EFrt! z{kxtV-ySY*u&~n)4mP6|WD$fRFLeGU<~eS19Ae6H{N zqwW^axqZOzZ=O5(vw$EY38K?vVl*g=9fT`0g&pabbG9P#Mo1!6@5KK+QdQ;UPOYrt zERQgXmuOy|JM*jfw4_dcBI8Is@t{y5bMk440THuB5Imq0H)UOBqZEqw>~!WUH#ttHZIUgi=%`{rwU^{H!rzMUG*> zPFIF!kLHQ2d_2ikE8aPhGRknHa~A5B$7Cg!?pCg(d2Zxya*gqw|+l6sNjM@4;zp(DbzS9%aPGo9M zH~+o~=hQwHnrBc8$Blq)t!YZF`dpsUYFl)g(m*h!XqBcEq+?3G_n1-rbZMT@%|FPJ zCe*@4c|yTwOlTmOP_#-Dy76N#m{9LNX3q-kjfinNHJ@$R;bbN{x2B2t?3(D2)dI*A z8B6fWqdDvoxrxm~pIKzt9N1Lw)q(x+?@4oeC4#EjY=!Rx1>w*ybRIg00cHfdo}IZD zP<1hau(GA!5Kf%~i2C`E=zsW zY`@9DY-T}1m9}%o-O1-MnBa0m)46z3Sv7=Rzd!@|*xubu|onxG0wb24{ zyX3lXVBI{oOO8I6AwmX(xoC-m#QM!tdDPWDY);bEp&vL;JsmunC+MDjR#Ot^qMuTj z62#TUK0OwK0NohgdAADKYG%M%Nb|@`Mq>1>O|BWOf;o(sNQ}=f2m_+`ilppP33E6S zcsGiVr3rFmgF7Q;1-znJ!^dh!n&$@l#|gk8(o1*e>~R%|)z_7Or^{Zz33^GQozj{vqXA*A8X_S- zw3-;PR5~z&4PA+A24?Z&X%I5vpvYu^U^GJb%*KTPurNJr5uMlPAAyiE0qO{#4xqR| z?!5T1f_aGF@K_NDH}=KL9c-WN_O}_PXcV1;u#U%+9cwrvb|r{rxHbf7n11LS^AN+! zi1FZUg3bQ?%cH1|kmUyiYg`a4PTO(>v3(%MTW^M`AmerXVaWtsH!&O_A-+yS5aR28 z$i;BFJ}Aq>v9GL*$Z$p1q6&LYgtcJ8ctsCnYNZ{wMIA_J=?Nnb<2%PI*TZ-I;?skb zC2ristv;MibnHgg>q@)Jke5K?p{B^$lL03W;@6t(=8X9F4Wk)X&AOP^GB{s9erSYV z(`MD@u?he|Q)qW+xCR z8CoSJ1MScS^iOr!X$?ck_Q7kWbaq96-729d! zQ^ocly?ApK1h--aVFa;C8KPs)VqyMrE|F=+ACTc_7z-m|#=?Lw^2JsW3%e823W9IM z|2!5ZuV?i(${?0J6t+;wM2JWlX+ge$j3NvXNmqKs8IZc=P?$gFjYwFG50S8pXe116 zA`k|;2rIE?vJ~T;VY04f9Wf3jh#myNQeDgzB2Rd+96aeGW_fQfy<6%Bo=gW5fgtb| ztq`8#v+9Upv3~}-WQ^)47!c(nzFMnL4yg&SZ$s}6ATq}$F60nT;sISF2pGf5h_MjU zm&9Z9m!xBzKdipfZfB5H=biElAP~9ZX2)r}gXm;5e;{#hH~^M zS;wB@gbYMKCmJ^f9=`cpwQtVH@fV0+joyyzSCHlnkln}B;IxbBvyw`~9OK-~XREOa z6DA{nb=tmyb{Hu4(>B{Dff%zB;T)-&G`foenVD16cIBL2K=x*TSwYx!y&-kWQz~U; z^Ms=CY)oiEd_uiVOed&vHrX*}QwDboi}6BkZfj-f9Lzm3$=OVZ&n8>NY?3x-ll^n< zyj0bQ^dV~XXd23}%cW|$bF*0n-@x}rAX8-OVE2Dpji+T$+6$4Ihgmp{dkSi~c$KHL z!xBM&ESk~hLDHdL=sr}i4wscL7N!a_`vQu4Lp?kvkPJWf4kSj1X1wB%avU!=2|cbe z)rat$RI57o*@L6>o3}rZn4R6X#*9d6_`t+YH=v)99APYrKp-EqN-GYuV_EL8HT%-- zbkR<*dRV3yqYbD>H5F|@eWfI^ZJ|H$`Dm_27B$FZ4565>uGS$!C&>Mlzpab_yWlxO z3O*q&c(w|`lQsp<{wa8laf;PKL&%MjZR65;Zk%`_sVuR=(7Uf&A|bKuX0ALehn06I zD~ELqdxjUAnxxz57=R@Dm>=@6ic}f)Ws;52^}AhwMpMJxnJD8c=DLn;v++4;bPVyi zKsY@4VtwCk2;72DbzDJWHtHe3ok>X3kE(u_YNTcQM z>%|HO&8?TK4T8!T3+Qse5DDS+Tc*^bu8tvRz??_0i6`b@AHqgP25x+eZ>RZ)#jw-F zg!h!a&v;MU^4W&iTs`eppE^JNK;c=z-^76;u9b7}$6u6j{|y9TD_^eYJ%V%MOc-qW z0Q^FLHoP;CEUi9v9aE0sWuzU+Rtz?0Vsovy2c7aG!uyK6lkk=4+l}~m+CGeUy<4T? z(itw}kA}1Hu8H9Q3Hfcb0wKW7kGB}kHUz`uH??_j4jME3LKr zq9#HuZJqWV2H0cHy3Al7qb=?eoet}J~SLc3pz?BivvBeOcLyFD_AosGVFk_Xp*LOgKNBmWtJ zlO9>yD|_4XjV^{r-pNKB)fa>)7F&&uqh=K_vSOah{)As4LD<32EI4(_oKyB4rp!&y z4`*i^9Ae)p!knb}kW9JX`G~|M7siA9bm>K_Ddy9Kz0aI3LUD}Eb!1jY?^Wv=W)-Uu z4~L31CV4^d{)8&2SRha_v`Q)l+M#0TpUM&`<500WxXheR*~dof7@F($74xKGo%!L= zut2~A8U>$~i8|1*3hSNxhLRGUv!#WG={HyPP7!(QHaCMdlp&3ZyRh zpc7gVc_TDL=1BY(B6HVmc^d+A<(#=Td!Q>*Q9v9SR#e)9V;K;yr+l>z-T{lS3F6KH zADh$)cjrJLa1MB*GkgP1_@rw9U7vIfV01G)dL+si_>MpsIYRs>dgf1JbcoXl#1gk^ z?G1=pglxvXPa2wjr4kN~97?+YU#{Nfnv#_Tc$Mt7z)nKfHf>)KMa+P5fHq{3WM_h8 zwfcKs2FdE?RncaGWCIyPiWfy=kZM4b$yylDM7XOKuLu_-lq9$^Ae)yJjaJ(yV{Jxx zvoageIk<`ePVwnsE2EnNWawxnK9+<9veh302NJ?UtMa_c979AG;a-m%AWL0&gla(4 z0QXioLUuu3nHV7=shE76H)O4dqFF0Rg9IHTy?M2h=ssq~15`129>a?1^*GlBSdEBa zbo1IPfrQ?m6?7}2W7NRtJOuC2u>mng%ky`ljD@9H2Qo9G2ouGOB0LuJhbYy6)NPnh zi*?0xB1RO`nGl~&Z^Ow1Rn8?l=3F8?#koWTi*t!6SIi|@a-siFK#VaX*z>Fz!(1dC(XrG&kQgJHfsr5`=gLh+bJ4LhKOP;MIy4Yx z8ps$D^W)K}0a2$%X2RoKaX4~>u`qyye9($34wShj_t=zu*oKudDu{{AEftR(r2zxs@DOks5Op9X9cR`e_fQ14u*xc|uBo>N~kl2*! zcmymB&w(i-9;o7C@rc;WVnJvtjim7c;H!m5hz_l$hI`NyMOQn`;E5UV@Yg`bkQm=i zn-MEvr^RY3Ap&BwP$vRnY5F}-lsZ3ojMy2$pWqZhxGAhUhifoqG3~tgxFUa z&&G>3M7YuFgA6?ymdE4aurwYIhYiSh8)rO>q$3`d`meX8Hxmzw6+(ncis)AwVZYt8 zX5wLPR;74Y+)?1*O`RV!y$p$X*wD=MkQN)lSYfdd!4i&m7}t$Q!xE!tG@~pC(uA@g z)D&zX8WlVsVwEnqh-(ECh<(M{Pl$ariH)Eb5ey5>WcO4t4w1Z*jX0$dks6wfULwS` zl3sf3E8GYP!48I2!KoAGjI!@AVs3(-i-v{9BOWz3$>n~>BNCJB(XcpL5DiO53wxhA zS`em5D(0c4)VoKcl8XKRwS7yv<*3eOe~%(Z5T9*q9^INjjUgNLHzGPU|3+DlwIqDv zMw+~*S`m94BLoN`gb;pWl|{_YwNl6X*;f*=KwQL7D2W(Ihlrv2kd>1*FhuN}Tx97q zY$~OvRug4C*$NT!!>^FA0MG`MiFSf+NZ1Lxo&t9M)?xSWayIf9i#Z#Yj!@FY-K$-0?gtBc9HNrFi5Nv~hYA)uUCx;Zi$2x1n=r$xL*OW-~N(h}gc-Qq7r& zpOLRI74giAso|dABQ-n_4>XBlgV|0zO9@W1SPH@|+ei&P-NACycWa1%u-H}FB%ZS|-aEehtA+UUs;vEO6X#f1$Y@+YQ#0#)ei zK%$?#(88L`yn{UBVAjQ`326@}L{mD1dCdfhTr8M(kc&k|Y$p+=uzmz-?3ki@jtjCL za_Ep4kwc5*iCl)Fp;+t#q0F!pSLvV(>5IzQ??|~gA=c1^lMW_Wj6o-|G@_n(Fpa3^ zTukFWK%_fChpAMX4B2kd6WM+G9M+C(<_EnC4yrkmV$F22q)p{4~Ir@m=UNvE5_W3acac`i>Z1b!D8d7f@dEl z=~z=9J@hhx+cxcAQp&}pB?IXT(;$z>85)xwPKc(_NsaLAiLow{K~4%H>>d=tWFuWn zM^+sZ7VNFG68RSCh)2G~6+b+47p5-ve2Z($lt+j_Ako2|?{GqR6pk)G<0#zw92`cU z1BpIA=cD~u1?)Xpo69<@f0YjMRILDIz3S=ZzxPN^1CXVr&+@~WyfsijD@ zm}=@=k{9TD0z~$MXIfn82Bb_(-7u!`qLgScx`Cxu*hQknm@7!MxHMUijxabT68s_K zd`2|*F-bYHA%+S?#zgv4m{!qcI{)@Vt0;s+t02tPg0)lalSBF{o(+518=hy8hgQt9 zxN>L}3HhT_ucs-FJd11md7j0PedJkOlO4~hnD_&-M8=aJ#*7&ZRCqsS#^iKQSr%i; z5Q*MHsTJ=cvMh#M5Qz?#yo<=Gn8@sOSGj-Bvn+;l5m^?KbCH#=I2VzzFr16XKA0*$ zxR}_%6+gkj6>=Dc56-e2PQS4^zVN$G=T^w37zbBG==)0e<=_hW6jR?_JV^6{D`Z%VgDYfM%p9K&OOz=V*956fCl4vbV(P(4!w)^^DHbz7 zm1k?<$8#)B$h;wElErjzg_Me^{`Q!9uNu}Lb2^X^(gDj3432r5^(u_hlPt!u6_PB5 zV;!E@s2WKY)3FtjEKbF67>(Fx&y5&vY9euL#bQ2hkYX{~$wDilg1mnrD#%}$npJqL zhBkN_#B`>BWQk`E;6CPKtAq@sJ+eY^ra;mWf?RkU=K@9+qwQsiCj0r<6s#V_G!f!N zdTWznK|;k8%Nz)rfl|>_P>wMzUn0yNUHv+WygVZJFCND&4DYN9KqKjANNdA{(`(9T!tTQkuVXG`HH+D z+}(8*gnJBg}gb+{o1N>{bo4-iA?c39J`%FNaqaOYdG?f%;rrX86X>;rWeAx zJK7pN=!aj45gX9A=cqkw?tgWFT<+bu+ng%?{>AyYNd;?9HuJ!ca zQsaj`^QO+W**$Y z1H!}ATp-{tN-c3L^R6p@LtT7DB7SNm@|w&h*X0a+qrs$a;+To5wdc2#L$`j4yi2cof?Ug};r1X7pD4Y_J)!*-Cn#Gy<8 z#vuxIyo655cb&aXwRfG9hNu&l5~w_@?RRf6hCLlDhk2Jx8h<*NP3?Fnn8^L_D2`aE zrj%&RWMxeKb?QWh2>G+em(!2}SgNSl_AONe zWQmLg158vj;+n&`LWR?cyg-y*Qc)x6W(Mzp*B=*uza(NZms@0F5JLQlOmoT0WFU(e zM?bSpNPkG4@`H8Aq&hgHrDbOL z(R=DK5Dq~H!X9I(6`>t=WFF!+cDrv7HI2hpq9wX^ARZktiiOjxb%Y;?M@N8e=59<% zjAfSCm08#Ge35;4I~Qk+*t>1!7fA5eR5EAe^f?bv7t5`isGB3mZ94%(P~G}-1IFY! zBc>@nEG4-}U=emR?v8R!m5*da8iVNLFYS75ysAquESNFOlfPuajINC3J{=E17eqrr zR`@%&&7wFc`{%aX?UxnN5i)(`F%M*k%t7nUW{e=C_q7?LeyLU_Za^4)6A1W=QcE0P z7JredI^6jj(2N5t6}5x1GNev(PT@e@{ESktP=hkfSk@k64hAz~tw5=0>3F}( zJ-4B0By$%6!7M+w&gp4dM-bBliRJ#-NePmd!FT-Zn{Rl?4-xd>zR}+E9^5xy;({IV z9Jh%bIkMZPF5Gv!5E5Ou`w2Tqe+0t(+wjdi{a!Wec+P7gl+?ZaxF+_>k42mN-0e6S zCYDrly2*#dNsxJ$aPAk)Fhf29&1;$)3vQKn{ksU<0C z7LJmnq*+3e)T(9yP^k%iHXYllIJt;M_(^ok{Dd@oQn$3F`~>9?Nz@*)1rDR-Xa!g5 zbFUWjo{IT7d?H;fI6NfMkMp^I%?KKSLeWqVjsZpGG-RF~{c?1M1)(HizxBm7RtI@J z_H}{8Aa~2n5^Kwi=>7V%wy^emy7dVaG=6zmWDLex|i6~Pzfi1f|l!~b}! z@ekCyP4Bkr8M5tD)M3>Q)!VO*Yl2MCakp~`l|x5<$&!WMLyCQH--H?P63v?EE z>@^72IW!l%x~nEcmnN3_z)v5vqhV1$kTRJ$|JYP};Q9XdbYHw>{_Xw(Ss+8>oei-< z14ruW!ao$Tpa^n2A@&#sO0nsr{0S(kM=|9vQ||r zG2vu}Wj~}D{(7z@`uZ6H9FHLggvWAmVl?=4wI4g7R8<|kI9kCV2Iu0z6OhXDER>Qw zRu_iLUJF6(ICK%DU1yf32|@05EA@%d0qy9-n$FYs=1Clm`MW6At^elk@P^0TZPYEC zL6NpiQ8zEi48NBvLo@0{K5i#(}B9(*I?}hSrOSVxlgO%<*57<(23QV#dxcZqodpRNZKd=`G2*F?T*zoy|!9S9*D)YP_qp3Z+5?z zeh-`lopz0%%g9jO=Vb8at2iBPwy4d1?a-bmM&!-n2YZvMvRu_;82@`!d3VM`RR)5o zD9EfTOFf^eQlME?7I}ANFAro^m4Z~46<3u4&8jkx1yw2GQ&5BMXC|^B?@=iFr}6&V zUUXUKH@HP72yW5YH(v<-uGlMn26d-R<3JXaRVE`!*~s9&s@vmYupTA#mjr1$JW;EdQq(H|DOb~lzt`m#-Ts-M$cc+}7gA|HX&#h8j&TS@u9iFa#! zcj(+%hG&cx*VVdVUMAZK7t^iTYPn9GoTPfL)j}F0+5nq1( zWazP7<8#_Un&XJ-^PjLyK|?hxEPxVyW% zySwY)E`z(<;1FB}cXu7!VQ`1#efQh{Kf5_6>6>#?)z#IVD^*Wbu@b)qM~or{WM?p; zB+M$(`4JZwO88$r-`fUn`$EuMy{i7Oy5b~=o|?1Duzn2jF=kxR$1Kng1@g-r)&M9{ zFB(`womW^7g`OOZ5R0E!&+@3${<4DY1V{FNZOSGazoE{$SKt>LTUvSLu?X+RFXSbE zD_dh*Zq}~>(a4*^N9xxcHlW{bQ`l9xGbtlV+AsLiNiuA>GY|An0hJGOH61I|Hd&{n z#tuw8WxMQ)3B06+R4SnYHXDoRCW|@}2>rx}(6mVQPBu_Ea@oneWf+!T|F&s&qUb?z zc}h#lx#Cn_Zes`H8!1&9!UD`9E+{L@T9XF_d8KKJyL_Usl9m){x@vMyzYAk3MX^YZ z@nFSCAa$KDSr_;TOE4n-n9G6ZuGcD-KDBg7x1e9n>VZm*7CKu?8mKGpz`10S9clX+ zmr~f5v=4K{=0+<`KN)oiC)Ttu70)_Oc>7EH^n}M*hHuxJrqt-?>|FgSCYN?5aua$< zVTreABJP+lEdoKuMU_dZWCMtw&E_0a2-Oa|gVwxBNaXiId@8O}tME~j2vjDy^x&@0 znl7)V0OdEYn4uzqdhd-HCqC(mcOmTP>O}@W&a-X>!C83p=u++jY~@-WijATogW>9v z4`tM;v_lD8Pk-%(D^715@8f(0*iL&V?~2quCmwUL)tLizEk6{ z_FMLWmjs}-i?FH&fXkDd<@YR%@8^;cPj9F@Hw;zfx`?d1)wGV>G+9&B(#_CX7HWNh-yP^o-1HE(kInAb{2LD~ zmf(C~#*6&>UMXrxawcpR`Cg@N)Wmg|*$yLRW{0O=SC+3n^6rlYZ?(VBrNJs8EER6B z@vLToc)aL+0U}|}yK@L{>(tVL0g7DU9VZg8kx*=V{$lexT)P=)7ml*Q$Ly@%Wg{LmQB`p#-d+sbYiJ`C^XjVN8Axh z^b?En5&$@%yTHHnxa|Fr!%ox##H68(%!Ab;`+d!|;X%z(dcwfCd@-->M~YiW@X8P* zo*|9Ft>`O_KL~+uyhb(v(o>2WCl+2+7E!VmV?qo7148X_20W$ysOG+)&tC{T8+Lz7 zxz7feSofJ(G!zg-C{7kAT5=(m!Zg_bKwF76&&$r6aS9jE;!X*6hZ*zEBPILC*(MYo zM~Yr8SZ_%!IUHE{GUF6)@6W~lac-V;+1}lpDtzjZ_qEJ4IcF>klXCZz&;ExcesT34 ziaU__JJbNTp80hTNdKov#;h=y&Zxi4$@PsXi+yM)2)RzkOb{0D4pjtM(FaE6=mUZ! zOq~qp)-$DIz>|<4T#Sc1mK@mZQUr!0axXP>So7h%dw&=*b6bGFC(N5n zde93AZ7}k&{dv2*Y`vy8KDiRmO<0Uq>f{Zu0JQvQtyX>Z8>w+!DdLLpyxk61KUqvz zjL3VkN==T~Rv+DlZ3{ofj1d*??5IF}fA-iF4l>XS|>1Usr#{u z6UpKdODbTXetYE9CV%k0lKvDjQou*tb71gH&xzfC<)b@wHX|Raa6a1t2>LH)W+Dxe z`jbF0`iIx6f$!a5d1TBSV&R7}x7|jTWIp-7C)=ib>5~S1hi6m+f@z)Skgxr_0@g23 zuROf6B^~&OW;SMOT9BB5{e|v=!96CHf%yhfeLT6%j+P_;7dMk7-iC)a=?(v*nO039 zv7z78!}eyrzc2RnNDYY>tH=OxPC=b zdz*Gxdx%}5Q_na~xOi>W`Vmh)M`=gV)5zX`1!TB}&8<66W)~q3pe&wRlMVbm`S0tB zByyUxNSHauk>r3^JXpB;^)UA9bHARy^YkAY9Eimke^&Wfy?yGA%CgdE*^T9u{8kIl z2vZgx5G&Rbc)>vpk*G{c7%f6?E>-wfj!E^GBQyqv?5kQvh$dVpyzO5|N2wn!BC12( zz)K#}bClxTt&10VoM=iUB{_^&1q12lq3blP2f9I~O4tE$1?&n!1==FK7$va%pn=`l zh6ky87@mY)hZs2kzpqf=5QlXT7!=VHgm2Qo26|#(?c{4*!RnEX$xZk3bu0S=!Xp0t z%($7vpIvBEx18t3+|{!pT)?)rTncShgEb~Ar-PUKzE4%#gVgr+BIix@gY9aTIBJLy zN`w~g&8w`qiYrZQY2nn&Tcp`366zB|bQnwwMG{j{L`ttE3D(npwd})^*$ukD<33MF z!%8k7?t>rr74pWP%m!(s;4KdDnI+6S$2Gtw`-g`MK-NGN>T8l*fZ#*({R{U`3e`oW zc)FYY3h(8d&}veb5E3OqD&j3w2ao_5@kVW+0dE{-N!wm!iQi5DBSB_KVj?ysM`m#j z>fuS&C+wjleq94OoY(O_2c?Gus!1xA!~MX~#>=x!9>worehX?1fr3RVD2Eot{68mi z&htAn1`)9#2(Q}`ziwe1I%yoF@q=mgtpBP`>NF(uBjI;_yt2CUgB~mD$-6z4d5GbC zd7GT23@#vrCDK{yo24XrD&!acoJA*iXPkT;;+LTbi#Yp!l=AYk%Do)h!O_=wiYBb5 z!Z`3NrCLZ8vZ^o!B*VW)?ken0zN&OayVs#mfz1JuxlMuDQa5-TXH=HoYuBTLXBOfR z|F6W+?6oG-rTfjFI-&5{HO4n zei|wxQwW1Vsf4=iJgL6!rVG64kCpxq^1DwXq+|{3?M2(PVGZA-xETKD^v&&KqrV?X ziy_Q!IdL(=F8fw36EQT~CybHSH5LqMSN}eEw=5O5@&>K9a?(PQBPH{uW&XPMuL|Cb z@6`RA+GeI_z2?d8G0`^<7R{NK>0^}OKlRl|B|9vYJtE&eO}`BnI%a}y+&)S1n~}9( z+2*qF98f%)kKbH>q|Q9sAPf)Cs6xBpTjY%pXWKp-`(=92NShq-N_1@ZA_gWrA|dF^YY02ybA?pye@vh8zcR$2k zmh@wfNtoP_Qxla=07c8N^_we$N4>8A}p7_M+opOg)Q|14Vtica@B2sZJ$feBwd=)p54LF` zyVleIB5Dex6`|!1Rbf;k$`PaKu%NqGRUeJmk&pg7HIoXFJdH+&n1)b+;!}lWAEDwL z*qJaXDodKs(xjW{eUxRp&k;Q&#ng{PUqIW9hAG1&cG4(kQv0)NHI?K%K2ETtwcN>} zNsEP;(ksGf;`aDj$JVy&Pv7{!PO@|xBHW7ZrQf%6-w#-JN}b$h2NLu zx)Z9@*QDXHoCwP5$>Sfm)&yL}dn;W(-&Nk2_hy9s!^r3pg2s^$j$Tkfn>Q6DY-;$b z0a7gJoFUi->dF~3 zO5$FB%dDX)Kp_`9Q%|PpSgV;j!$}N$p#t?8!eiSU{R4K;@{>BRG9Y2wls!9(-2>Z> zuobxZeevoN;xM3kI0i%MuiO4w;Gkb7FRq3L;fHY2O{^2LDgeuM;pL9`GZ5vw@d##r?e7N#=3 zq!8!l3##1xnoG+R-(8K)0b5zI_N6^^0~sEf$s`L)f}TUWDN4ps1Fx(XzDn)ZjO|ia zW!Gz_iMNO~QFp;U6_O7Nz+8TlE=5cBk3yI&m1dNP&=`J{kq7s!BD_yEaRn^Es3GVi z1YV~l86|wJF9K4+XaMDpIh*w0H^`=W$BCR&PqLfBt21@~rXunKVN)^0Gmt`Rd4f~O zScx>Jl>T3+^wL1pu4Z2JU`dD?U8)tIE*f>IT1WG$?2)K^apH1Cp#}H-^dkq`5$MF* zSTZaH;U3zDD54JTw5-MZG3U$L(&x<^PR@pgwPn4zGm9(8!~mHgOe>)VG;tCftINl}_yW61p6tJcQsany z8`bPWxT!YzK_EniF$`=}gcXwL$#!P60y!b%~jT^w@>QaN>52%_#~=B&hg7~B-<5mdB&1L>-94~pMoGJjlHsG7}_ z$EI$m)sEu6K?%1RQoAEkh5s#lD7M%~Hy*Dn8aSI&I#P^|Mkr;nkdL}fn+7{&Wb+=@Z*kE@FS^Ex!3y<6!=0Z@?J&A5!W zsOY<2Uyvnh>aU^6l|+0dkM$rrsZvm_OEjZt-0;*TMuy2+42AvOc8ltZDL)*Bgz&Uv zu8V+7Qw8JBi3Wf43$nI#FurPWs9ND=RP{7*D@Q}ls(TuhyJi``M5LmkW)vFiFA;e~ zpUfg>UjZCv4gijYbA=DdgfVKnHjBRx9!SQR8ToOb&1d526wF)fTtVbJG|#N}1eAF_x?1rRwX|gQB(y7S?uHdW1oOwIcXzQ*<5nkg&O3nzAej^4bl2dZYWy z7**X~2y;PCiCTxp_la6|kAKOV>EE5Q8ceMHwl}Il4N8~8`+!#1$Gsh~k?s7-&0#+7 z7olU1LH%nL%4N_$mCZzgH{Ik04%4MMjsll2xPU~NI5vgSaT%>WzJ}^3{uK@OUJAhA zRWT+ANH%GRr=Dj{kRDSJ8AdjMho}F;btI|7D0@CJNB8Hpl~*@W3^60C+!~UkBVG4J zk`BN7c0Th&L`rQ4j+z&gW0r1WKLp==Yy1NzBl3RcLm^Sn1o(tf>M*>Y)jvIkgqZ-U zL4OV584y2bxqzF5TJ2EG-wEU-rc0+QE>j`o7?#r>!ZJ3x6j_D`CE&o>k&^bEl6Zb1 z@%}k!<;8-;Ny=gXZsSfku{Cgfa%7X-@((u_)<-T!y&Maz!73ab2g3p12)UEJ&U0d% zLTC**ZGmEBgqSefC^Xv*zYH?omLLORRa=WtK5g60c~Pn#Gt`E049PD$)I%u4gL52~ z^aPd;BK_tLr=D0PCsVU7SQbt}v|_n+9U*c3xUANz$I*I#V%9E^z8e2APm-6127|wy z2f^w-`d2JS8igKR}}7*v`)7c46z36&2eK=&(?L8(M*uwWr6|0=E64dJK- zY}vH=2gH3CrfQ|2h9|^MKB8TDJQ@dyDkI%sHI0;tqn6(Yy{0UEi~2z37MaHt8wNKK zt8hY+NFa1z)SV-wa0QA!4clEYVUJ|FnUt6NZAU?3OILg+hGmkohmI==&@0rJq_AiH zUmTGRNTL`sI%%(RB1%L3z&-oY&2Vw}&oSunjVKgP;wuCE8er6JJrR$1)cu_fHCFH< zUi{A6-edhkMe>Mm)AXYJ4>zDwx;Z4atrrk zJtt>oC#U$^hu_IqJW7B_(P>q5WQ0DTdp}5p?XSJk!c(Nq1?^E%3x|hdb4+JmNFW0G zAO3!2`z{k3S!0SJQ0=$#zRR1yom6aPxBWbC^?V-u&*B-}Wz)_-qX@@Cu-S1^Yi@eW zM+A^*(I?%p51p53q1wyUH~wR}WYW{M%Ywlew#i{|D_YYJYwq z@vy2bBe3`Ldt@!Sz9Vt`m>%8D86&Kuss+-(`M2N6 z(TqMEhVymDDj6Jj!TYenxW~B+wYW$8srl3Tjri`f^svW|6d=u ziKOoeb%VbW+k}xva>Q>UZ!MPDe~9Sf;V1ZKyKO$d=o^c$yj!htZGaz_yFTD{Dmqs| z7q?fWHuuvA=j$oxJ*}E?11S#aD>2rDcJlCEB9{yazpNZ;9uHVwTWwRsJ4_8iuZh;bzj(IC3bjcI&`Zw85`$E-A z!7frsZYR;8(dg)tL$@|um`$AH%#p2KjD))Pz(_XmVCihP$Si8o>z|GId}pY3^Y|n!iQKJ_8O@|wUZET53S5z#91-@!t5a{i&|c@{mopvOcvN{a15jnqh;%vC6x0$ylcM_*dQ?Tp+U`*SVVY>nLNSKk?WPd7g}~N z_R~G-Wz`P8`G!(c>363XDXTP_HMkGefg3_7XXk6<4txF~vY(Hi+MwUi*jAC4?I2Q^ zX8LpdWX+9{CJRxDQc=){qYZ?1$1z-_jr41$M56_ybV7UQZ%UTq=^Ymu?^=aibdkDs z(*SpaUg7k1yd>t`r!bZ4FGQwvP$_*0lWJ*-lIPYqWYFrZH^Z2gs!clUv=3Xh;rI@q7X zni!?y4%FdHYTH7!*q#>e^~0c%@)1a%3h2eFKVqa=4ur}IP2o_I6NaNIk_~FvRcB|i z2Wbbg?T6N~H$U5Ubb;UU7=LAjOufy))^+#Z4e>d zhh)VnRn|mU_G?yEEW0bF-7oT|xK51z&;h+VfQ5YvsdJCVD=A*uk&Y-C68Ww+{snpz zYpl|eX%{Y>cqyhGK;ZS_(NBa`Xh>ud6W2|%ywU} z2IV!TWy7ofPkHhUcfZ5>E&oHWYZqmUaz`d&nUB2%ycG*S%jQo? zmm%(xL1z3v-INfgE3G6frE;K0@qEl*jwT#?D1C*VS&EcL-;e7;XD>G<9mt+LfAmV;62U zbnc4~V;b%&!%JM@Lf<~PGy+~mCCk1Ke=8$QDHn8S#ZYwYS57HYypoXKy_u@ZaCjh7 zxK81CyhbR?@@%sB-kUsg71gzS^s>{qL=uMR6s><>>j>3dYz)W3qn8`8eZwz_%qfPo zf~WE;0-3P8fq^Q39PR8_PK<$4;jA3scL%%bw;EEbi~Pi=%dru0LCO{IjIhBJZ0Vg? zj1zx>Qc4y<-G_a9z2XYTGEClS^|AvSZft39iYWHw4b3iG5rHxGLM>z+!=H1GpuA6) z)eSOl8Lt}SgI2QcpGSF$l67lLd$|yQpnbR!_>6LB6~+I@G{k)axa%;keG1}W$b=@+ z9}#=ZP(YxoH8ZeNqQ&&=`0{-PtlkWoM>omOVugDeOm7Uu3xa^+_159*=f5EYFqdzI zDO0onk?_zGy7S~$2lrX@4v_k6sDA?Rw;{rnfi|rid~1Q8y-b_~dtT>l7{U8?Ccb@( zIlpk&DQ0|^=wYU8d;{Txv5PJ?<0XuRQYM<_h5ji0ZGxMdWM~NArJg*9#>;(zxd0qs z*V(gc$5@rchQaLi zUHR-ieSuvI+(oGw*b3XJu}w4A{bpf&(PGOt01YTuU4S-}qhk`P>pU!}ngf2Z#bpAz zi3hA&k8%@r4imZGO?$q82jrBLInCipp?|wO7|iuqNY19@BWk%L_OlLjiwX4PmO92& zx&iaeObqcb4G6l7tM690s~{@k4qlQ3;4DMDYQWeo)lo>ge-tfca0J7h54HH~95mH( zz;ixKJnD5G3J|kIU+=VToY)hym%+{UI;q!&CaDQw;_ZbN`L<{MAVvh%1O_^>`~~|E ziIyli;Eky8Ir>|DQ34PgM#6^Cdyp7m(*I2|{?mFBQ|+q|pXbz=G=0M!L^qMQ8A~K< z)mVfY1_VR=3O>1qkuYIN=G3k%*M8O_gSENZ0;|2#-W6>cfAcNQ$K6df2ag6 zU8ihiI5=Jadu}T4ab

v04 zp$q5f5T#PGUS$T9N$G8e@z7M>_Kr{Ig)Im4g_vz4%Xw+OZtF8a(gH>l_{)p!UDf64 zov_kshXWdPVxy85aZN`Yp0}2f`2G-_^p5+wIkNCdM`<0_z)_^_bQV9Zkvu0@64kgH z%8${d)7CdU^7D9|;x+PCQvufSVU)*BIw>_lTWj=nj{vB7RAY0~@wHhPJKEFhe-hk? zTNW;4+_QsRF2^uEUTP@=_VR2v2{fmf<1XT&-M3Gxza{%ybjE%IeK|C*L{Zq_w!@CADlT~ zulZ&ggMfkv*^VQ|QoWXbXj$CLR+jS{79rRZom+(r=89r+B!M?~I7> z99`hWer9Iix*2XY|O;gKl-=?}K7`qW6^O?HtWi_*~fP+{< zcxzmtk>h&mRm&eA$C@ENvz7?vKqFJnLuKUf;>dC2nnVeBpqs|Uu(-nNw?^}M`*sd% zTpKOkPZZ*>agHJ2?fO>^+5Y@7$rTy_M~0LH)B&()7MvvQ;k5y;T-Jh^>D6(<-WSI7 zqLpkh5e3+stFiT~`7|9mReL5@41#vzPwo8wcnOTf^T#H5(tXXW*4&IYqmp`RR%urr zh6&#weySdh%#J~xSSWOm<*+r_VU>Fa`py5eU}k993H*I(WcLjM?**-7gBPiocZH2dE@j4F((&PMhwjz-RA_9kBZ{EUkKX}JP`Uo4av<;+a2jD#ILb(p@s zaspWxfJ{tGEIEY-9 zKccbzhtdCtCg$lXq2g-fYW5|b5!2U)i4lOAQA7y9%>Uol|3}08|I>(D*}9rJGm6`O zk%^j_IGCC-%9`0*xLN{$EG%qag#V)n&+LV+@f!M$IP#@DgZi~^yaY(pgq!EsOn<{J zN_WDH@YJZzH_M&-d#>_Fn7?7T};&CUMDQbB;o#mM(l!d^=jc+e&R_I9hgn zpZ?+rfkYCkW|0!Bl6it1~wihQ3xHy>~G zQ}3%7utLrJC5`w`eX=~@_a3ppC|}M{Voy97Z=ahPuKaXjhMKyLl6yr+UVSniWCwx{ ze5cpN`XdMr>UvtLp%mlxY`XS+uiwwk2K~z(m2)5P-j?iqmIneh4?R{7nPC^IyT_Bn zz)U5-Wxyvq`_W1LaMRg3OBysBSt>m*nM!-);yd@HAMf}rmI-Dkh?Z*Cb=X&0zIJ>u zZF5;8u>9UhwX%JmNh5pGpeG%0zPY{L?boFoeZxP+MYXnl&sV+p!5_y4p6%S^sW+mZ zeLBZk$lfp+=q-$`i1yBxPeDwWmHM3Edwyj#39;)cA`GRUpk%*~|6S|aLXiFp!70?U zV)2DRB{f-9ee&C{*6bsn3gx0FAHnD`Ul75>;%;G`?!<*g8uOd&jqlWg0E$ zPI&608!>Vw!fN}f)Go_yVrAQmr}^O;@)D6zWQy+s@T%>*`J=qMX_kVUe3m`R_)znl>J5+Q zhqmECGTB2ImQU!Eqb`o6hy)%BM_t~4U@KN2)guJ*V&RfP-9KtpJ0xs>aqHijK$Go! z**2#hK{0%W=!eR=aM1!_@(kQjI|M#uMg;y(MF3;f6aze&}@>)PCfo$B}fp2e$amZ76+-C44aQtr*^KK~;z-oMQa$ zaODW7Q_69sKkte&2QMd^2JXHtdrJ<3Hl)sMR2YrJYHH0vESphrza;-62xS-tG$d}^ zF5|R0{V_lE$8ZgGrc_Rj)1f%pt7Du7$-&gG)jI8LL16s+tY5WU*Wyi=qr%y0XyClp zn*ahrwk>`r$M0DlWdM z(E?yG6e%~e`HZ*eyvH3-C8%7SyxR3hg5$~xH5su+%y1sPOTW$qIyta&;|VQLjDBiA)YZ0` z{~Kj1nG+Yb0Ge*TR(1JXuhMl!Iu!EZ)EUI&TR^^JHc#v%pH6Z zl-8g(vKm>5FubI!3@XwcWA~V>anrDoXm7fpuI9npRZjlFn>1KrzrK}T$2w!`WHnds zrX0U5iM^O6fhn$Vl%*ao-q<{e7xQYlvxaWO@F^b{X;Uyo6(dW(75cnk6j0Pj|g7bAk7Xsq1$9O z8`{o24jx``IS3=<{+XxwS6H<)F35&d|JI#a$aElE(rPlp^nqRpbN%=&a@x8bK3Hzv zG~g8kZ^l+kK(1;jQpaLUxmwz+hY5|ta`AIm9XHk?{u`Z?Rm|xeWOSay8Z!KvG=I}t z{NZo0uxuOtrWofF^McqR3$z5{8t`ePYP+?~wrLTYM#&^ObGGQSodUC1rjBkQoSj2u zDV82rBOQCmMmtV8#-Ev=Vb;bYUSx7}3R89J2yM9gB~~--hxay+4doJ<*_&ylfs-kz zcDauBaS`$ojDK}O^&;E2&ss;ixEXEF7Ubb@|9-}1`V-q4{?u^0s#c5oGWrf!m{*$XH7@7T0@Ga_{;!CZryY@=BDz z6R@v0G;x)vnFTta{k7CGKH7W2LRxStVmI89Cj1P2x*U<(acHHJ!hTs3-}^?14nm-W z|2v}*oo34OC(yigZiKb?!nk5~U)`C+SIV6K*18^9p~b25jtd zwzR|ycg0faH%^)87DbQ;Kfs6zIZ3@1`)PT!n@(?vmzuG4A6Ow%9OCnVlEJp1VtSa+ z&yI{wf^)?aKZLKMP@x(xQ}PF_g1DNP1y|Os|4i7OBY)muiZsyt_cbMvYq!5drK zd=-21CMxUZnzNEG=axqjqBzw_U~~*;SrSh%GvJSnOHGbC*h*&UhqWLNCWC1PzSbM2 z*q|5Ag1naSBFUnHIxhtwp{58wY*jp>S!J1jsR`3S;3# zY;F$rjsdzChILmrrhEVwBuuqG_~O0EJeI0@hms+AHY=LIbRZUD6H_ftVbe8)p*L9S zN(?@5nB*Va-C`dmH^jlc&E^Bl?ngKo;6lFk3ZqSwjO=H(lg8RV#PXW02g@kg6iNyQYNoOdC;(`%I2 zqb7{$DK=t1zA~?rt)IreNr#WCN zQGQWUa^W`Letdqcot@|E>xUC5Wf)gVn*A77!%ka@-=0LP%XwyB7&0D5dHB@V;MnjI zv+Nm3Q&1}SJ_qQL>I*fYW6J@^uy?v`iDzuIk0&^3nP~SRZZjTmo+1PW@Vv2pdnUm6 z$apAnIT81@Lvwl1(bO2<7?fV~EY-(N+Xr>ofM%JY=dkAN6&*{oENt|KHkLaG94(~m z2}B-}Hi%x?LoJ+p)#`NGSBj(0#Fmp)#Ct!rP$FhN@Te#+IT@BHzsCdk9t;B)C+vY`Gc68#Jx zX(S!V`t0tpor|nC#DH8IO1^iWx>G8MapX~E=rclbdPY_ndW;$@Vr!6GJUx@Xg+LYN zC(5}$*;hoRx?ciB;9(kfV@qsLA~1^QvjOP+b?vyg2#|r;vB6v?9LQqul+}lL1y`A0 zr7OMI3|hf6GWaB{!9c~~rfa#qCGGMp1b^F00H-gSnzZ;nx{QKh<>=pb*tfyeT^`)q zps@7P86YigOYm*F3t@0tJu{vcJ1#=rh;e#s@sxQ)7HvU(>-G`h8kX0%z-gZb&nzP> z4yYbGxIcC})t>8^cDmV%0u0NzCgR!gL1*lCz|7Y$PnfIx=d$g<=Mk3CiN}y`8+IU} z1xft(o6#Pp@W7#D(-pL@IgJ$3#!W%yM@j0bK>D;b`qa462*KyU!@`u3HeGQ-NH{E4QKV(NC$Vv z4}i-wJF~~(>d6XS)I~z%B||miF=I#CxiW9j$~?hF2|1A(AbGy5$siiW@1sEDAuR0q zUBo#>hN@! zb+B5DGxeNG4zq6*SOCJ~JKB#~pmsx_^^84e{{=7qZdRbROI`jU@2&?AYO8zvaA-kf zPB35e`W-D&uUuamW+C&#rMOjIOY$QPUVADc-h4F5BKOMNrP$nN!7RF=#QPi}5=yIx zFXIM7!OPEfrJ1)V8m=WU6?c^l-RngP2C~!uRb1jQOFVu^c~cJE_th{H!PSWi%X9Ue zBT3ZBf;iw-=(xi?eqr{i3R86}9v>Fo)OMVe$Ag;{CmKM|s?f5|ni!rHFXvH+*Zan6 z0n8NbkuNg4*Zqn(@W)W46kj!V*;V+`hSi-V9ZHuB{GhrkG05y?YQ9}xXDKC+_>UGu z@aF5!bBBiDn&xVhv-hFei|oCl96e-w?#=>HN(pwKibzvf`G}DS=4-3;FLD1jx|PS(oMgNwan6dX4mD8MT;|GVq}&b30lN{jHl6WB#BJe zW#>>`?qgs7%hnbd*T$qdGKq3pw@c(6byAk(b&5z?0PfaeN{&6j z%uVDxp`TEyLI%4tqNDJ61`InX``jFf6^;F!d<}4)m_JK|#cu5Kr4S7F-?UYHGCP${89w^<%A$9)m z-wjw3Hk8!lIjk86e%~)WU(mj)sDNX-zH?{k78K$S7e(%n0`J3~i%E!>)&Ypa#JygZCa-O|^72PD}`K z>D{jQ*M9~H zjz3F++^PB68^X!XN!ywN0%xrye)L`c^v6hEQsl*Fy1llcTlyqVQo>IP%7@Q)7`Qmv zSK*Doy;I6Qxsd8FxDR`a_(MEvkce!c3$ybGp-j3`5+DH_Llm##Z+$DY1Ol0s_21AY z-r(Y(|5+RC28l8FLXfUY6gszt-%;h77HDx*9?@B%($vnBOKxuDq~qG@BNxggjf^}^ zjV4=;kb`U2V9i-4nY9s?eP>QS@D`%)i3>Y*3ZbL|pQPq9Z7ZEMR4Q;_D1gg|N95c% zC?+55ZYyRO(11uoM`54_%_Tut$%(j5RrLP@BLf#OP&~rr7OvW%)8EgZ)f*vn7u7_t zi0o*Z_$F+cJW2H<>2S!Kn4Xz|z~E@tODc&4nVvOmx2{b(L&Xwg zDNo;0(s&4e9Z_QL#kU3r3ETthtWXU%eoO#*Ugxg!}lhFAl`t*HBi^M6)64l}Gwj)A6pAGA$P zPPJ-3kf(a-{b-MPv_!=pY`Ms-^t5IQd5965boAgBSYnQ*UOJF92&B8tYj`%`$K2x! z;dVj6>MNd-X+CJLsn#YTa{-Qt$c|Cw=tF@9MpYjnhHH4IxK101U4KrYeWLq}A%>4} z)>wpiieMt1YVNz+mixLk_F7MU0dXA}W&S5G{Ofy>QZ68Bd5OwViqER6HO8-;bH#hYvV3J|)*i4W(oAwDt!@CwBlIc%xzIN=)`_YXgo}!Ta>Ws$JR0?K0=j^V*}CDGq!+Cr9cItAYo_F!M7-+HMKx%q4qGq z1d3(`dn z?V0gIT2b#laKC9VM7DfCKvJMZ;=f2Xy)H~e4rnn5g|Xl@s>ysBGyuwWt>Zbv74JyI zBcCH$vMEm!8q1qqlkuwNkg*7oG1kIL&aD>4nC{LSr6KeX3Ttxb;TrWa+$Z)ySm8Ci zCOeCDC4n)bjErGcywUgIs42un3|p4$eA88`2yd~Q9Z);B$!K*^$C(8Jn)mnzNl|s)2udG zGR0fk8j-wOGk@LcS=(HDk!Kv|ORZ1+Q(qiOI95ilnd?rpDsRrX8rpZLc`wgG+1#nP zDUa$f@jaKc@V#iZPY9RDKZa;sD9<&sMW;cVdma)l{@w?|RdG6e?6)yv``=E_70?Y- zA+TR~*K%OG6(RR~AX1t^Rr-afBdoWDztlgit?n*#QlLIW8B#kpnfH5<5#U3B_@3#3 zouG5VncnSprZMkX&ClS0W|LKiaWfhAinZ+r-+b_Ezv2^1N}c%h44hd1RS(PaS1bfa znK7_N<#DZkWoA>Z*ngN0{^G`LRjXSMQlCmiof7S7+>gHHyuFYo`a^@AjIbnmwq{DN z&FlmInTbHc8Sp#N;)l%|HXBGhZ&Uv1fBqUkzHt*?}KxW|AsFT3=RiA#rZ$3U-axO_F|TR0o?xxieXZJ8=~xrL7JyP(XKl!=NR~) zJuzd>a+{8_QmV5Xjk0PMdk`X`HW$u^u@xUAv$Ce>DD&kMwKCUQ3olL59IedEsITA0 zAx#p85+<$G>TKewpg@tDoqi+aGVv0r@sY`99$-`nOGhzuzSq$ff2*ufPq>pQ=J2JC z-gI!9UPKP!-Dz6;D!)BkAuyRtj#RunaYujmc|+8Fxh>8CcSdA4cGkf0vJ@Z6e|~G& zkvh6hRM78G&WpAC%HaRCu~}a3dG`=t;UD+qs~BVNZuGmEN@(`8WcoC}c8FhHwB?#} z_=;dyc%mCMsu$ZM+O1c>UQ>3-=Myf?oA^*}0B>HpOGaW5U~lj1n$MRX{L`?y zb0n*i6>38Y-9X;LC*gIDQ>4Ru7k>fI2@!sM4m4Y1!4a1@`nL@OhAptq81M(u225Mv^$Fc5|KQHQZ8Rlq zZ^a&7;>DZ}nSsDSccjtrYft`BqWojyt?d~^JD!jB>Gq7&I5)pT{P2SP{`!ROq<`@A z@|m35-s;DfTZ|sG_ruEme}=3vVj-5o7{`~NXj8K;{YRPf51wciWoVx#ZEv3$(##Hh z`ZXbbbFg=XmRZ2-1G&@vso|E@uY$+iUi<(3C1i4!K?CL+VBZ6_HgkNJIYCC|*Vmo! z501C3r(=m1D>LG*BSUPhcYv)XE2=9y#v90X&he8>_s6thj}Pabuy4%#GSfAXUoyyc zeAJt^mLafj_I5<1-|T*@BszUxKPK_G=UTnozJ-a_?ztD@4l+YZJJQa$mwY0PP`g_l zCvRKdA-S_3<00q5*$WoO*vTU;xMox{j%H?+gE>B10gN}^^K)Y)o7?3Vpk zNbddzxw2Pr%Hg?RzY`A|JOt#SM)1;*<_TUP;%iiK3WpUlgdue{$Px$7U>#5Q+C#CBwx*cM+I z_w3GJ4QX!C4w+8h#KL=F$l@P3KX%-Uo1YfH(NADl-aG%XS=!oV()N4Xj%}9wYp4yG z+-S5S%I+Ys7p+b|=B#!Y#{A@qCF~KH_dE)%)zW~lPwYcF=aFuVALIEkHg~#gWAjGe z@_8BKN9RyqJl)9WQ?fT~wJDs~>_S)H(CA{UbFV)QLOS*IXi_~Ev=K=lLNw&1@%gz^nzrG>6 zkY93)YZEP=S*@+E5o*`tW24vm`q6&ekmgYC2(?e$Ux+85e2nM^7pSWjEq_z5CebMt z9~X^g2HIs=bG6eqS`<>#Da=}b%GTQJ>!;m6&}kZntd0nmZCP~1lRgBJ?(z?f&t z7Gt_l90xA#ME1C}%e314!t3VOo)Fnu;h1PXw8I?}ac#DjU-ty~z2vNYqqXFo*Onx5 z8(d=G2X6xH(tLPzh2I3)&H9+`VG}z}TtSMx%j>=jcVoX8lG%?i*-SF+IJPv}+R2L7 zvEmQms*#6Z%w;BD3c5cgc=Bo!+;tKV*+q=uGdD! zq{XSrzp?wiBTanv_Jqp@=8Yle0dvNcPlUoKIu5uHY&>l^AsMTUskK|nJySon!e$Td zT?e%7-nPPKSAYw_2Cv_&3^23{dAbF|o0e?ygH}5BnuwYh;#-!zL$V8KWVWy}<}Q|D zoi8PjeNXmy0_gFW!XqvordZ#wUW_ZBmhbrVlPpC1k3(x#avEC+{GvVbEJBwWxj(H3 zo)N*^**gq=Rk|UM$#^jLgk$7}JS4M31h}?mO^i&BJS0=Y6!laGH*YfXn5hJB_4i|Du~Od8_Xmm_>ecVK7=wy56voPs}`lsPVBUVP((9a$r9^%HqG+wdaT z!I5U0me(ipi0~Sr{n@|NWPm*@Xe>;A!TmrU()F;3H*&(>)8gN5`T!o1ZKrHEbI`mn zB|nfM!tP-AtLVA;a*8a259HwjbN}_$O4Ytwr+>V1-A3K~@%y`Metm-s(T%uSI0!Fw z_2=k6fJa1o(Iv7BtKETz%fe4``gynr=t@gSSYaQ?Lk00wpm~IJkuxEsKXE7%@h89@ zc2y!XOV-b_TLhgv0J_N_k`PMxS@CsF7c(DPvqfX{BB&LmiWHo{z~T5 z8l`VEg?aQS#(m-{b_X7fCXCv=ZTMYdi?2jNLlthP*$cZ!f$-}`;diw6>?faHuOj8X7|G9N<| z{TtX@C-^{)oq1#(GP24O57;cIm8(BBmX$gD>}0DW1WtJxge>~5zC*OoyC3z)BewR) z0i<0T`({%2;Ne{2TU0_|TEEn6jT&agH&ZkJc1v0kuvWC~K!j-F8{2pTnql4=J3C_9QW%-{skiIe_z(D z%~mWLo>(Ln(Zz#g>^t&klFx8ISpIhefZc6CUrq6vE;*OhBYYTi-lW4(IU}xdI4&78 z5*g@4I_dVvG0h1e$Y_Ml(e{-#A%l6^dySJBt}DRS12T4GIr?pr2XD2PAZHvU*gxSA z3pWNSLkUiQYoUvaS!t*rp;SI#h%gVpmbFn|0Br^ZU=+ZyE-!q~bd%UrC- z+SNhED@o@=TWU;&p9;5zqBI`f=(5h1DtASO4jnDsG2XS znSK;|eA1x9>yH?%$cT6U`h*_3T63DGXR;!F2#oF5np;xn-A1@Z(vh#878q;M(e$Ij zI0)j&p9?|rgOsc^_p!TB`aBq^deTl`&S@cpG&HMnjmu}~p@#)qw$)Kah@Sg8jw|X5 zj+t*ZJFX~Zren{1DMx6HHxGnBIh8HN)SZZ;QHC8x}0B}aphG$bc9b||`_M0XdL;mZNNS@5}8y#si z4s_fJc;?I^!nsm)qNXSq5~)8et(ip%*a4?dAl^2xC)Fqqw#qm^P}ldu z{m)MWt)1D;v2^goLSc0BV{d8ewBZwBhLiO=|x9X+H0|qYR$RFv-^o>+NQOOi-00}8B^ODb4%V0h3zbQf5$N0)W3Q23PNB8P0(i52yjz(!f zumkiXAv!K&jiuajrav;8ea2``X9mbGA?9>VTKb({XdsJ6XX z{??;M(zdNd3k>j;lDSMF0(f&0mZukTFm5?1pF{c~;uo{hp)3fH_sq!D0 z*@*!mIp5D?7?4V-q+{Tm_gr1(02{yClWW==#tzObW(3XtZy?{vZU?VU(G~-J% z0P`AI!XzA-%{hu^M0aZ2=dTWN3xtg()Z1%5>6OQP&L{2|n9mOJ`9!NUpP;SzME9D} z3H}^T=>)eFXLN=&%o&}dSVuFO5ueeSk63VWg0#e}-i0jn$TLb-A8iFeCTgkDjM_s$ z=P{@l_Qsz-ev-)S<>_g}M$9oxNO&vACX2(*qg~+*!DR~j>jgys&hkR9nG|AUHYcK* zLB&Wp@rCX);*J@%+GB?k;hlCldq=U2Mwk(21I@(hPCMWxp~2Z%+bNw6$hmY+y*?yU z+f_HuPQ~SyNa5B9CQ971bwb*B&MmKs7-5!=kXUbQrGt#LGh?@{nPYs&s@*WHFY~MT z7Uc+DOBvE<@iB0(dxPN+pw_R;I@yZbqBsY{X6IwrxJuG~KmjB(%?Tg+d!^{g%^f+AycTbQzEn!juB%rb)_1R6_Q2&^YHi*+o@N%rVI zBc3qCR!gjP5nkfxeW~|_w*!nYBhJQ>PHbm&p=bIgp+T`ucsB%yrA7@N;zlKsW)j`( z1b()NEy-wJ@+v-#?qhe)Mq_C|&7UOXq$w^g@5m9i`Jt}%c7x60+v85F-&6#H`J39k zIsa^?ELODCuB$b)VrayrZQGel`Gbx8SpEIqJecPuB-+d^dn!|*j}eM4-z64$zC(>eQBYPw5B`6*;tZ`4y+;<<0hf8 zxv`v%UaW!0Ct-*jKmMEJT{~d}FtUK{+d*fyfcNG*9vr{>q|^Ehx_Pvp$oAaGRHNF? zv@EL9BIko6uFVmW$<%O-42f@Tr%V>#+NIGx9L>^nF3WFC2cwVg6ItTrr=hNQ>CS4-%}j)J}p~mk^Qu?f^HVqCsMki zzh^ts)yQLi>S}G0&4ib(lH#Ex7L*PXnZw60B#Jp4aYFDFp*+4jGLJjLmTiiBEh6?6 z(5E*Dj<5R1Gm@?c@~cB|eFs1DhR`+AjBOAOKL?^ zf|Oxxu=mVGT;A@C4S8vny74{*q_$MEL7{GV1c4%~q3!xj4cli#|7*cpU_{n&pO%qX z)_#1Bj4hh#d8KL5)MZSQeKd1CM4Nv@#0o?Ief z!C8gC4x}w&BJ>oinK+%oxj}@@MigcBXAhH5*F9ZXoQoaL9*_&KC<#`bjXnAM+S*@t zx|}_R)gw(c*ovWk(T0w(dvS^(3UM}qPHTm184*5`OWu1wQb58-c*M0-n;IdpdL;k9 z%i~D?f0r4${}A<<(yw0CmJXP88xQ{(ncK}TkaWPTIe(`eFjhM=F#*MObD($i)pAsF z=PQc9C@*ByK%#4e#FB<7u?16;vwVF1?s-3Il{5^rsVmASa%o|B8S%n8HYs73h^lgY z&~-^+Mju|uVMgbn+~SqF8HAD3hg@9f{x0NivOfQLmZ#nIT5jKTG_S(%uRHQ6OVuus zwkKI5D-HPlbw~c2By+Tg(PClk=I$1qON{3N|3n5=LOVxR>tEj>e@r;@@ar4oZ;n_pz9SC_|Mey+!aXub7#rDrcmA{e zI;sg0;e-U?59E&t+o6_3@qrBK@fm5&cjVVM$bW6Zu1fzx!u7Vxqd5H&86@oSjZDU4 z7oW%o;dyHJ;6yl(U*8}@leb4M+8yaPp}#`@WsCd|m;Kp_(Q;QpK=_{{54+mRy(=Ih z{LhfV?H8Mw&K(`~KXEqQc9?z_(!QBbgYS?buC{n@O&XYuM5wl3aPxwQ>+~lyxUjtJ z?3*L)wk3aueC*rb{pitnmiFUi_TszWUqq&Pge{Oc$yOli)Dc3;pl=i=S&ws7R>iGlt z8}*TvU19#HWkzzgAuXggBheWd7>2~1Fd^Z>RWtvfxBqB$0l`2l?*EvP3vEdc=CCuw ztEdfWetjU81!1pV=SE9Q!O4Ud_lL0WmqE={#Svx%T%egaUEG~DZ{0!vPetg$D6QQ4 zcM?y1ny{0JcvXhRTcYMcjMw(~M;1_hHVwUwtvIn)o30yL57%XE;pN-3^Hj8 zXf3JxmqGSKfCib7+BFiR{+R~|im=Rh#P zk!gtVS)PXaJjN_>dC)D3t48*pepB;{cF)#X@po0yI6vC?hEm>cC9pvsm)7X zX&2%N9$aY`vc`!OE3Fh%v}tYtaiyhZvCkSh7R2vv9XU zSIfwfMWZO!W-j~^P%6rW$tWFF@fv(b9Sw~vG%s6_eMt7NfAVrts^S(wnervOsh zACL2fG*v+(Y7^;-tJFD=GoCqCvojJaMVV1ab$>=44M&&(X9BInC@6o(XKZfTC+1?g zwM}K+o+t5&%Fay%gW2JWAhJc0y?EGS$g?QeI zn$2N6i1Zw0N?Nk>o5oj@bNbtvKpQa%x*zfxQy+WLaoIx&9XX@6hlGiY7zWE8Widif z-aRzFy%UQLIvBlawOo;!QfblJMa z*B;}W^Wux~Nhvy@Ej~8V;v?zIRrC%3103RWrL_&N)>!!um7=YNrG4fmE8D{50c7TB zg+}-wh0Hp8Czc1H6$BgNNo7*EjM&9RtzIts6DU)e6m(8B4~0@&Rn7Mg}&YLv|>+mmnd`+g6i=haoH}EmrT&j z()vW^#|0Z|!@vW2Qm7EWxdk_$2|@uWB7MTjGeKO9N`D$C-ox>IBKz3!)s}~{d5F~b z62Q=i%l+mV$gd9R@8Ip_hHev@R$7U%2~BIHq*AX9nR#y8CPYM=P-+&NP$C_h5W3Gy z;Fi?0HD=#UOMB5g*>}_Y{KX;ly|ni>vq%?QmhlsmsE;WBG)L+;kl7LdpB4QBFOBiOf zH)ne_f?iiU!+XSxTQ$QP7U?9|a=UxxF`}861?f8CZ-dO17AMk52cmt)5MQI}e2Lv4 zjd|tGQpo7BHrw~0`-F z<2TaTp11cj1&|3OjqupHR`8pB#PV}fYd*I2n$T~aQVp3)M|g`Tsx#u+^HMV__{3^< z(lsp=Te61i8KpRX_=Lo>rc$wqo-C-? z)a=fzV%Hs`^eToI29k;al8UJrR7|9yVw0lOy`cZ7oMNkcd(B_Bmpk$(|7ok9I;Q-! zJM!NonLSeQa8D9~Ou0|wj|qG38uHFRkwL;>{Y?fVj0 z|AhEdeIgGD+efH-!azj0M;m2gIX)Xz1IG*9_PGx7sWOIX^np(gIb}@ zBWw1rZ;(GG+~@XxB7bwllJOmRNcgY!Q4#KuLBiO}?i=(UZrSk-hD2Bh2;Y%EB<%jQ zEQ&iaq{paFxFf&5LH=tKc2)Wp60Wz69`zHS$RJ^_sK{j8P5Oz95N1UM$*_Ln6B(L3 zR8-uNeiQmDYtXr)L-|L}rhbR% zcOmVYeT~H@GQ<@sDx`tgPK0WERY6`5QBm;;4K6GXY^Qc)o?C)o<*Co3xc!Dv~<@QM6Hragtrii)k6_j1cUe;_~7GpVAwV6%MHX-ygZ zpUBVj+*AE`?3vR3_wV^H6BP)^YZ zchww*_>+7n%h)XGc|RGHX@n;GIHnK-EDn)c*?UM<9xBQgVh@0(Vh)40u9zbuwQEE^ zSO2=$P%(#bwXFVVnx~f$87bzFOi|3i@uHZ6KN%_JP$Lv`h%Ra+grJ^+vQaSyg@eKj zo0w+4vUbA|i!}8}ly1c8u;;F}M7OCxyt)>B6B(%GkjzobA!MMIL()Pmhh&3V4*lkN zEeBT_YdN@JSl%GID^*`e)N-g9iYd6xSa890#-a?aGu3i%W`J;=sTJ0lC{rz1$|3n+ zj2Q_&*o?eVnFdNZBo35vsH=fe4mCn4hme6%4vhw-9GT4HTog89DTfeLQ&4^^x!}~W zl!I%Jl^Zs|=`1&-=2{MFlWIB0mU<_GVhS}w#f2!dmP6g6bVEZVLN?G$tg@KnE}^m6 zK`{q+m62i&Q9&_>27_t|A*iSj4=m=OzN0jQnBe;Ct&>zUOVKltfm)93 zT0B!%%OT`>Er&#fS`G;Yg%UzgOd(ORmP4XKS%ze_@TLV}Wh4qWqLzc=0%Zw@y%QNI z&P>(40|sh2#3pJv z#MD472Ui1XIYf6!%j4*3In;=?95m}#mClyPvLSjM55 zi>eKc_704cai}Adafl4+AB04W1jV8<4sM~WwV>tAdJN1hYc{ALs^XxPpIXI^o+yXP zvU_oXDvmo}V>Sb;ID`yTaj0)paZv9^syIZZ+%0-}ScssILUV&E4lV{3WN^K(XoH(y zheXK+&(YB1Ae@=t;3j{AQ$t z16(Tr24J9oLt{Y!hY*IBIJ!?{pn!ubL-|8Q1`0SdzH+~Bvi2b({mq*N9Nd0bWx?%- zl^D=yR%>wkQT+zDpVTV0pF}yfAM~DifV;2fYRsf#{f3Z%`VIAs`VGl%(FOS!t=|w8 z)NcS8s^3uGsNWFbf%=VD9V*`-Fi^dLv8Z}O$eEl&VDgR(RBwndsyBoT)K6qGUcI5w zpn3yqLDd^r3#oboHq=<}>_#(Wx#XBPII@R9`{5tlYrzRhasz8zl^fV`RJozfS-GJRqH-f6qo<*IwzYLUjm|TL#;}34LfBN zSEzRsU~qse+Yl3lZZ7c2Rul#)Q(!FHxQlsE;b*!#feaK*E6w>$2Tmd^Mzh z@zs#qi?0UtFTQ33Y;3m|Ukw?4@l}m1E9ZA2i@Y6mjnp0?NdI}M8IpuV7+xep+P7Mn zYh?18y6mGQLN&vD)yRMqQl7ij*J+CvEB(`Gw#v77dU6gn)@hFy5a;-yppYe)f2rBx z!GcD)B{?kkzSD*HgO&JHn<4&SEvI3Bw&ZrQ}a_2ox;%8fG2#77r$XJeuVIP;KPm}97vMQ zx`%B^+1S_B7EFx4*T)aQO*P)@!$;Z-srwD^_xjMN(ed~Cf^yS=c+3!;1Hk}CrXi9A z@;ub{^W^|(`3{w(g&4kmQ{(bCvd--DV%;*kfLOnzyF&E==mTGDRl)?~GP@8@=HW8C zkUa>+GP@A})S}iIAuhDkEEZZK4Yfe`T4@}l5b}XmVin4?zMn>oB#nhT6*^i*mMa>~ z?f#m{OyL$C8qMtC$V~U~r*6?^Iom;-s9cCA08x0??vCXV({drI?;Nt(**v+K^ckPwezAWhL2z%#fU|*CVilA>Bs4ZRcKWvOd2>g( zj?w2IA|{!gI|@d#M?#ViBov5=?Y;9z^N05~SUcD`V}O!Q2l%6ZXr!GJl*N@g7oU$a zri{<_Hq}MOOOJ(==Sema*Mje*ay0Anh?&T&@l3vCyoTc{BU9J}kopo{sU-Hrb8#j0 zCHz#BdIs>+;=cDn2jR@sBr7J6$DTD2o|~2M`zs@lljbC@DdH+Z<}g1bu1{o&l{U0n zP54YKx|&aX%N4(w{i~s4qPR@`_%LZg+;=)6int!+@$LA&DaZGT;8HQNWM)5V(~_B; z+~9~y3o0gE4Z>X2CI7F64O(TU~oiN0#Z#0}H>IpC_e>41(iCrZd6`L*ttwX)Y6 zc`=xFb62mUU85t9r{}HK_P)h*n}1l)>td4CfZrNGm*$wBbxktW9+u5&ksdg+{56@dIs| zq7+{eoFd&td`>j^Fq|olxHO(Af(99(`Ry;eoyk`7m)*{{jAnn>?d+Etfq^Ar0emcJ zP&s&w!*6yj5&UK!vH0A=vRJ&=KjCO<$b58!H+rjq)XnAPb%?*JhE~!s(x$Sc{&zkgi7^U^xL$5{a{t7OmYx?Y9YYd? zbd0$Z(lJ2NF*SpZiL|7KsK!m$Kl93gdEqCIxkSPs5!Rr8AU{(5zAX2;d&_-HuMhnC z2KmvRBcnX^tQ_+XvC&aa#In4cpuAM-q%BXE&)+j-)Ed%&hLr@XzSuGhkqF#Z` zwkW!2q+3vLi{s4@uUy`u0%WrdcCQWb6u*~2^-PEXUb(DRYg8_a@){+`=>267D!YA* z837+?CSKR5TplSaxAh!f;Io827vd71@_YW&1*KY$y2KH$5qZ&X)`6T`Hd;`;Nx};X zxQNUspQLbR7H?8IGm1B_$?;bLW|V49C0+^0NSo1_#hZ2$+!E!c2klV+^$uhiDBh&l zr#F>(iO-GNP1;6)_!BL zvGyBlZ9T&B=UPkE;4w2QJjYr~t=7I2ood0pwbVGmjDQO?6Q`j}A&s%Qi4bd^n{8yp zqEU^okB|~J>(FRQxREoN$F4OsTJiD$kp;=@l;4upr_an1j?)*{o(iYU%stBuaZN!p zX%k2%#?(KMHQr)Sv$fr8GU*7_zSKR!xf-Gn@DZ)VDhPi_XmEBWWa(p587f^SnfZOw zIFwBjnDEX4S(sQ%N_C%Ls9^7m@nzv;q@Jk}_fAsIOrdum&*RIRxd;~zv6>ga*aUJs z_cc>kmoZ|gSV`uVsWiIEts2{FV<6%{lF(b0RF*jdqmnsG!71|?Uy^x;MC=qrogs5l z7E|n8Tt2Ve0ojVT!2p!*{pyFZDs8p=)=~|+SyrD&i8VH|PhD4yD7s-+OG`z{gqMNW zk-)cCLnlE@&$D_omMJnMgs{q*qx(drfhtQb4TLv|%tyF&M@GzGCP%}VV@9G%5qi$b z;1{=0y~l=weBL5M2U@KM+F%b5dC_P{tzt`0lq-vlqcAzKQ6gvj#@U$lHhTagnQtSJ zQuV#zF(%5erjrUK#qRDMQGY!WNo09HbwR4@wZ%s=l{F$J14~BL!T?69g*g^f3lm^% zaANU}3{(q)uHIf|PgCRr43e>blk5UX<^)5eS__jdhyDuIVDIQ_M^y%q110$}3kGzD z34h^6_w2uk@_!;biWSgm4`Y;ccUDti`I;kj$E|$b&l}P%9BjtbOUg;8>K%wih-P9G zq`~Qs1S?*_!wL^1?Ks!JzBJW&ja?v(d23$wJm&2W4m1m19#Bh{VTtgP7)8-Fhg0|> z06Q;uUxWyYtl10^S5aPNB%=HEzS@Wkt&`>5Z!xTV<}YTh68vNyG55m2ve+e7gjb|T zFcV~3Fmsx32;LKoRtDiral#GZB55@~=RsdciPBvlxX|WS;B!LNRv>CMpePpe%<@## zuvkE8u~^t5i~J=XSdkn{zf~>o(35Go0c$JoMI$;AL>k(ay9b{dqLBKP+z19lWfuwW zsO)0z`JSTtpAF*PLV*`Bbr=|GCDKg`rrLE61VbE} zh&lX-LZ;`>L`h(CR9i?qNF~>Arso-8SZd2D-gluhzZ%r%ICuR+g_zSDgAgsRi-LxPB zt|@3GZ35-QmbwQLz7(}8ajg>N^2R!k&hdnt#wP?!L?iJDx_9XePR!ia=Wp&P%eg!+ z2N9WtI|>GataKrBls}6HJWltLuFo)#V(-KVvve}z>l3Z8cZ#(5QupHYu|v7omjf&e zaw1Khh~F*|RG@!gmR2->e@%Z?iOVZt&v>|zqX{I9 zZ$BccOdY?Fc+i_W=zhe3!!!K4@w$(ZYQ5uCI2r>)UbGlev)IuS>DcwzzxcoyKUf>G z-K=+iT~f&Q{Hr?ZdyjX>CB!WqRQ{X#JeNddD(?40;$^m>;gxu&BU5=CFvk+v*LMHb zn%S2TMaEeC5wx^sf^OEpPh@!>E*Ie`@+E}KQTaYZW^Q-7EWJ71@_aeWacXHf%(@&d z9z-1LJ^I>?c+6VJ8JUilhwbc-6aK(W?%5$PWfXEm#+I5LVTzLOfrL&j;0s!KQD_mU z4r%udHskswWeGKP4@5&mGcgL%=Tncrq_u^|pDR3&wBuZeFHLp5<1-c--!5Ae!1#f- z)kvF?0Be*}iOWi355uWQ#Z>RrBXk0a`{C87&_n~_A`20{m-|v9GO|X@O;$RKHIO{O zg=+-ASw?KVu&(U0ekb3z@av@QmLd_iO9etI^o6HEDJP=rU6L6Up57{RL zTO)f*bF;QfN}9h6_!Og2Qn8>cRbk%{S4lo7Anv?>3T0wZpi`GxBw&t z10+V(O2Rni)8Jm6F_W}Q-<=NMcBgfm@hkgrEO`(Dv)0ZS>r`uLo9M& zNGY8w)Sz{$Niz-ch!wU7LngQoYJ2TBfLAPn+{TTiOm}S_S#>TZFu)JK9`8o2R(E%E z;CEtli85RXV~+gNjKn*=YL*QGCp||QGM1?!FcB87FedVn#MBIoEw^x!nmvjPMhMiZ zzCAi(Uj^QT{7MKO#<1|=Je@g{3=u_3E?E#0ZGlRBWW#_Xvc|%zig+TC7GkuZNNQD@ zDVzz{W(uowF~J8&?tBdj10UWH>q~r(;1L-#awlabGc)uI2~OC+A;3xTYJb6xN3d$c68k(JW zOGHxT<02Ud!#?^>nRYQgLw3g4O;HW8r72Bq$aEx46+%tT&0Juavu>7UHVkii>~3228{%W0wX&cWppCSN+&`vf!S$E=g&eqsERJZ^ zZ1ei1&x0Trq(|o5=p}s*%9<{9E~MX!#Oc5$hfj#4<;Z6!hE#Bh(qR#v2Aorvta!NN zx2Eqno_`*Pqlwo(GE+z7f9f~Kz!jQ}_ILzOg!jm0&~G-LbodRBp{{Ba4~k5U8<`M% zvw}Ksls8UL-zP1+F+tnfKFN=BP>=Zm53UD&D}?)GY8LxsA{|Q^G6KWXg{NHh}(yK{$U?oL|5PbxT(fBN4k`di8)u8 z@=1wVzM<^r`^@QQ7@yAj9y!j`NQV;%XReec<_HEjB(5W#O(j=>{OXW!`hw33@wZH= z+ZO7Xb5@-p``&T2hbxAvO+>1#R-xI6aA-C=&rHCXedFt~Fxq`+Z&ol0tDUDLEr@_WPu)V%NYm9;=fEX2j=e)wvuhNYa@v z<$5}TA&wYc*R{(lA2agYcT9oJQGYLFf$NF_`$XoE6IP9IG@`5NX47rwi18SFUyX2c z1aiC|r~Y6poBMau=z?xPygPD?9gMao0NX%D3`bXQcRL`zI%MjvA+}*`IrrC) z+FwJ=%G|r1)sR?2u?G>+9+aBJCX`6WCdBSD8`JF?LOw>$*A`rv^Z9xS;dwe5`(EE$ zX9U{6Yd_*;Ad`k<=4QQR!qdq01%1!)B5Nk>v6hh)Q_uKPYDUHlyn3{GPD>^TCl&k# zIR>KsB)lOzg~&d3Z$l&h?3_lk$8#-M4|Pu#X{?ge0kXjRiC z)+vM4AsMbwna*V%NZNKTL`nVL`AUZz36SwKtuE~j_B)AiL4=fy&NK}%o*Cu1 z>V1xy-HagQpk^@PKzd^6j{Q#`3vL?7XhZMip!Q%ErSbC~s+d{68Er|xG3}r#`?GC(>Az~nv1AInqsh(yicS}6-(WN({lG51{;<|Nv)+yvi!B{=pt)iWbd8QCq=qIW5_>4CDQf5`PJ9b5>DSfa((4x;b!3Slq?&1QO}Kzc^*1^bUDn>57PZvjcW0uiTd6T%Qx$O}Dxi209V z*X!vYBis&r`tbBZoed$>58y#)mThKyzA}Jz70*-&j8N5BWAHec0DN@A!QY8I?D!_6 zGEaXl6OR6vGu6KntX@yA|El((#wM8fdwctLg-(BUM}Pb03$MT9)?|o1wo!487|{OQ zTC}4D@! z3Km%wiTIm>^4h>8maRqeDVP^~-JZt^)>aHVf0>8Szjg#*$G3W}O~VA&0k%b$Bh5FX zwdD$)U(Jy=$4|@=v?J-u0J|yd$ev2T8Q+jWn-fp>gX)Rb^U<+goQ^!S6Pe;Sb{>kL zwrw(rAb@?~`-$7$(#fKY5!u@O-S*#SB0oEar@1W}0O z#eN|Hj!b}mIX#KdKb%gguZAo&cN_pm7ELtcK{P2vCy|FzJj_v@X2SoL49}+F9kBZ^ z*sX`SG_u{xlBJ8gzt=8Z6aZaB8|h+DmEfae2{DG2bTKpYjQ_oTLf{&Re>rIP?_K-f z4=H%)P$CSHoc;Kl5=4WMY1&t;J9jUxd%+TVoYArF6*_kKx2N_By~I%cD;^zSA6iV> zej%a%@d?%4gX}hQ{*?y-tAD;qO?<2`lEwV9W2Aq!p&bV&!v404BUQ+`@dXhdr~!N7 za7};5m#xs^Qr-C08>n)JK)0H#?Y60ayS+2K{)ynNqD&jr?kN#t3UD;nLejDThKQW) zx)L*EX2{h1q@1dUMB3WbNc;mg64BuaIYaC4@H$6P1t6*m4yVvf03#AOywWvw6M(w$ z$G8|;oyfKp4;>rY(Nazm)dQ!<<5!s0R;t+zEdUM8E6zK>ZuxnBTa`L@ z366~Wh=7>|Yw50)_?~mp z-3zdrrIc3yv=?oty`W0%MaNWM3@xc|$Ie5GeQxIHwZF{%Jz&fJx%dd+A(7IaWRo1v z(p;HClVKI}HESyX$=<))-T`rva54(*Q`Zv=jhZGP#2784YM=^LL$_2l3~Y!y|MCv4 zqPXUaSVgBlN1Fr`G`W2Pkbt)FWAXGyUUaseM{j&tvf;c85!D0*zO5LMwZBFf&`ymESXHo8xmZH7?{L1a z7+_vR?kfhYHSH@2h<$}^u&Y+EK00EcEDlC4?cXZOTX;a}`{AOXZas9Vo8+w+3k2Krra zB6yM&exO3Wi$=IKqCR16Uu5Y1wnwG364C2{w_0ZZ) z0SoSlv6#Udh(3~7h4}`F1%Rb%KE>z^ z*X`4HmBqu#JXs7__PmMOC(V!4&C8JPG%AaSy=hk&VE$*?RSAfw44QCFEFP#%>_w9i zl~~q%`!M$WJ=4TZX+GyP_Tsl5`X~$uUl|7P@6&2Q17JoNnm_|yBumvp$5bN>O$@L3 zF4c(mXRx|9i#yyv)xT#Y)mv7$EyDFfM5B*3;xDLb`l4T%8@*s3PR7>a6;f&Y7~3}H zrm!~MC5(V()E_?A!La!2xanX(#{&n$;(0$wllEr%rGAeV1WlTBwAU!JCLJvpnIwI* zXZX@w1Rza{CP|ZmtmZsAmR7{jq)9ROq)9vMW3{mWu|Q70;B%t7x95G6ChhN~c8auz zRgSSo3vm}TrAIqVZ0b=b)<24(*41Bpn%6B-s;X@!*J%mlQHZb>FMmyB>hT9;I2wFM zQiRtCEf8TyoUIgqzZ3fP^&h_>{^w!KYa*KGX|^vQnMT*u^1ZjOYkHp-r&^$YrTCq$Q1VY|9bZHyQC%A2z~=8($=R#`h`IH8|4fMtHO; z*%J)dgjy|7X3+pEtX0qaiAHxpt+LjjmgH)5TN!PKv`4zxjD3T08_n)qdh|B|UgUx{ z>lB&fB)d*gPQ$K!+I+xmbQx$IpbeQM*(ai# zvFRV;WJiyPY|UcL!UEY6d5%IPEVNplry|$cw|r!ZSyy{xEUBx*3mZBFX=$Ec_A;ow zfWW*oLt08_!G{Dh;#KErwMMazNUu>Kr|vZ~yO(Te^88pz)4NAT&>Al+iP1GOtc6H# z&IPZ_DIa2Qo6Fm)YGmNX_Vl*eKHcEuLoHBW3L- z)V>Usr}O%|?cbWtgv4}48%`#uaxU31=h6mu&9VFdYvx`9PABe_? zW?)35b*|iG^iZ%nlW{RWo9E{b9UAt1@9n&iaYXa8$G(^myJ>Vx>|VU1!;vG@!T=)q zpcPjfD05Bj+LUA2ZcKF@;PYS-vRA2HhHfmtfv?2YHC{oHxYnt;&c;S#cF|F9WE`Q4 z*SX!Sdju;RQeSPCJF?U{LQ0(orOsB6Iw?cy?3_~P0EhToWcxIeWL>#J9-AcV+8H3f zzeJV`mWYJ4-i(w-U6pQcvaZ_8f4Qg1Q+a^y7RNN@u)WV?Pz?4CY9|E{)!4SXHGoD# zgY~N5v{dZN-L;M`6)&sK0|vt3S*G{4-VpnB*6|C%xf6S6@i#(Ed|n~bkYl4p=fC~< zf2mQ4q8<_EalziX?{kC@@&{v&uYvZQJ`5JS2wn@cW{dP8nY~*I_G$Ls7Pp#!eHk5I zyuT&IFC{YFM^vX2RGMIT0SpnTVZmatl+BfRV6hZ`A~=tv@&XX6g@{ClRzt%*Xo{k% zoo4XB4ES5kJsC$dzMVEBR>Dq;HQvrt@9Xn=&RVB;TA9}Q={NY)`N?C%&j|hmr${+@ zI}dC5B8#i85ZsR|{ys&tjb!iab^x^f(S+9n(v*?Mjy2mDTt@1VZ9}mL(vL*9v{bhw zJ0iTCTnN@=>@-%&7ptFRp6_Pph4feNN8?#}x5Ri>UbG>?l~x~QxW=)T#$#WC>cp?8hPv`^1i-AauJ$nVct?SQH+6o{ z^m627P=A~?lq)ZY9|%3{^zOLw4blYc}| zJlF%1bAQ3z{9_Y|iOs)Zn$~_o+9h3$pcpTare?Bxsu+hz-pPheX?qs z$ha+cMu?M9sSat5&J%G_1h)(cp8&=;MRYYIuDa2tPRK+8T{FM-+%s9yct@B-I4KzT zo$hL6i5D~Q#0V34dNIay^}cLfB#lf6nIjObR&%~zwlkVOFudQnT13ge>tS9?qEi zV^D%3#z*xIJjfFkI>>;$R)p41aHwqi`1zkz<6Swu<0# zLi~+WwZci&i6%xHRuTM&=YjDb6VZahgHI!#bp_c}hK|rU^wnN)wupn9yjW=>%2ICOhVA zA{M6EM7%7`CZcMZO)|;ZOi0WoTWK~)o3qLOId_P~b;yRD=kaVJ78hhvEN*NzBl0Gt zATmTI;!J5Y5erLfM!+lyr*cn;_iY$?(+*1n?>DF!o}?3L=@+_}3Sx*E!M5jT9YSg( ztVmqy9f(GVW?(~JP zKt5=dRvc(+S?=1JW9edyL##$HZo>y!7>wKSftHbAoFs-|+|Xzc+3LtRLNOyA)*)Eh z%tVW@ngq`gQt$~uDjHfz@T5(_vwsSnV;o|2p&=B;2?BCM#tC9;Nn#+(bxTANTW{vd zNJLJ1Rz&1dPnDb*RFZ3v;RqyQ1KN}Wf{ zN@oRsqXPxOFWJrrkadV#rd+P*y)u0`VsWzN1;m8_ZFpxOSz3MUT2qeUWuzX-Rur4t zKmsuWagrYq-d7Ns({_W0JqznYa}ig7FLSO>AnJ2bL#w{&E<&Va$Pgm zK^kuesL6OsNW7%0W?D57nMe<&G;NZ!eYj%q~5a;x5Pgb-U&%m~9Jze0krgQ1x?4a%HT_AOK9Cg`!q zoOB+sv9U>B?sq;Sn&gPg>2yJ4E}bsyedKgO$SA2;guPPl5gJP>7U8q3Vi9CZf{!>{ zQZXS=F|wQAXGeo%3mQ`wZKXA4_jsHRpF~6nv8K6X9&IKCoLCd&Tk0F{4Lhws1*j zGH_D+4q;vO{%1q7_qmN`>q21~U*WUkLhvzwoeq0`sAhl5C6xOHQySk8n}>frl{d!g zTx-w$3|$$m(YAHM0$ptPLL{tcG;pMsUABvV*Ke1-6CLey+#WJwr-h7jL;TW?qs9=s zYv`!SNPOy#t$6x~G%j1}o)fdnN{h+c{o|Q=es_P+FMY#hmn9jWQb*(=Y+2OybdNby4UQL z+f`1S4$Wunb~u}fja$>ed~PilEvp5PAu@XxJu@-~Qw_l8p-h92&4En?-+9=NxPowd zC4xv4Tfw~`!qTtf<`B^UGlE^u&)f^}umQth2Dw{QodeMTcg85<`xT_Dqva-_XF@%u z?gDfP)gCh2M~@AA>1R8-DL!vOIV0>_V@B-LADIaoPrmzUfLsy@3Gtwj78@yZMY4A- zT8wanQF|ga(k|SkUyj8W-+)KI9Hoov8mhcG zP+}JXv6p+eU$iB6^iR2Sj6`By?DvF&E6JnCxi zHYe-qcz1F0(jGA#^y!!5jI)}OI46$`j0oat)B7+)SHnB+Rsma$47fdAdgLW@U3=`(!k(Axu9_@|<-i_#*X~>Zc?u>nophe*H2 zowJAHsEjSgm(k9Rsc?^@V7$I1sn)w)ei8N?z|i|B8` zd&+bzX$@y29Exm4rz1%-loOrPm&xH}1d$W>Ws=R2NZ3@nv@?@mWwPej7Zpp z_&%WVmYZQ}NPiuFG@PvqW!P|lNPHU&L5OdQLr%ll7^=f?{JSwI##KG8%t7e|uYbj3IY(!P;A<`7QiwyKW4t61k%Ylo+Y!oK(mPkIy ziQ-Ei2TMo=foG8C18=JwR1jSOk=)kcnv zuQp~R+yrdJhY>{^Zyc(7aHSCr@fk6r7@=PhnJ#pG%QTS7Fu+$_XT8Z(v zHzme};DgOm8PDNM ze2iwPCxnwaq?yWk1cHGneJL369F{a^#NWG6D^xj)G~V@4_na3*lQ?wB{mPeS{t%Cu%Y1F@d#UKS0#eS z4cQ7$*obgaF7z%9+`DHaQ@n}I7?aZ5iVR$(?ty5CJKw&GtPYaanR1iRSSU-ggHYDc zk%6#Kn&k8L(j$XO9n#zriHV3x>0IOhxhRNGJZOZ)M!Hyz>{<&J!yDleaV$O;hd9>A zYjKPTC6PxQYiuy{37}kh2f?!kpw^9-te6CCVWpSR-OUC@P8J z>>j~R0W67utwx560G8GY0$4+f1z{eIn25%QOumdXUtiL4M47aOA`-<{e;BR`oz8#9 z(~4?@rxpMI+P)>-j#THezenK__zU8}qgykmF=V4|!|v4l8x?x2B@xFrGsyo`%iik* zLINR#01<>aTd;PTeR9Z*I#0pY_OF;)k^5IGsTJd(V>IgZbj7iMwZ@ zo`uSHuObB&cCS(jELOgf0t-7qkpc_5I;rxVlZmBQiu8&^n4yZLn8k{8BRQZ~&GcAgE+a_A=jpL1;!1i&>{F$-yO{3L(<@@RmjOgE2@J1lu#Ay3nj4*CVzwszP*$(-(eH#%+HMMb8^Lm3?x0WLXr87 zq~oECgGnP@!N_9BelRO4GT)^qRic<~FioVlHW?OdRHYX;0)ZGP6{3Q2^y%>>5Bj62 z*S4-gia|^bv(%iz@SLT+-n;>cHD%Fl>OhU=+>~KgW-Skk z+FeI}{fMeAY-UjgAYTY+g2gYy|NYh#lP`&@zuPNqo7w)`YcJ*PNJV<6iHsq)E>vW+ z(&f#BNCK;SZGz(>lH;lwGQCf81IM@?NVQCVQKlok4(X0Mrm7)Ru(u?orZ8d!*P|@=M68N1!gja zSg7z97lJb)fe1m|e-cRImG~3C0ftKibqA%(<|oyiyy{WIJ+W<-+3OhOC|e1*~nhcPgKMEjsr z8grl>19OCN_Q4AtS46#6?Q)z+L~ZtNQ7JwK4Ov>Xrxuf!?fWt}yE=0-8AI62#U9U| z5e#lLd^vQRGc`OpWWy6-!?RR0JZZDxSw9<|JT8fP(iJlIL{_pGD6icUtdVnzfiMRy zk!Z2&;jp}1`DYttNt*j)G(6|;BHiv2cCi%9e ztKgdM+=a?yB>^lEX>{FvyvPIfZE?KF$;oRnwWP}dLnImxrB)a|Hu|clYS1fQ=!2mF z=b4Nl!QY?*iNP@FWZGc(MD1`_UkS1QOoQ{cC8h0?HSsjHX|CWmF`?WLmhJ96-;}We z4g?ElAFik!l$D=0dGi3UAV3i=8AvtUK32^xCwm#WdiEAW&hb8(fn=aPlD};^X%Cmu zS1gjZ)`zAWoa1mKka#|xj;ZI!UDKxH;(8POTwH#83tn^s(S(=JZ;72t_j@usRb#m` zGB42uF@J9Gl>ZIQOLPKDW34@hHIdNMP7eFqdkv*5>z7fGF{zS1DH~K17$1Zit!1`R7}=R4I_skI;4oH`i5llWG*&Gj?SX_0YfbX z*rvcjLLdVQg`7?|IuuohC-Z3Lmwj_h0kPlOfPE}?@%dcx5s5B7bq^#>F0z~M9Ak1} z?JJYZS*OsFB?>k#GitAz)GsSo)g9nT!J3{0$_?LqDWB*ahz&`b7W|ph!pwvY}rUu07SO{xN3zaTFNAH%O% zkuVXG`HH+D-2E7J5C#&2zaXy&mq+IjNra!s8^Y~;bRLl)`~`VKxVEWd?#|oKhu~k4 zH(K#1lnA>Fzg{6LGDPD%r26#=d2_Ast5nDJhwl6*GKW95Q#S1R$W1xf>~`cOnaxEY z86a|u$r3N#g!QDJL}~3d$L>!TiE;CzqNqK>-T!Jw_!x)2`Zi9xg~;Fg&$nSK7LgY) zy3(-2+77%V;$_H(|6bwa()N-=w3m{&)EPCBW_aWPO#UsW-0XY%E%U-hHic^o1JMa@aqCu@G_$iVlIc=#FKNxWg+Mig@PL(OWf>s<}q<& z=PVYvv6B~>8xV#E7YO)^QcE1mxa-QFh4&7*eO@b(*JL(1{*gF7HN$S^?=t&Y7TkX+ zq{k}@9PpzxJG{6ireBgx`~g`aW2Rro*rxH(x}ES#9n;m*oe|BdUl^PaNRRIpc-yzG z*#VW}-gW@!hbROGJSZdB6t!c^LlC`CKn+x$)%RoYO9aygX9`^T5EaX%K;Ya*DXw#Z zHr)HDKNP{AD@X`rUD!I7Leem~Pl2rTY2SX1Yf@bzMptzz*0qr9VJW^0a+qr z7gHxH`W6PebA<|r6?uUwy`-W}Qo)wX(d*BPzh4qDoy!uL8iW$RBGX*+G8yP1`qA%C zCZs$!Q;UIc zC^`_f7)z}v?Xc^cp>CttJ1|kx*nK8iqHC8kqaoy&;8>mghhNT&h5+5n-I$CR%Pg@g zqps)iBJ1#WE{+)SciYS_kl?SWX3ogzV;-t5o?ACnw?oP9+X-NCGxh%5fib<#i0@Fc zly6W5UF;V`b_jIT(0O9^e=cMHfV`C9m*z%3-}d zdobr#>|LT2)e$m%B27MDM9Gt znwk&@M)@g6+kAMM)e*!jL1MW-R#JlGY49CCW%C6O`5}T9loMP&t9T3Eh)r?9jzo^z z#Ex7a+omQg#UAR3CM7|ymq}kQz zq$PI5GfQR1K(h#bPcoKOv2zhZ_^rqo`3Y(Gq;6?R`3cIQlBhj&3mk^zkb*PyDF@7a zwTfbXCp3|+mZ&H+(yx|s{hAR7fkGiD2uFva^0@WzXy}){GweciQufP$75X= zNOZEDc1?53jp*a2X>MWdD|5^4cS}RXNVDnKBEmkBX9hL~Kz zSam4aqnZEJeK`h4BGu#m%+W}MFPO0ukuQYghT2!+FLpxIRi0i@Bhoj&{Pwro8vj7O z+wg8h?=#vuMFUoCSG)acyC%pS+V8e6p?c`ZuY?rOJaT9vjiLyGQ*vFY8+0Cs*z3(U z=g>m%8m^iUotjwcgE)QA4#A>+Ajjn9{G+Ir#QpyF^jN%QlJ5TYSRg}l?-*i*2CjE% z2!B_^F6ffu39*#9C`Hjp`4do7k7CMUrriC>H(lA4jOYTvOSB+7b%3ro*OgZ`1 zr*F~>&yaoTto17tI36SrXnvt8kcw?5l&Wfj7keuhMCV-Gcmh(npM_HOo_a5ImquAw zJ2qVeY1f(cX+n^N*-Cw)cR)KDv8MerG;7)%8%Ju^e_`BYac2)WSg+f021VL7-2i_{ zW_XTR>6%e5BENLyYpHk#lCj8IcsJF>GkNzaTmA8++F^aqhJ9)|(xv%sTHdGrSN8DS zD>GU6!;3^N?e1TSSn9KiXA<^iTS#Z+Q&&5#cvnuKxRss}U0JZ0AeQX~q(1`bE={T* z$nGuqazouxPSmuaZn?u)`;A!gFbXEQT{YDaD*ps@Vs>URW_ToNW_V=v$f2Sq1+E0h z@9w#nP-ypT4MBKk)`#YKAqla4SS-W<=@8W_uk>Xe?enrx&7`GFP(+r<=*jy`#er(U zI{B@pCh>pTNvb(!ymA)0i60UT+V-F2!ek)(J$`alIQPs12J}k3)%Af8zk`KSr zegk$bKaioe&&gP+p+QF*E$Xvh1=bVAh`gEn;BV4Y9#{2z8vk=sc{j$xR0e{fD9CIo zk9s~$r9iW(Jmle%ay*dPR0>jESKL$zG@Hsm7EGlapMn|mI5Uw2eUC!XK8?8;%c0Xc zzd=SvL6FhWzWGAvPsLvGGiW<)7zeUotTGuf%0>pcQ{5jIhjl+vd&waX%N9iYFVHpR z3pzAOa>~C#;D0dEMFrFb+OQRNyC)CCKt5{qbWnCL)EXGi5*wnu(62p>QXynH2kjQ> zuONMG8V;NhdldP@VpnlziKH)Ebf@|`+=WMbZyCr(APq65VkRpo|4+`l*@>Zeftm;( zW`4D2<6gYKdz`j@_%<>Z2z)@9`#SNYviRTK4Iqm7uF@sJiz7So(ID6|;-k->3_X@> z*QVjvOq%_O>f>o`GRgL!AQNKQ(@=~J!Uwruh1QErdGQ>$qy^kYYN3~8H0YJcI1-O- zOHM+6``bjPj-d&jkIq-sclsXVI0O11zhn2J4Z$#CAh{~mUkcvUl<9+&aIe(<_oYXnhQm(GG zaUAOQ$V)Pw-aq*3o~eIn9NmR<>nqxr$P$^$TR6D!6!y_tlH}Pt&&}eE=oHA#Q$p&Z zOILOO#sIum6QvGJ0i+DI{Y3Rtcd{6WpOOk~@BZ8XN$XK6O`V+T2SOH6iaJQjg%ii4 zgqoVsn5t7>eac0@iJS9mzm3)@ePh3sK^w?lXIyPG(holLCq$m}V>gGif zl!aCV9mUqwF;e8=pgxIpBYJ`)WEjN_Gi1;sRvJf3LhNqPIpYw4WrcMP~kL%%+^rt3?&Sba3# z(y-~|^rhL$gJ5`23x2e3amX}YUOrbRb+@RdMFTmp zPcyRqe}XW46V?r(g1;p(tM1J>U0Zb28uh%Q(cD@@Q-NxzERblbMjNxBBYko-ZFK`j z({Cx=zVhs7zVy^b3>S0MZAQn462#s`i<%0bfqO>SQ?ZQ$+U7MuWVb>`qJ^=3HGuvs*Ofl zMg7(TXEy6%UGh|Pq^yU~ zFmh4*SYKc3{&!k@{MNHj*+~3K$Rc4qpTl*RB4Zlv`|hKZCPC7gl_`!U&^V@22LmWR zBz8*#n1)S4Y}pm1I340BTM`tdRup4DUAw6m`}xzCRFLY_lxkUq>*u!r$aYSUF^O>5 zo@`hLA~bU-6jly|se-JV$^`a8?33dYHf}%d5cgCH)*&eCeU8w;{p122HjYq8fnp&k zNJodF`mk}2W`5Z>&8}i3?XK%fYbm60_lb-l(Z|)!)rL#9`}+(LFO1sX#|!lkNAeba z>xqpy9;ayurd28v6=V$?K8&N`hxxS8Hx7h?4H^S#*kF_xih$ZfSvuDj&@o7nbj;7I zOvTQJ_V%Zh+xsK(@Chj7=yaAKYUe@$I)>_#j?GQ|b;s+?4k7ZPcvAVunX+Hwzig!a z_`m<>$Jc7wUCYhbf4>qaVWeZ1^(_#dU^c*L>2$}gKYonRfE0imqQkEQ@@~^UoWfq+kQE( z(-yMq{y;8mRQbJ2v_O(a+>L%-hrKrJzwdrIuU#Kih);IAtV0s`eR`t>a#+6v35mL= zN)GFn;KKh7CapYvf1-;2{6V(w=ocKsjPdg2MDjQE%OzO^^hqt8UJ0aYOI)%CVq)`BsPKki@N5mL?Dz!SY+Y z5{&TUz%y$!0>{zRt%y&W21ZE1e`0VFu;Gj1AB^v)0u~^cz!zW=B<%MmTY#^!eM5uo z^>}!%ftXt@zq4l!Wlx^DKoX;hPl}#m3=;Sax0wh$bCNL6o0Y&bCl~npF?r_rJ$dF| zW%~{dE6sMqL&J!;e#oWOBj%78wxMAf)XSe-(=-#msbfTZjtCO2Lac>KMn3Qb_#}y0 z6jib>;(bG#Rka_qjCp zz8w3_y)WlgbMNyvd!GopjwHNqSqpogeBg`y(fbckwD-S=_l<3qObijX56&y?2mOyQ zF)na?vdt!jziDEC(8Pd*n-Ek&69X>r_kA`o{(CktUu65ni9dQF5YrG$xzM2Sa;apP zwc`_;)`J9oqx%DK4G9vaLQxBpEcn0|$2^ZcMagsjw<;MX#}vjS;xR~{a~Y%bSviL> zed3N!t~rd!-wa~{VHgu6JWPp77{&w__K)$$TB~oxu{WSdP=5 zzYYIk%`U%NksZRdYlPhqwytpX;`%vaJo}o-49*4ZEn?jk0 z4Wv-!)#gy0Rx1aU}`@IWPNVJMS);EVAHWj;jFQ05o$zB67D!$xlIY*Eek zgykNW$5ZrYv6{aR%(ZFeI4_{l!G%<(&dzx(Vm2MO09)&2aQTsv+XX z9poYTbYdco4DiH++?~iLCU`H~NyKj)X9&bkOauu%5fPQ(#00sp6BA_8PfYml`NYH* z*}kJ+{QaW6+pvx|j^c#TcUE&TG1HfWOkT}h`o&1!EfttNaVW>0fAbwoAoif8+$_NR zg@#lu1w`Mq_zQ7F%1=9u7p3e0Jp0Bd+kj5hpEi&M5jhjU z=TK8Ah)T*YQens|lX7zflN!99=Hc7ElF6x-Tsx3|OQvbkM?vI* z3kcsV%f!*o2{|=6Qy$khBGe)4x z^aUK=h#2jRu*Fb{iufVzXfo6vx=F5=L>-#7A!$&x8|z75B*#y$6Vf;>L4&GAsFMZ} z37*?yG9~GxL8w2qhx3?v2M&x=x6kB01I*hfmdz#&RpAoq_m^+NM)BU)SqPhU%Mu0lTLZO-< z9le9<-WG=n;lgT&|$}5kD9odFUDDfJT<0Uy-jy^SW z)ie^hSTOkB6>E2+OfFQji`bd&aNjIAc4Wzs=yoSARYinDtM6{<*uggEXnWYj>9mrjstCstJL;hIZeOO~P!Sgq-%uJd43V1ZjIeTnV9mK)HqL+uXvJ z78l_ZLyopxh}gzoy4XfMx04fx+QNwg zN8!W~!D2N^#R3DgQ+LdbmUtxcqV4N;{A_zm#)Zg=9@ec)o~V#Wk}TrPUOAB!MU6r*p@OLI$Ue{CSeFYT!RMqGPeOkx_KF|7 z2$;p{dI3APSJP~DxgQ)^neA(l#{zQnfylolW0SNXSqW;{EH*MBd&`RR5ooJ<>0CE^ z1DiuX0GN;{L-2vkRzinX63+e88@ z{K;PK#VLDijf7<(G#dXIuI;Q2panR*xF5F!+of`g@O}@ay>lz z-2$jnoxFzxnV=}drXXEf*S$X?WH*_ncgk}!I}(EpEKi6=;?o#KZ84CYA^Hvk;5tMZ zx(=kw&UrRi;&91zIILZgO*^#ZQu7?PU`mq@kfmAUIO#v5ri1=7slXiRg=mfS_|M6=GbE6)I~_O801? zm(4n+)t)r#U{Kg~_vlo4AdM;yqj5A>s9?HzoS1R}h^BAULKqE@PL0^9&uHkum~bE} z#*)#v8QW6;=9V4El4h!WolATnvp-gLugL7vVl;81|GuTtC-+&XI1+Y7vbOtPq78jH zqkiSRs1Knf5oDtxO{{Xd_ptdn6!%89GyCpxnjPM$k5M926qe#Z0_D&X)SkwuoJN=& zxf_uDIl0t5kV>B@U);LD5G4dvW~q?EDJMy-@-#vp?enrxZQD9Gsv}EUn#lM-zvN-3 zX;{ndOWz+znfH3?Rq4J%^!l@vky{5q^dAbvKnLm66<&SnE@yE|Iy_n> zTYa6@_N_dkN3Y1-?&*0ooJAyu|D#NGDs3`cr#2kEvL- zm2M&(2s9{h^m^m2HhWb$&AzfBGR2{~J&?S%TJOdCl(whu)Kwo9=^Ip4yxXIlAB6r? z>=i%NW9Pw7r56N#ZvS&k>|A=MA&+*+_TFbHPM<2M2$FgKIJ0TFkv4DCXUJ_7iiI5m z=`eMuK8-mr83WVhNI<1~=YbM5$5O;&w5%C&8RPs}7o+xdT$6((RIY~?Cdjq7EVmp8 z9Jy^isC?y|QX?h;9B6 z$~e|w9mCpFV+@+vKwyfY1QHSN+3t?+8;i8NKtkcXQ1bWKK8zKhcJAXv`((tz-PCTj z4@l?sN$=JEeRs*F;@%1zcImCtmFNA+K!_lWjy`_|0NW;K?G_!>w%O(FFr7qJ4q|08 zb`YycCgjPX7i9Da=ypCQVjTjZr>unqnZv+=xW^iWl4?8Yr2kfX8Y0eMLLm9VF|1ZL zpRm|7Kvt%jAcGA&L3+&_c&!v)45GaRQMHJfSRhrmwb~E2Og8kS_QTKJa8OI2dfM`6 zl7Kg_WNtc_8rM`vbTW@=L2Ah{us~o0KP;AH->3L{Dz>EVk`=dwnHE7ik7+@y0SgBq z28|$Wp1d7C$uMjpOkFcLHM)hdGF&K=EY%h@hth(%Bz5OriqGavUUfewU6&~OG#~`A z@qjT?8jYl%b|92w@KQ<6Mmv6y5WHo#_e-Z*VP~`HMlwFp7 z$JUtCOq_jpZP2=U8Mpq+UTzK(x)#|8{rGIh@@IAd-~!@ z$6h?>H9Bbmad6X>+8ZWeP)x_Ws{%+!X0&SD5cgObm_nxi# zMR6cK6A@Ev zdB3cjiIW>Bd&z)kde5poE*}iA6+=|JCu_nlV3CGBpPuZX1ngRFqKfI)8@1`{Bokm0 zqZfD~ZIRfI8Zo$IWjkyXLb|e@&MNGSAhUOQ)2aFf!BSHfdD804?o`?OnmXSF9`2+N zRhtU~8lS*|3UX-ZmPYnT=TF7nuv3aYOC8#yNdvBI7X>MGYT)s+Wq;-)hz&}ZMnU?P zOqo#G@+>L9m3=Q`resKy6SO@SDt;M}WD6%-U%gA!m@Qu0$a@7dElH#y4xcerG^89p zwA`I2a?o&;Nv8ARh8BsOAuSHV*JH77@~sg($9L&JuQChOeI|)w&fvn5 zvg{jIR6bxNt}&(tm6wpN{y=JJKk|@~myot;$Rxx&R31?BF1AR4Al5T<`=ox&`9&x= zIy6vYi1-ajd-gId1eMHLllLNkt=g%llp-U>@`44IH;nduYQb}IP<|WNh#MSwpamZ^YM?rUU|*8y9_bYz$yHgZ`*YM)t=N*53unqfwu$x~AIg z;hQxhbJU;vn2h#uO>4nlidgQ2Ku%6xlix zOlwBumrrEtPxmHZwGVxBa?NO;vIU(TC_(D-w4TVIJ%^8}XuUo~QVhXrlkM4k0t;p8 z?np+D;~Nge8+oiK1)7$W!q73zjKXs{9thl?*JDw3q*CZg8t`zpo^qZ$$6_FeUqEt+ zi_cbCV&~q)*5wj=?I|21z!575>&s77eY2)JfxOr4-JrWi$@+pk}>04onL1vzb$v$HCJPr zAIuG33MA%6_HyhSn+M3;;Dq;{IY}_7g*J_r-rQZMo0h5ve&3O)h|rj~e3Q8m8-;Y1 zc9jSx$XhYhNh>u73q-cgF|6L5`ly*#tB$eOdZ;Me}Db$Xh zja@r@^+=A-hiNPA@(WT)2IMqismmQVbX>dUMgA-9CL+I;h;2-!@6(22IC-Z zV~TqiVo4ujST|1u6~np>ZjHFzlgtZOUcLxo9thSdB9q%xE?*?xJKCbT>c^ycBG^G= zxzJIEM@XpS640KElbpxfCa*>E6U@W3Uv6et=<-D(yZd}BGG1|4A3M16{MqcTy&^OI zmV_W?Suqbw#aeIG>$WOkwdGFLBh~Nfm56YelrJG(_t@MA;978OZUtA?f~n(wYbL>S zRTIX&W=T;*q0&5%PB((z&}SHxQBS{BCzbcS|M8-7Nf?>Ef!~@!vQHcSk}q;UbJ#`@ z8v|T}N_tB(zdXGKR2)sTH44GqT?cn}XK;q#5Zv9J;32rXTOhc*OM<(*ySr=n=ezg5 zH*58D)s*czUEOuMrq0>;$v>ZYLQz;Cncz@7?Cz5iOrzw7G-sXC3pre6X9zP7R4s#| zmk*rUwZ9m{jaY?MmiEX3e^@8+ckf7_SJRVB$;HPw#A+v6#YdAD9J2&QHtR=t4ENNI z_z4pFL&8CU43H&$T|H2BfcOO66iSKLiFhR#^T>PSVnu~ZkaJ`LrKfCHzoqEDURHW6 zpw+Ne);?9aA_pPwx;p#=qwzp{L=hJzR0%xyVEVNkTEyU)zxkBB?j_o1*}ama-u}&C zeTbLX0dpo73gUm?JM+#;;&cSr8$nw4<)Rem&xw&=hwbjOq6rjZWg@b3qq@fo2HoT( z;nDK6@uGVYBfRd5DewwQywu}GUW2jocrY08pq_UJ$wL6d)>mZ z^av)QY_GmTxEnvmk1#jGyM1PVA7>I}#1(W>nTo4a0-)>kP-gIld<)IfWKTufTE9#f zr+rKDAuOco<3xXsRB&%ed%Tby#W{O26x}6K#1N#2W{(qs|0r8#^hb|DszdKX>N*y= zwGKS^xT#>)8GxE$*}Hl|%j%|UwmNfrHAy=@%P@b3H&Zjku4rzX7!*Js+V%BklLfnW zqL3I!n7&U~x_zBUO@pin?%xr8ybAoG8qO`0Ny@P;WW+~|d9w~m1;%&UMJw@jByq&W zAaGr|BmMj!yxNA6!IyZvT%%;qm-kq={%s_R-iS0i!7>-N!=HJEhbMG{u}^+*Tg|S*7)kqM)??;oif`HtI=R87yNCW>e3e8g+!@v`GhysW_TI1k-1H?y5~gC zir3N%>ubEdo6Kl4=WA8)rSa^=2ms?|DGq&+NmF`UgutX|9G3ww-R<^e^uchGzW28t z(gb|4RN1#BmvchoT-rKaYTeGP`^-qHSSZe(0BDmNPh%yM6lV8)au-iP`%B;G5H{K8 zPzAjU229AVjr=KdPj_N_@ww>Snb?Veg4oY(Y5~Tk;aJA+D-WY)s+O857!?fIdZg#8 zaqGbdUdc8Bk(>w9j+$t6EpgWGjBVA{%K!dh3_tv%alo$U;pX@*iFskbEioD$y^hft zg}I03sWMwi4Gj9D%0@_*Sgce3c$^)zNQ=%Dn&M5}uHPwk(DNV=7rRqRlqdPsqrpNo zI%6^~l0Y!vGx%^XhP{K@M#&;0?FO_Zn4<0*08DHHvWpq!vHE!Z{px^2QuM~5g1$nO z(t_Br)|#J&V@%MQHH64&d`XTF33uel|ECU`ipME5pkAV6E^A}!!| z@P|f$dIvEJz2%|uCHl@}7WF7%c!6}C>btQvXfz{%SyzU#u_|5W?uSap+V6|enB&uI zez)MQ&T{!l2Ssjzc!pE&3J+>u8m%mga(zoIpj z#5$q6ettFSBGj7-`+1>SBb?cNHp;giElTbpdbz%%zASO_qq|%Dy}))NA)ukF_z#!`e6MwL$?zP}QR#Z+pKMd4+ZDBep3M(!ibfRlY#{*TJ7OIW; zJhXXckIV?%QlMuG@B-r6qsNT_wb^yyA^ppm}^oS?=pF(!Pev7sc@z zh;txmh3^U2eoFL!K{)|YQgnBaTQ&t{&ds@bh=DI9#Qk;^G_ngo(2G&F#a@!!_FTig zZ^66q@JQ$8pHq~1-&SVJi^e?#uWJcuVz~Jylo;qpN`#=Sg687(0hM@GY&(7we`W1P z>~&?1K~5%yX$_{_|4;YHU;|&;nvSZpR@%L*L!OpgACo|W07@sN+ z7fgn15HYoq`-W`UN?eM{Y^=zF!_XdQn75D!61!Us1;cCC3uj%`UZ1a$9(lO`%$Y82y z=T>VAuD(!TT)JItSUtzaM2==S1q#k3T7MF~h`Tx0-O-O>;T~PKasf))#Oc_JjLBt! zPVSlu%%D2_3~m5MQqSH{TP7eUj14sF|I9RyY*w{F@ z$hrA>^jTHST^-$BOwC=%IsZ#m{oiVpjV;YtB^({xz_ox=*#Aor5&8e1adLv={|8O# zyPJ%fo3WcY7@jd3_{-FooSjudoSa?ce?I?zng6i-Um0m@J2!I|R%ttMF-db%M>BI) z1#<^WH!E^3em-t)5t09s#P{s^F5wzx;YsS$D??{fs0MvQRgIz~d^Wbgi)kmLF+YHsarM?Xtq3 zF%>-btkQ*8bAH!h*BrMnyJl`WyIpe&{{j z6&Ur5EodCi78%F~oNrvUFB#UToA{6&5o2F?dMVk~Z4K4Y{LqiZtU+4gEotY9EsbH~ z{FRFiJ1^M-H&4}Xy1_w~826F8$VBeJOC`AtLvR3`bdJXffK9gV0#u{3rs!;~B_B|w zXw*ScZ%*EIVV9}AlTH`di>x6Z+BmCdu^f&8xmR$rLf6=OXgXlWm*})%I+0f<5Aag{ z{MaZ?D|7ykDmb&N0`;&0CK;{HMJVmRT2Qq&5>PB4N!6!LfMzQP$Lbc9L z_&4~2tjGklcz^O-ol_tA3Zr*Y<<)w!r7xSwv#{q^hS0DU8TD6J_O^3t^Xfh45BmC` zGqa$LQc~A1xtwqhJ5!JkkerJpbEzvtnM8l`7VMMK@wqmvB=C?izl(Voj;(1{r?Wm* ztesYx5BfVk%r6hYWs?|$X8UA{Kf2#JGyZy>md+?mmeghB;T$rN-fN=zp* zsKgQI^DzI#O=3R0{>K*+Wk(O9AR9?cJxC|dXj{!9lXUt$IvKx?Zm?ZE!)4DG0C5L{ zWGoxS68Zs3kpL|GiRQzjqfvyjKuW(%Ti~6b5zC{!e#;QwiR7NbtLoCmZuWMB&c)0) zb53z~J#IPKY}e~K^Wj%WuF!>e-o}_Q?e?Ck)x$$jUS>kDg#wAI)D2jVAm`f7(-Sps#m znyOAQM4b&@9NF}lb}ATpTdy?#*5p%na;ZZ-Dc!r6tpO2`)?DcSVIqO$lwAbbjO@eN znL4|8Iv))hzgvegpSo!Gpc+_%EPreIW7lQ?pTbNO&vCD!593FC$~2c{ny2QNv03RO zw_z^J$u*-7yX?UEkVfu?50VOtVDTQ0FS`hyHZNXms=5z^7b7eC3$)l$s#{XWX^)8! zZ=wF>o)uZUC5!jrk*49WIsELjuvx9~xo$y5Rt#Kg8k{PUPie7G7)PyKq9Fg1{ML$G zu0Cj~_`5x?BYE^k)q{#1Y|B`4Ex~XEgm!G=Sn`uOo_To@K@Q5bcZ;ot0I;jeURSU{ zu8J1opK>TBzLx$kBTQYld`Squ#PrG`mOd7w$zNV$vxCV@Gx@sIf)b;R<~!!YMI|Qa zd9o7z<+)$fDFJNki3x*@@^Zvb987Og2dgA$F7EHE=i{~=zEzawj1FeWe(p#VP>aF! z3y%SM7tG%%i+7dj9sC`;Y1`r(wE03SJ;)pMIfN~IT?z2a1vl-VJ~(C{-B%_(B-0oL zvtEcU+GxP{eNElsesh8|DPc}*#SqPtLvs?9bE8CfMc0wGuCR};2+GZ5VTM0oP`5(h z+zl8y{DtUK&2>V|8Y_kBj>9SsMB6=k&l>f-dZ?#kyRjWF!y&N_1m`U`xHCN7& z&vjtwk2bV1Y^&_?x*h2Atb3Fr>cXpcAa6)(+{dqNehL3s>Q9j!c(UGZJ{sL4JOdL~ zcG!y`>;{{cz6VqFt~H07dPuiO_)vR969G!!CfnTAIVs7BY@V}FzfNt39Tob zO~qtr1mF{}C(T>iXGw{ND!gsir|9g>{e}do68>(#|Fe6RKm#3gnu=HTIFsEp9Pc_2 zm8!DYZeUe)vk7e$Zu{p>szR}Y?9z3n{7|lblF7nZSg)}X-Fu0aj&eO#J#m~>zjO@6 zx*5f1$6Q&kCqEP4g;Xx-euQAL9Plkrhzmt$NyxuS{P00Gr{QfHTUp;)`w-#C1AXS? z7$zG;qI+KzU*kSml#sFZQn~L0*-V*T1VO-xkU*$>(rp!#tK$Tedj zTZJ;l2uZ9mr6PWHpr-9jGdtvr!*#uJ!x{pSMZ}QjXyvTEgnQ4ex-xm8w9CC1Pw99eUg=Kc(7T5+R`1 zi9}SYjX#@_cgrF-O3YM$$mrq?*#WX@$M0F-6!a-{iKj#<8bZAz$BA7^p(X)|H|?&r z=SHXWDZBn<^Z4Y{h1`KH4i5<8qnVf}J!kV0>tmVT1z zfj5w~=T3X_D6JMjI+aaAf4DdUl}%-OA4Rrw504n(Wmfepbt z9`4FsfN8som>-XKcGfhsY@<3OW45akC-5_vo|S^wl!<#*`)r}Ql!2xQ34xp@?H=K@ zS|2Sd+R+Vs3zzbT5zR)plJDL{|CXfCWoouoZ71jL;d8ZjC5CYJ=s@RP<%7c9p&C&o zjx8LA96P1v;8IC?jA^jkU0%0M^*#cwuKYZe-;#W?1G(lOUbbPpRxkg4w7ZP%DKzFe zzOpD+V4uRjO-D|xI6fGIZ?D3~+wn-Ts`P_9K=sNoHU$|BRXHMC**aCkM)%k*r zlPgBJFDOU6gAe*jJDkYvm~%##)U<|7kVf53eSZC+8vA=4BHMB3C0e{&X5dBP?O3lJ z*Kn>sdy2WT-2Bp)x=L7$H3sD3eif-fst|Y|(nx|GJ6l=b#AG_O)WPg@lp5=fywc+K zUbjTANCOv(=+VK#W4-4+(*)83ZMNPLEx6goPqro9#{BodY=%8OC{YZ}e(4tsz{G5h zeC(PVApn-PdyZkSQrF;G z-O=$fEM+k9Sh*04b55CVbNqP(u|Qpq=oh2sayMWrwaH};tyhwkta46DBm7WG_G-1h zVf<$iH(Smnq_1b$8ST+0(e?@z_JYS^tk~_{DLfTZ+J$LiqcoDZx7^PIGb<`>` zIQh$_q-SXokR$)BS>Uw|t>7QROMeaX;w$$_hH**|DOV=O&kv!}(JG0gR(HS?gqY9y zb0LloBCSe_j0)~o4k+iPF=k7aFpKP;x%ItUH$C?}p#a1TA;0S^FS-;LZOkE2KDg&L zQ(icRe2^I#!b1xX3UX*8jr@TU?l}&ef0{snOAmFmn#AYMoe%^C^)m?H{9v^%YFj!$ zPBS4V9JM@#qjiVOk@0~sX&Htebvf~(RC`(W67rU%nG?-R-V*Cwz^J8PUYkh+YsekT zfM*9?c#k!PfsJM>)4j^qx;9NB&Rt(*yB6!;GwZzl`rqLuME#%+_fq97x@qtA>+&D@ zu-b&_2o``4v-RPRS>n$vah;O+AXwRCJaLamJ{weK%BRjYn$|Zs9sQ? zN6R#kIsajz)r9baA{wYpIu`Dzy{yO}F~%h|!WEZl=Bv%)-`4b<|)p<$2fV z#Ug-kwo>59y^bE2VR8Q2F0Ge%MXzL>`ja{+Rd}~byMA|N#Iu24wT^qYUTUS<`y3?# zsb1QL<6!N5^vtxcdV{mQ)d|Up-nk=`LMbnp&FGcd*v+h){=SrXATHvkqoYDYAPdV8 zO3C@Z7^=D#V?fUfIavBKr^jy^t_)W)kI=9jJO^Nc#+;03uXxn+lC{f>;l7cfcEK4G zBY%HOu1Lu)#orsJZH+z=FASxM_w@t&{Ysbr+rmy42zfx=4ZvgmIzCr!uvWzgDe-~tpNqaJhkYRmEWtHN8uSr@f4%r*v0PZy z8#pc}ziwY%{&v|HWThkv@ayT9xjfOMvcs^Zrmr5R>!n?H3R7^l*(VM|7aZzqteh@F zUWhnn{$?G%R4b0iM#Ucqn;A*el*GvG6+Y!boTW)t`DPzf-%qg8I>Fl!NrRSOBD~PQ*e3h#C%ibQ! zOV%Rp&>5r}r)(M<=k1h$z}buXtKR&XqSts3NE}I}Fi`zwpWMTgF|?jFBG!nVKY_px zEY!)?BEq9>4v)gZV7g?WmWXOxDdNp2;z7;$>64At#<%pVEPY65Nco{p^YT$Jc+rPs(!c<-ukyhmX3Fz{5fGh9xreU z#|Qs`%(B}!J4$BmV6YGwX2QUhZfdyKQtd6Wz>0HFab5f7XoGRU{OFfQK@h9dqYEc-|(mWwD!~6um z4RALD8Air$EnDY+T84C!@CN|i1R7byRVb#jfu7QEHZ24p_h$-jzAZF%+J=u8%|-c) z#SBf5qB7Jqs;hAv7HF0Yobr6`U{Lta7ErLzO250L0E*! zNiF1mAVC9EjSGr|zxlzHlD1%)TQ;}<_eBiZYUho~Og5^Baz|i-xi6F<00D@l0rqjv zrKNEpcjb*BjM}l$kraWuD`?Ka-0Lae0N*Hbh=Nq%9;5nuuUFdy8NT%!{UlKVGkf*? zas2%teeR!45+fnxiU)oqLMbyzc7mL-jku7Z$szVt3^)x3U02gy<43-EoL8Kf49Y_b zGe*rL7osIeIzrgydOutN8#N43FGvE+V3O~OFRk-m=-%dVBwqXeJ5tifZHS_rg%Y_^ zVisI~QDP^phKh}=TUpngMqIiGBKsliI*HM?)iEt1 z;Jd1}Z95~1?=Rl6oE#>-1g?s~wrPJMl4TA?p@JqE2hL(8N?X=>m*Ei!_m*wM^L4}4^-_Nm0!_V1fXGi@RGP-d5#x|p^Xm)_LYUku9x?XWHvW; zLJ|T?9$rk$c&}dh(Zlgsb%{sRelUB;Quvo$LIzkhsoiv0?%XqBspcTjZ@9xtyayAF zEmSNJ(K&q(!Ceq4S(HxKv3erqA^`pks5U`mx6B?;z- z(Bg(e(4~bY{xwh8Ec|J3ngjuh3y&RmR&lB3(+ZF?j_VB2ftkQ^cJufr5|)Xg-sN-K zh3}&2zTUNHwTagQq@-@pO95T*$omwof(DvvdHFM0`$^V`CnVXRy44jbWkN;Vv7=(> z+Ndj;Yz16+3cp51)CDyVwes;nnRGYIJ#~555jQT+@Qd+v)FwZA7ETC5pOtJD#6-Mo zQ?9@qik*1q`*g*?OYAyP!cG|Q%SfmHB(K9L>bE}NCUdiyud;rpIkxOFB_N$ThbkYE zbbPr}6gjMokh16|I>E!t4b@7o$(;06k<*A{8Xvk$(^6Ylv%h)^kET8q6yyk`LPhMV zG0Jz6K_lgHc!m4UC-g-owLHhmGZy405z`sJCY&eupT287#a}0A>gY20Z#lt6H0=ql z*4=6goZrpIB3qCz2zU&s{%c@jjen^zUSzx}%SDwTC1Xt^|ly ze3OrBW%3vba>|BTksU5fvtXwyuUsKYIHi&!;)PLP(#HFsvb{rk)hIY*khJF78W}S` znd#^f3~6vH?H1(4umIvgsf!O%Z%@Ru4QSiYCT!eZ;;G2?<}rPQfOkUNJC+=7QG|Q$ z6lA>NvCf$n*tk(z^zAdnbo2uM~Hfi@TW}tuZ;&|Pe522HA zP7c*#I0EHfG!`ai+IkX`6Td+{d4crrbbKglIj99S!nLkG)&95%vyGIAIvjQNb!wtR6E3xEr?`UNQ5 z`O`<#Y1=^xMfkkIYpTmicxD){^=)j?|9w>v%*Ab>cdG%mJv5Nz+$Xe0XvOvQc}5QD zKF=>`(63&%Yf(;n9yXxhD9rt*J~Q(L)a)7|YY1SRMX6XOtc#@RlBz5?-7?Xui_pav zW|gQ$DMEKH2U=uSqTCP*1LsMnwL6fjKP5|TeL}HQvXld5OD)`M^q~T`vt%^137?We zDjCRi`va;gwy=hMN$p2dnG9ev{${V4rb)<4Hq}Ds4fy83{!sn`RS@yk1Y7w#C9DHjYcOoXlTSy0R%Js3^V~vD?tdsc~wH zQup)7bF&_6)$5H^$a_gDo^nu>iHloGa@*Py(=1R?0KB8+;*z`4%0niTY5J`19EJM( z>j-2ZpmqxA96>XDp0fQV=p?(0QBi*~aBdJRFbQn4_E&y-T0Z~RNyqJ3^pFR8^HiG_4?RlodW1n~`` zo>0qonakjS%C3d9zCAlqWLwsFN|v;MFzh_si{zCp;?LmA!hqU=sHtWTmJ1Yvc9;)A zqgaB~>X636&3vK_GTW*=U;8k@SU*BfvjLq4W|Ow>$Otm}LF2*5z&8ANvaI#B({{Yc zOaB{I;nMW@?{1CD;>J)EQ)ElQB&<4{^q*QmM;^J>XT$T&p}`cqTbo_ zreiMASd13q!bIJk*OqAJI(~0cc#-WKAekKW^Cc#p?IyjK^%VxI`}$&F**zg6&r z%+8re>5YJe8^CO}Wa|J2P4FkG*;$tU7@2G>=Nj#_R-5{fi7`5Rg!Z>sw^{1Rj;@xT zPf>;`Ua9<(Ky^`cbCXoCL&`_`xM_*cd~54?&ZSE4H;Zt&E4n(-7l_qstF?;XPQTwG z?lnHh;q1vAl&l=8xtCbH;)=ZgPId~5X2%aG8O3tv-h250Lz7)?Wtn7KM*YVjZtks% z2mHe(;_HuSQ(E{nPe&;;S9ooCzHdlb#WTvWSY*sxr`{L>+8)WwMqhiVi$9rRV{v4l zjkd3?>}6I1|FGZP|5Z3Ow?;>n0@NY~LHew@l?T>dlI>X{eH`SvyOJrb<`cTS@?PHX z_Se6_TL%|6ybV?>?pIyWPA`3!axPz5VzA?_aCGtxY}9n7cb&GAH&T?yV4sc=ug`SzfkiI%^i4tJy}qios7=a zO*e<3w3?{>F=6|H5@G6??Y2&MAH-k-Nn?|C-e zs(SCqwjO5Mww2f+(lI?-6;2!kf-gAXAN^GeqOJLC<{LV=LDY_Pu_$j3!Ox-knhT*m zd?>4&R1!#VSQo?>*CK=BZ^T1Yj4g}Jocv4G>GsWWx*v0$>#ON6&+IpL-S*9bbqC0K z%4@oY!y*zNS$X}b*jv*E;RiEZ{Ys67Zlih~j4jIwLiSS4W7CaynSW+;$CG->k~@qP z^4+p~U(Y($1Ll&F+MdNh{Z-S1o~su|jyjKAvdGSqt-GDCK%NHrxtG&Jda8M^SF1gn z?WslrOeEfzZ07xc96>Ph@W9#_M86;p`co^}@S)s78(dGqRbgAvV@E3ykq)R$Xw60C zpFwKEB0DAqsmtlMtmB;Agv&c*JG}S#=efQkaiys*3bMZ&h%KK~o_Q)?VHR2d@(n_i zLZ8=1c0MAjS|!Y;vS-&JTZCOcs}b z#lGh(Jy~ZbH@MK?E0Nu+;Lo9rous%DYnNWJ_uDDj+wkkbpy1sxOaVfkWw`5jJI>MA zRs{P$+8abRJEVqNU zV9ACldxf5xb*r|bCq+rBLD$DE3|B5MudoCZ3ReWE@T~pS_WpiBLKN#rHMGQ*^kl<* zH-||4s6Kq~-&0fLYx_jv!zhbTg67lE*{hY5&uZwQZ0qBjL`a2Fmgbakgm~ADvHli6 zVG*1-H?$X%ETK&D`(VxxkNs`F*rEtx#A#e4^K;C4BT%DcseC~~dW+ZL=e^;=&Aqn?SvIK9#%Q)A$>J`}?<(K{j znaghoLLWYW1|}aFmeba=%a(U|OJ~2s|EB&bkepBRSMb(py3)C09F@xdbCw-;!eKLR zb?^S4D@5n9$h3ACby>~ENmUNkJ4fC^o5X=H%R}~%GvUf*yCK=i>~>R@ds^d4u4MMD z9|g%h_QqJZL|iq}lyjR)lpTzK_I_YY7fDObOZrIScVYs$vEPM&ratE1*MJE06v`@h zOF^9(g``V9;8m>ws7P_ssyS*=`zld;5=ERi<1`V8Mn*dt<8MulRZQ)=P*86PjtoJB zi&gK5(y9B2*45k*NTl=-T93aC?>3X=V*?RlF*_67m?>IrSlu2te6Kn=em-jkbRj;+U*sbif3i1<=NNEtS) znmE?uAFrq4Gk5HTP{#DPc1Q0}&zF$V8LxNA50e^)MvQWPNdu4j`NOMF0&Hi@Z%JeR z`x^vkKif8p${geTJmsg+3JxL-SJBfTVQHohzd4w!Cj>$H?g}r^Ya*WVNP6G0xVFa< zsm30TsbeGOcNuCc6-~4xWm{3T|7ScaEor5+=r1uI*OF-e0NR)f*l2 z0|!`exdeCXgj^Jr>;FX75=Jv`Y9D{Mq2sB@jgrn2dN?VeGzKPG?^X#o6>u<Ut@l-QB9`sUi;cJ~ zLISFp-O~V@`pxM#)&kLDIFci%#nULOaf3&1W<$D{KQtv09%4bJ^E9&u;|R@sU@yp1 zp4gPK^lj~KS1i65V)JEm12AQ}m_~sVn?F7jh^}jv^4#Lf;u_<%;R9BYt*ZJg;%!Nm z7Ao{ zq0v=+7Vp?lEw!JQbM?Zn-6?%@3MtYP&io){}K|b`6+gAs6FK zmCWi9-B}SjJ1=H*M=;)a9f#iJ+1zDRaI&sle&zLO%S-x>sv|M;yYYjYwm(!n80v>X zXLr`3`AFzMuXa=eG)9Q`JUtW5s!S^m2rIIMMba|Dy{5zcG>w~1qoa=P2#KFTdT)qD zfJs+$>GXGP#<@tZ+o47?i*fHsR_po%Pxo^IRnDRJJXwnV7PdaMXso(Ca{=|QxL~8M z{b%{D?M&3>oY@99S`xgavJnlkrl`G4! zo*=LutJmzKFjq&Eu61t&+F`1t$d)(>etCtFjt_u@S4mdQ1y%(f- zHdiSxiUKw@@`fcyY8Pm*aKH3=?gMtl2+vMn$?HkjO6w`sD$dCm*}bK{G#6<@y<-um zBTNu$SM_v@|4Vl|+&LrKcWl<;=%x(t!Nl1iC7_CqI2RH8T=DICm4iDULfRs4EBW#^{pWXX1_f6$F-;osisDYkA|4!a~>dQj+KV9N>JxC zz{I|Ng6)H;(2KJMb_*b(w=n!pfif;lq28egs*VJ|1`rZ!x$ATr&N`^wdJYeDer(9Z ztu{2q;elicFA&T!WtP8WB-z2#E5=;UH3|o;u6ji!y(sPP2;Wb)%))SnEfG7*k$cbfWKM8Icdcrx@bN7FpDUH zjt5%JV!zJ}mNV%E)ck+`TTM70ikHH$i&#u4Nt_|c5Jn$o@I*yH`InqQRD63`T| z0vZ0HuX9}fG3Efhx}}`=y!y}K65D|*E|FYfJRmJ<;wub?H3+_`@YE1C<9vQNI=MO? z{~_HEeyEc=U!k$;SVLhv1-}z4Y*eYx+;}YWqBKX`n zBdnf1ZK^ldy4QO1G+whI93@?zytt5L+8fK95XV&^(&>y-S48zdZ2C`vL+!jqHv)y~ zD$JU=^8(LyeX9_e*JW7ZmA(j(WfpC=(%v|6g07Nh(IpZ>UVEfH*oPtIL^XV4D`#w@ zeU#4{v32OVEAK44b77GQ`z)q49c=lMtSKeu7indMabV6Oe!WCjgHtn5V{ABH3ZP_^ zHS!$Q9aNP;K`l>{WXhb|WFfql*c$(v*;39w)q)3B&TS%u35G<6_|NejlB)2mI!s9E z*Fwf>Fxtssg>nU||Mb)1C{Jl5z!_tdLcCLRou zoiZ*i1PJz6`*QP_Y)wLshO10}#80KaGRbtTJnITAo6^}@{>30*M@|)XX+QccKsIsg*AM48RG4s|GN-bl60(0IbHysUZc%Lh|hqB?e z>Zv)~%IslRw@m>kB8iiFQWp@@e*hxibk??QViG=;=~u0^D7T7VJj;}_q(~27%SUX0Las0!~Bd| z6C{}sS9elakX%s7)E1g7+dM}JGcv-E#l@cKCXmav{N`lpQ7cetE@6tx)xWwtjV^<< zNj2RXrT9(^$unxDG%fyKLmv)AsKbfXb{~{}ZbwLCxc->a=^^A84n*BmgFmT#u;L(rbZTWT~H0+WF|#@VfR1;6ixD1^18&<2d5PT7on(e1N;%W&$N@U}$;yMdEI;>vQlr1lK8pr+r|Roc>Rk3c9S5)}tfWOu%oW$+{dCGPNI4sqjX>~G4h z5MyZ{*XDHj-1b$%O-hUPa{R$sS=zr2EnSull#vnI@hp@__VFvT>y(5iVq91FyQbduhy?nJhpvi5AiSOINz?2p{+yNXu7_I3s;<6;wy_%AN z%87cYvi~^&F+_NSzijv@JBN(DJE@UeW>V&HJpzlUH8pu>i5?pz?iJ;PzR)ezZQbM) z6~yw@KDfUuQk<9qB_aP6O&sm?J$q7&qz(x>h^GnXv?WYzmczAGiJzG>>@E3@gHu!R zG&9r9^#Y3pMZ%~<6gnZeJ1O_Wo6jHk@MEUJc~5U>ly;O)&V+S{Sv4;oU3nE;BQU|~M0Bxn0KunI%TCuxR` zcpD)^ajt}K{(-ft{}3TWWjFI8Y)nqEPKlOc%P%jZ1rNWKAYO&DV_^HK6?exRfH$!4 zX&nu&Nhg1V()JOoZIEGIQsRgt^sJbSJjV)Ay)WIB!aog@8;_emA-tlM*ac7k{#PYJ zLwNJj!5ttWm^GEuT~DZSj1O?^t(N};_8#!eP!jHA5m24q05YP`I8_{|!8djk!u~^q2pK`jXz#^LDJ_bC{a@ z6$4LFdRId7kV-f3-OP7b)QZ`ZLnI+DoamPm0huau-jcfO1le%hyh3?+N~wpTyN;S~ zFYPTS_mlc3ifwV@=Y{P*kd!7k%T_pA*}}%(NHlh{2>=$XR=IqLh8b0_gcc&{yYzSZ zks!khf-e3LFMK0UIyJX&aSsL7Qq6#IX1sI0Nk06NkcbAf83XG0y zLd||6CMpn-vI_@*^aUWrar)n9XH8<+9c$ilND+2hs!-~Vkgz$7hZ9UBZm;+h)B(_z zT)q0>?5Saz;-m}J%2%}dLNU{3a$O-{M)(+Y8)4nkOvx7@)07$_3VW$XK7fE;A8_jh zD1MR12MjLE7MP$D0?nwnBsrw4;Mbz2(fv6v2@8PmC>Z*Z2Jqr;u;#y~(GlT{Nf+5C z@GYpq0X$vzvd}-Dy9}Wyy8BOfaMIBsM{BE@ZEBu`0f0fkKm!QTTokm{084 zi2YXHoDd#4fe#(Opd9v#ggSg600=(M6GDfYal|<`*P=s71e5aw-I_wcb%X{2h<&1= z>R@$XTS@8pIf7RUhtcbcRwKx{BnHrX0He{!Di83`Dp(QmDA-sP$S7?ft4dFF!d`82 zaKF{j2@3|vAA#_@oc&M|5~Wx0_uKms%IFBRkQneBIbNq!3*)Nu3TEUSg*wd>EF6-< zcf;rrhzS5p zd{NB7j6bm|pr7w=9T8H1Q&Vi+A|B^){-8xo8Z^M8>l z1mF`=KnIdj31Jcf1%Kp&1px#LA~>{^8y;nb7b+jX5Il`uejsKQUP{g-Il*X6P|eR3 z>{l|49xEd4<#f-EDH)lS5DpbFjUFwcI;oJ-1qDkX(N66?#tCp|vE-;mX0lG)#CvaH_8Bt|Q3OQWI2TuAYP#xnZP!e_q z8%VMH(?mrXzC=0a?a!@+8{BIu2Dv)=K=AaaQjv+YQnG}(`=ta6I+n|k?YM=JLU?>& zI{HFPI{N8{tU~y(ooAH65sM^xYJRu40z4d4pCF$B{+Hg78VL%B;4>>QukfUT)P^K1 z5Gad_0f2`60;H;dhsUU;(k)88N;rZ9Fi7+u*d&%ratR6kM-xuyilWgg+xLB~s8(F2qI@58gu!!43^;R2 z1;le`Hd8{|tu;qBAn+f4cR*5sg5B@X}XTw!xg10NJTh+!h z5f3I8`uU;!8bT%Y^G|_VvXpeQui`H&lv#6P_|TdH5u@VA@Qiwf(3z}VF{=5C=DBfZ zNp^O`Stw`nM7&)wwm}@Yp9C__PbIdH`vd=UsuIJe(hdZMvw>^E zbS7sA#*b6XjCG zFZuffvwt@uexcnPzOD#pv6Ih#Nh~TZwTXEZ#WAz|LNNZHr1zDng!s4eBg4_{h!%{`Ju*X&)$%pFw1YQ? zD;y%D7AI6KF4$%N+b{1KThRS|c1#WZppBT*-7im2tyS$GR>P~&-kEO4Silgbeh2ZY4gC!6oxI4k!T?f|zfN_u%f3+%7)zsR3YS%vdpz6xnn11SmMUI$WWZ`S4Ay=4B-nvYR z8MMUNPb|hW@;PLgO4%D%|DR%Y2dn_zHuZ{R{|?2qs3esd*xk3HkHs>!oX17mfWcR^ z2{Nr;a&a3u5i@l#x4g8}C;c`!mDS)RhJbyXwGARR-P{W5)?EKl0a|HncIS)>g{{mI zzOV=vCi)y~S;G)|w;=gyQwebBA~8jv4pm1;Z8_oYi_=GAoev6!w!nvYI5d*7t+qGI zY4oD9jg|+94Z=o7%(ly*_j@pkHd-%@=Gvn|^VNm0KLGI3tgMwnKNQi1Q#gGa1%*k} z@|(L)(~)X#rV8U}Q9Va3e!I+JPhk^aSJ|F>bOY)YU--)f_aM2%)ls$zMOlz40+r;5 znLG0n^qALnRLKJ(af(=>dfx2HFc_z_i^%x5V4cD)Q_r==UPpJyWIwU>EgRv40l0lR*r&`~ocpDd3Xt=JEJDN*yylf4z zJzqCrV?QNxM?_LhTmi?Qz{z7B*`d1-;O${ zaDuIITxw(Gr9u==Rt)Tm1xjPOWTiNtIjvML`BL58*~Ss$U+&Angbcq*M?JA;OWG+= zmis;q-fA|)uA|H#Sf+9XT}mo5XzsL>llJv1H2QG`LXZ`!vd+JC9J~AKL8a!DC_N?sRC8lWQ%JZdQ&pUawOo(>xztxOFasG8i} z)9M|ziR(DUBCrn51|?v8C{O$G?jkriPouwlhybM83^mRX)skH?HeipST3WZ;RK6MM z#Wj7vM}giG8-g<{5DMrD(h1?Lk6oqxnhheQsRiOW_VvRt6g0Z`k*n8Q$MNC5_2g;% zwEydlZH&|!*~ZB6IFlWi2eoLpVa8zW@;M3Fo?}+TBPk?&t@TZrnvG5JT*BduaY@7( zh9DNpr!jiP%fvwEc{`%Lg-I!NA_wFH;1Ne)>$WcP%#W}|MpUwn=IFc-qa!D62FOcv zuBxL(Pt|kcvI%0q0F%aN-)m$_Qp0zk^vJ)t4=Qjwg8;i(v?TCMn>#F>+n;Q_ON#$( zE(CsejTY%wuT%HD&LI9Wm@`tVKOs1gaqoHmU=;PzafF`>@~`2Ii6_*cm)S3BMvU;h zpa>+Ne^$*z;AUb@!=%`5)yVhSK7~ufPeZ9s%5Q4Zm-cWf&oG0mXPGY9&0)g?FjpSw zcGxxr80qL&hzY(daNDjI75yFecV|VW#X}iW#aluH*`J^yha2^I9jZE{0sBX~T$>#) zirW_Y#L{3hplBpDWczuJ4(VzIyWqH4|AkLGH>Y1;W+(xqbFL%ag9+>nTGrWrX=!bc zKfFu$wOAB!C<{tcLq3w8Or}vKM*I(~rViwq`9gZ&l(C5|A zc-n(I)%qcff5eCQf<1$~6apck&%3_>e`|L3Zmd|`cklr4&y|4;>U$cZSX;US+E3${ zU1tPj(h~A{$%ITdWqBwNvhpJbJc_>ibN<6xWhn0IL8d@$!&p72^qbrZT4A;nVyzTu zX1o)n4A&WX+T)k3A90m_$&(!;Toaf-HSObG_90z6y%SU8))|>#rOvXjan8X!dRp!-E?xTm6dw_n$cqSdLn?Wat8ne} zIRocnrm4fu@8xkDcf4O^I3E#eL!BR+4Z(#;g*_t*EK0<1JoUdVtaIa@P>LH zc`$#mjU_X4>u`TWBoAp+7=}CUtDi^PXG{OOa8~?N-U}Q4$9W2k$cal?wkq&8EO_{J zSo6+x%%9KOt!fSd)aPzNFoL~hklR%T+%79 zmp`)&Nt0w&mvHeGRn$zTdat}p`V8izW2xwOCb)dn!QJ>39d7!F#L(&(*GSZSg;Ngt z>I?)=^emJB#G`!6hWx0RPF|z=ZN!$G?A4Z;5TEmE-dz08`0bLlCy38O@GJ zp0lpfL#7S{hv|QHI@?50gb7I+cL+lm4?LafDj>OsIwkA`j6Uqby^~aI;e2##IasBf z3qAA=3Bdk1-$znF5?Yf0koi^IE4uV-qF+vY_=N7`4fgRE-8+E^WF{^hBN7W><7CS$ z<4hsxCPXO_kedwp-IUenMO00U=b7hRv&M{W7VSx4_XHQR-F7I*-<1CweWxc~7Vt?o znTT$3*ISh2BH+=@F09D_Aqw=I=!#u&iqKD2kgN@Nc+uV{ik&sE+J{9ho#{lk!J&4D z#h0VoHS-0JttU^Rt(g`{F+obiN0H-YCL4)cRO1a-u|Im|{Sl#U3Rw99qkpU?+1T6r z<54`OuMe{xY=vsA%-a?-z|9|q*t06dDAZcV2wugJGqtt>`?v;wjavx7ZJSfCa#Dak zDG;4AT&o8s$tLlB9HnxlKxc8HF9jzbzpyXvG<%jeNGxCfh=S=nRpCR|l;DjF<5X2Q z{X@bg-o92TPSn@vmB6eP2{We3yofHjCuN=6;y5 zSa1?7oOoVrqOuoVG%CVgWOa@<pl`S2b z1QXl8A7RK@dypb^Fv=_0=SIHZHORMn#JVp1WhNY-ay)ukGJCxZWzMCge; zqr{sCg(0vD<9S0#rE?c@+(6V@ge`vrsatd$OTTad~m(9b?`+3$1k?*Vj( zK)YS)RgyqQ>dovl&Qy@Nz{8Ii+`vVA>`sXe4SjKrvTP*QJ~gAt1>*AB@18NFOJyJC zn5sci2z0PMdFdVo)DI8RhR#{7ncHqrb$oy`e&M=%rO`GBH`!wxr6us%=eQJ5q`=rj z`No380)~_nzcj)s%2UeE0l_1*WJ25g1>ADE(gYTj<3GL2e?a~Q3WwjOh`5%lB8|dl@ zlfumESUq`$*SrSN!_1h9apH1Sj+~>L)om_ZGSrx~TSJNU(y^QZO!qjF>5EvGxOZiz zeAT2R8Ie<*9FbPx4P{MiTX>kKf#ei`ikZlT7YTfzc;S00hfud=Di5U}=$!tpCF73C z+Er3tO<8^ob}oW-5d#>bOp2$s{+B5UJ?&m@alpQs4jGz41%lPrVZrd;mSUOQ@(kkb zwK5id+Z^bgtw{3H$x8Ti7StsjN_Df}+$G-{oY+*S)Wcmq(_5A(^T!|i-P=gzM|CC& z5{rUw-sN-p*@6x?8Yfsf&u@ZAiyElgsU%3HvAsHl(##bR>VQ-Fd&!1WF@~Q!Mz&)Xmk;9LY-X)q7NV9$ZgS@1j-o$%vnGA0$Wxzl8ip3j0 zFX;8#-+^_Pz`=F;ufjpx=LYI^GT950=)}t@S0BP2H{&idt*uWwd`0#=+R=q+$w|r@ z{Fu#{LxW$WgXRH9_yUEYSVDjkB+KlxT1cR5Xo@dwUjs@Iq~1r#gjDe@D;{@3;m#!d zzU07VX(sEb*|QsfOf@k#X?o2Vb<04FwOIQ!D+#p9X1wx?G&!~NV|7X1N%9=rK!F+e zX(GhRofF7zp@zYF_q?4zyrVG`=ur2%FL1Kj*HmZw1~Qm zh{{B3)?s%U-A;`z24dxnHL)qx3T?8zDhU(5*6CT*kX_pr;7AT zN-P#?_(C2{7d7V>+wJWpL^^_B6a~G5FqAi=kaC27WDUV?Ye=5bvy=Z$0GncCeK>gK z%B@2vB9)QQH_{SS45J7vA*2-~8tv|UT0OU}2>rV8)ajoL;-%8rAc@aGexiHwj|T8v z?rAn0m6i^wA zvtrKXqA!vN`x*90+#iSfrP2t+cDmc6VC+<@)oXAl$P^pn%jX{T?s#zhh^dFDRFS0w zdAXndn>qcg{g(9vL+fHZmEt z5M?zNRswCh3o(s{j0m{VGX5U&v3_<&ZgKqfY_hWH>3Vf`_IoT*F44$)m@=4%pOr@6 z>{9L4nUQs*;PD4fl-ds-*>(-YHc-k`>HoD_KF{O*UdlS&h}ZUEa-411#&o=^N`UKD zwsDzu%{h}=W|WSR_z1M#j32^^$>gi;y|+V41U-SXQM!w+M0GZc$3pI15_rhc53ZXO zh-15kxQhbSHl#6kttml7d|xjG|7t#wjoevgtz@<_W@PZ0>Xkdj2HeXsHc6o-AYSd# zEOMuOCF_A^Q`bQHRRm7HenI-J$FLA!=4}S|;5nyiq&U;UrF1(>c*;tVy6Z7R!j=75 ztv>xT&Iw^bnSuOueHy<}kXQY1^;f{SnPq;3;XaWQk`n|UdNTHLZG!8}8(EasxqMd| zY2N-&Hk2a8_V~Jxx+7(%rutpo(xbZ=r7N$k!+4HGJX&{OCG5+GH1Q-j9U-T{h{A*o z{*YLpZ%00;6sH1EK6hbaz!f{_5zp`nUmAmZ-1RR&k7A2-REwJBGv^XK99b!rbn$<# zhnLMvn$Xs_s*Hu0Sg&Y9C*mcviX9gspK3-K z+|cx?m!yc(v92mL&Ya6AWh{G?1u;25gV!Byp_j^&;JdCs+!fMguV6-LTgd3L8Pt5%l1yiS8^Nv-(K7#wWtH)5xQGThA`Zd$fNtOUbWHdj9 z-F`yT54Y+v`bT~q^CDySQ0u})9}bM@L&X4(gI@HxeNIE>o(=j? zgionVZw+#qVXqUno^Kk~l~J=$B%bQBmvcr(!Lkv7IFUeoN*} zXn|8#0xF6QwVd%g&nxPmch=u2!xm58$iPCM!?e4cZEeYfvq1uWy=$75Za80MJ9zDo z4>O3?HNh|U0KSkj^%h31)Tj?MR=9j zR6>Tp-|x3xlg4nc@$4Ud05oP%jGDg!+k|7Mol52^DIcN+*evQiaFzRab~h6Li`cpF zs-vseymE=A@0*?ao|p>vP2#}L*6==hRauSyz+6j^W^TAfcW-o(reMGk2Q6k`fZ`fg z1FE3I7=*kD`;97K;FpuaB5aoSrFv8?EhI1SJ^xS70f z?iO9+WP;+H{H1yc)rjlzujM$6SFX-HTMAZU+XGrbAunPSCB@lIyfM^+e?6vaP!9?? zlnAt!!)W@*_UOdq_ei^3TW>zjc74iTYtbJ23bhu+wqU4S{8(UG!IGJ}&}w$Pt7zhI z^oO%|A{S+=hS|Ue7UB}Q)UQr6;$nMTC!S*hS=20PLM3+he#}DGC)TlZt(De%wj$m zGM5S38$=qsQvtQOtS_K`M)KTgg~f-H%;cT+RN4=VIjP%aO(^N+>jgl0p!}GZ(%S*=}Bb;&(NYAxUL}mPvr5 z#0}1uf$|o>|IHjP(XJxVe6HUl*B9t(ifVBUUl2#5Y1|`f3T^y{xb8<%xZqXuxq%vY zHfNr-o?$n6%iu?JIW68gC1Q2|LBLD}i()ygA8CeeLu;5Fe;#`^D&qclITzJP;@M`W z$wPR?K9@SQGFDpoc049wxkX0ZrjW0u&c^4dlp%lf>7+72OHh%GVW?~6Y)jj8u3HMU zTV<%5#;5+`U@B6aDDPDqZxx^fZ7S!LP_$s&jr@ik6D4D_@0|h0^cU2KMmCNuGdIpW z=xaWbND&xA8o5RBA$4jF1=Lzkmc?)no4%TfJp_lmQ{KNtUgMEwQ9Q4Jf+Z=U8v^x3 zUzOU47{fXY{=ybD@A7+9-J;*xajAYdk|Khqqm)hG7|B_)@!{14>b^1hS<_q3ZOQkl zU(E`%$t1<5`T=a`W(CS+=A_1ZQ(mc{tW|MkGI4>6$13$rRGD-Nk;9)A)=VfWqdli^ z7P~_PAgyh=6I^SIN>ckc>^){H**LfKm4!mZs-O5qg8MpJU4pWM6B({Q{t;zJKV*UJ z^t$-o!=pPjU2GpcR38U9Nhv27CR8Pa5Pd&hdwT(YxvA34gzROOrqW+0A58jgc<$5G zW8HM0v&J#wYlF|*n1C{Mib}pM!{%K>+j<;dsM4A8uj}&z(3@z6Po7p)2@Am}<7tQx zH6d^OzGsa`6(|**Ni3UX%H9zuz2Q^Hh+OkrtD_psP_01((WyH1 zMie89XS0+QCo#7jw0a=VlUqAW<PA}q@Y7zc4e}_OyTZ07cC~+kHiv|Rt2<`~Vqn*5` z-TA49uq!*_we`YD+b#!Hr4E|xg4gtZlhl+E&Lz^?OBG470d-nQ*qF*Or=cV=KjJIg zGaTBUC((N_SICk+2iZmMW~Hhz@+ed!#VfPmdZ{4DWSA;8!|+ns=F5^zH;Tzjcmp%B zAbvyXslku#pXm_soWP{UzABNr0 z*mN)C*h{kW@Q7DuYTCcRuL4(v$V>@fZH-CDX?tQChbZZa9-9b8d+InMdKF*Dx_U`r z6iQ_5hJ@{Qf_+3%Kr=@YrBz#;sV2PB+?Ju=45z`~Mf2W+gBN#`VtAN*9CjtKb!}?@ z{0RHvyD=1|HIq$7G-M&QfOC@mtn>58EXa4M@ll(6(ng01h)&sn4tvvZ8Q8~+43e`= z`PnEm4P<2VAdvyT^CZ<*7{+sls;3@jMDt1+jY~5)Nmxv8UWKr%wuk6uu z*JGFrjqvPg7bHuz+|l%y-5_yN)SE9V#Ha*`(VEjh0d@YHk=7weA?a#=AhoAZ1;I$&wnJ2O=)Bc6}#|w z@u^>mn0C^uF;z>~kt;f)i^oZ|KIdeb;E}@F9V5FvfA(P$Y2gx5qJGToqDbXb0GEax zA18zx-Sk=yR58@F0j1<*;5tI19#&LtSnhRQPKQiS86=jgtQ~wK*=$qmNDP-0h4J~} z06P8|2mp(@($-2_Y@m3`&+i+YG&QZ@WGh`|9g2NOVh~NV*U9VAupjmJmzFixMK8uw zq`qOzhe%WqA){Pr$mOxK)u%k^hJx`cJ3paQDi74CXsF4sP`5W^EB*&9a5qKD$a>i!&_rU2YA7k`h{*B0A3Vk_d6IW%v?%q_S0 znE`028!uhz_jx2S9=CUHAOgPGCg2-Y7-kDSHbi{euoHuSx5FlUw-ejZNa_<55Nen6YZ7z*KEtLg_pP%g2;~xi~PE=+;PVe$K-i6 zxNo*t^}exOYL`tdu79zJd@}s$3z_|?$_xZO{qDaA7@Vqjtt}K@XXMN6YN(}I04rAc z(_V3tX{{E~Vg0yLh_4$XAjtVD`EGa0^1RBHG2+LC7x+s7oJ}Q@qu?fJ6K zc%ot3?U&6Byn44&Wqn;8Oshcnq?H!Ql>JA9*pEvCqZLBWLmyKiN2t86UfvR&k-V;! zZBqMjv6B07anf~Z!~Xe0g>u`dvU$R~AD^d%)^Os_wQyju??H1ivFK~)cWKGal{Pg^ z2ke`s%O997ITCzoj6i<3OJv>97VDp<&7c3T-wbk8O6FVkg(z}o1shu72Yg*(=Aw98 zl^}@a0zjrngl+K;7+|3&Jml2|Y2pQzDro$kFL8tyus0>G;i97M;c5x(;c9l2mF!dL z`7Y^WPfBs$j6`(ZTs{OjIGt3?f$K+A=eNxuszb@~cuohDj``@0YBD4{sF$@&PF6C5 z`t%hDAin<(5Mv;KxFWyCXOtBWZIpEyTB{(o(Pp@t1!;i$mgx@KYZWvGmgyK^dbnb+ zc-DhaJ=Pa8uUnAP+X0wK?g#CyF|H@}IBYvtW5A8|;o+I};aw3&lCYpIdy|sb#hA<; zTbxe_xcmX=U(f}3*R43_lW2>a-=a)8FEZmip18a0N&D}sLBcbDzu<3?AlUJBy7~$~ zN}K;F^~tYWWGt>*K*{s#!#ZJGTpEgwS-OahdXmG-oL=h?;LB2m0N?e0z-JEuJ|AI` z9ecHUS|U3|&xG#q)3i4alAD(DM+oq-U$>k@o%9S5-?Ypw1h&R}#qF}E8E^a(9o(u= zj9&AV(x=E|&W?v~)Rb_1c^H(0443u)$8_}4cD#2pffjw|92?=jIRdV2lIQV6cR#dS zJW~<8Dme+Tx6!Jgh^~kcLk4H(G%5t|;;LQja;w2Wh6MsQ^m3xaRE!ZJt&z~5i?djiIhkqP8^Hy*NHt{Fl60bf3cK?{r^KMA%>649; zzzY51XWn7pe*|};n)!rEq3qS0FmLwhCBTVZOi%SmXmo!V%p26njyy(VlbiGnz^?44@T=wF`| zkP}wdBuePX!CGQ<>qD>>(lo4njF$1}LH2YKD4unTFfDr(m``z3Oc4H==YbC0PJVe@ zn<;0&z8iIVcR=fFuo{38Mau~B94BMn-?C4zQP(taYS#k1#=D^@*FDF(fqFymug#9D zOivGOl_7G~qEyPRU5)^aEl+;WI8kZZQ+jLSg6_~M#NV)$!zVd=~e*G zHxuh>o-j#2H5JgfQ5BD zsCqu*?HaXqB|n^&ckv1phaNV{plTg&{-4bwVWoyH1SQ-Lw2PR(w0A)45?ep`l}@nu zN0jNU3BP=9Q5TF~2L(YFyR;EeIrc914D)}nGltKOc-Zu;lz3v!`5l|#1Q%H$DE#wI z4rr0PQ^?BxgdsvV6}@8;3EbDEhREn}h?(U3NB!eCaOYGd=k{!0)6L`kbJzbIOVf|@<8pfY5<8*WT7At2J~7sIinvzA+4e_Cj`w-5?$&G9cYfcm7(ex1 zR~2opyQi9_eeicl%S~_EFud2#PyE50s6g+(Ht`b8vrW*dRxzULzYrDCBx7NxILY}{ zG`?AI2<=a zVnkHmYRJSkJIn~y|Bx=+@wzFqJF+&bTbRG0tytQSt71QmI)7u!s}U;i&ee|->Qn7 zW?f=O%sC=ZGPaf3ewZO&NQY=i_Ab%2pskU5UHhnkCh(ktqR`#T6z|GtQ;Yuew5aT0l)ml0gI$N3sZ5oOJ5Z`B|VGJUHdP_=q7s0 zZs{)<=MCa(FLY5L*d*7}tPj5thGwjxK?Z4xX{BL6ZGX}Y;eJ37?}+o?+8hd#S|5zy zi^{955YsCtR2G}J;TSI1Hqa9ibE_-xd~&s^`uoNDWQutlo;$)40j2mPQf&i{%9|I3 zjYMeZ+8pywprC<=2G;gOYj;XKmeIP>uns^< zGwIcd>Degz%4DR+0LxX{MyeD%B#B%6O0pwUK+tewje2Zy%xQdGH^OP{DS@iXBf7HE zYH8Z>HbrEm`;I`cT+z!3$?q|(^$Wy4q{dgWvplY=a_vaFHjy+n3tdrO^7|J|b6fjvGP91~&=cre(J0~}61(ts0eet;{Qkn>@@$97yjQQ4RqfHk z-$Im(DaC>_Z(T1qJr6S!!N$Iq{>$-jcUDk8bdVb@u`E44}b9NE@H3#GG@?hDoaQTI50}Yk;DVyNbUqn zmd?4CiLhRmwPnd$`SY1G4lq16(g)(1xh-@^LG2N!J`NKm(s8Mn=cDP1%7)w)Nk#vn zS7}bn#B~SIdAv(Wk_Z$3Z{_Q$C{z?sG#}GW)$W+Yu_HOhec!o>!2s|gAv8MZb&y1ADro9{=pimv z2pEC9-)}p@ zcVZDbb;{_o-;qP>5SpU@7oa$BOPG#dlvM1vQH!+_$cRhrW>H8UlW65%kw0!`3;a%)40hldy?ksnAqw^2S(-6jN+f=vdyP#t`6ncQv2V5{ZOhtAEUzCX1{+bZ; zV*#~a7bl6i>$Gk1jLePW)027UxjJxL6K*<~$}PgQA1)+#%O~%;nH@Scl>3Syce@*~ z4Ij95%2?K!?YJd5V6<&YSXdVwG~Y|uy@h|$#=w0(KxS5578{wFg2oC|*mUw> z>b=IWoJrnTYMwsoi0sFm!GW zg|MtOE%T^Pghn%eoRXlpFZq8TreYUdbPp&rJGRQlIJK3}$l{;{Yw3iS`F3M8V})fg zgbgSF@sD;!xbN(>I1ii(e|!%kN&C#VAweiLE3xBb2H@L}PVZq~v%gOUA&wsonENy1lfj zOeFnXd1&J>MTT0G=QQjrnyF|&L7vp71uD8CxhQ{O|Ne4PZ|ek&cVm!{)IkhB+mJ!s zK~Io(qpfXbcX;SKI=A(a@Z&}eU!8lfxx`YzANTO{Hh-KRUG)2O8CeZGS3Ej5E3`D^hQaw0bMaa{8<(bksl)Vi zY`c88Lg=*_+YRJac!pTVVU%>})qtKf8GQesiJC0RcX6m8rVYX0e2YAc#YXu7E^WTp z|6IcKR+rqWOz5fJ0fVNaF;j)K?jEF=HX$W0oe3adEDWQ#Vy9wO{J6AZ^n?d^I?udP zRyiM@nYGqp&{73Y_ghrt{hpL|1({uWh)^`yGCeyZoI4&6EfMvcA3b99}9{f@8Z8Y`zxSiRp078-A)ZtB$>)Zy^($zPq)xa z^*yKAa!oT#HU^a*mvRu%2SLY0p}F<>VBq^Dlzur(tA~rE^zOXupSe98 zpVK+#>Q8dZ#bPtXUs5`7ix!K^3=KNkd6PR_?+lzT=O&kv7K(qDdnH5h;hL+41vc>E zHdnrJ8BJh+(hTEka>dDc7@B&q&J(Y!b&z54M@?vdb-Nvr~c?BLDj($^r+i87El)O1)f<#=S+^} zmnB=P=voMbO!>#;^;H1%uio%yvBc0zUY>bs7g{Df?wYj)nvbNQjR|m$R-) z;6k7|JI*sF3DeH`aDEx8aO8dH%8bUsQp&%3Q(F@7)xZKhhNtp`qQ9XFef%yQ8#Tto-!84bA!v*VjH zlT?v^h0;T$|M~4vnYh>hF5tp+?mEa-haF>7JF|sx!K-zGWsncRS1y#|K*hC7LUzk9x8QX8q+cW@Oa9+RP_ZK8iY0aJ98v5HG|WSF-n(#W*e<&qK^6LyZ5S z08tu~3sX{poNePO`Osd12V?z*+H5JU*LDJ~s>WgJvZ72#s5m`8PBa0&%+{~_Y}8zT(@A0B=-iVb4SJ=m z23T75YD{CMowo)*6Z(}ChD~bpqNPnRM8^DyB)fKh8}8tg(mtv@q?{1Yw>{o){DH>T z5*uMVWH2RgkF&?`mJ(>uC6N^wahh*pmq&;}-lV9dG?I>N(8uNu)S8~9t>yq$l>64A z1|Z?$6jD}`f4^V@da(+hXReWj>1-#vRU6lZeU;=ZgUv%{mUp2zi{#zeBdPjYCX~j8pX%XkqI2?y6$EShIdr>xBKkyE8#rD{7swju=;eCoVLn5S0tpnc`Vnv%tnB zq*Mb-$sRTe!6=GG{xgfO|CS#)tuu=R65pP1Jp+B-DV{DijHi^NF25gHT=o(YBxcBv zuWBgz&USh*kpe{g`$WFsC9nh{Ur6q5i*#-}>1N9n<61O zoJ;Q2U=ncz1r`N_s*wudvW+BQ7H9sv#N1D`6fUovP+_3wQJ>lg+pDi0?6|3~evhGe zKD3G1_QAR@1;SM6sT-Sas?s8yrN-w$vQrR7EV$b53g;({E2{J=9*s90Xwd5tendgc z+Uo$y6TD&&uXZmzhZzXdNvq;Oeas+jNXshH(&P1)cC3=JTQV+3kqXQndddKru9yiV?a;gu&Tq%Cgir@>~AYZ4l>Rb!R|*kW1ystUf<0!jc``e z-04D|6MU71)YRhV;0#bYLw}DUO>ybO>U~O7`|ja6Dzqovd26}vf2Rr)2&plO`Z$qy z7)^ZJe~A^qOKl=Tzvv?d2#0?NKG%2>ZKs7b-$Y0ar(9XMmIx(Y^ekN{`Q2WOzu|$6 zCXH#cfN(ro19fFvptrt8W=ZLqg7tjj^z0wfd(9pouZPDYyhn!*-dug)!+yNOuVK)J#J z#^&8yNLD2SMQSG|1pvHtOsvwOqkTZCaOXs{QLb{UFbR>;E&qI^mtfV-ETdi2=3$Sy zI9&X@8B|G4=Kb$vrLRrP5sjyLq*R67!h1?Q)gK#cRo+VlLLR8^T>P=P(GCv1{<%s}-Y&7Jr?6*;!XDmb$EP`2v|2 zK|;#Uv^(pe@7Uig%R4C3KT^U^D+L8ouzD24@t#|fHLmT=0)t@HH2fV&Dv%-9Fi`TG zJvkw#+enyQ+n;~aHji;7j8~mY3vWVU{t6Ivr&Swah#L5!&A$G|JBB1e+=4mrk7|7) zDZSnTG@8wtE;!;>0Zw|JPK=qg-)*Mpi1C|1+)TB3@aQM_?> zG~IGiky_y*5^++dKTBbkmB)XUz(Fo!d>PN{#BKnMLW*d_Bo4KV1}TA6R=Jo6zUVSj zKtzISBheFaD09StIqUwOlG{$89Q^;!@@i5hE^x;nf%}NmPpCE|5Xm7EHRLs#Vd5?NFX4&1L%aH|(f-pJlNr?|w=oW>I=-yYZAN zQJ{g^@#nyy4%Od`gRP^?3H%pP$Q3&(@RJL9U8)s%Ly0?iQ^4fBDqdHH$NsBBoVYO_JxB_d3W~K7A8y7YAE4(Km$>$;)$R;*`vcI z?FMGcDb*N+_EcTC@aV7DwCl+24NyR)ue>Kv11(bxN~td`$&A|dG<@leK>gn}=KD7K z=y`-O?wBg-;UyqWJ_(MRyEuM#GZ5``<&0_XPrD1og;o9nyU4c0z4h~)IThBqP#+Sw zBuAKn${1g<%#HZY>GCm`&xX zlgTi%R_ef7IsuBa?Do=q~M z0aHZmU-0>=W&W-*Yb&|7f7fp}avz?(AE-(wHio_tjo*WR0St7JI`&OTRq(cjmNbVS z`CZta%I?(`K8UufLafIT89sc-X}|?4Ct$-Abi6QGui1Y{wFi+nPmTdUBGnDR?8(a-amN87_QE4r{={N-`>G7L7}V z@gwPdc|n1}qH}juRHKm%)=-N90mf=~T)$t=#~0U{`Lo@a?LN+lI)$6)5VzkYye(o< zEij+GohYrPjHSV16kLcc)@U%~fE7lryB-2_%5;89iMGWNb#daW-8}lrn4W79YCEsi za8uF*Ka$NwI4FJD^B}gn1mBr*I8RCxp4`&Dk{}luC%bn^nyl}`70GK%#9s{o+FuYf z`p&;MC@|UO(CCSojwFt@Rmwn!k@ViRk1zTb%!u6bIxASI_8ns&uFCI}z||6VzArj0 zB-U>_KZGbQ%K+m&XqgKFcqM}^d;xXnjzD@1t7*K2BqH_LR7g2d;N<&gnnvCxLKrl+67hO8}rb5Qz@Kx1AQ^H`&9;y(<}z$v5_ zFuM0Z(rx@tiA=?jx$KVQ-vm-zEHQ_m<*Y*pqvtQ=c#XNa6aBtO?1R!WN=M^l8&_X$ zi%}6Jz`@^A$}l5S4Y(4+>gtB6S-<5IWs*Rx{^EdHM02XZu zC;1fgr(`2U8{pG9ybR+EUF2(WZEkB-+>*sv))oN{V=r zx$LjwNs_waoo8}wBcY5yToozhfA>2B~#}G~;b>6%<$QGXldwDqfbSXjq_f zSH16#2%akHK-57pYpKbPN5skzu1yUZR9kAlpt=gOlsJ;)%ug zS`^unh07S}j7bW||$T zAA3YXP&r7Y&PLhnk<6dH7Ag*vGq^U!#3R`@5)+TqRjRLG+s3pB;;M*oM711rS3*t& zr$xP=3QimK4h>cBLQwDLGgz)K!@`74@PA6n+WlwjsYHn6p%2kgFbh(L;+0 zL!yy>1-eax73enQ6m;8|+~B}e51`w|>S23?ewARUw?6#>rW(??({1W7SYi!zm>{N- zy2sC=3E8ZI^o_}6=U8EB7zK64;6_}B(5f?nmm!%fLP$z7=L=?kirjMz89eAwtTDcg z5hTgCjYN=8c_eA`g`NHAwf z83<-%C?X7H#Z*HY2j7;m+UO4tG{VLhR1$;GQP6Lr(-?uiK)9tqU(9_Z&=;tyWCF)C z)HygPg^=VoiXjOyOEIxPcooDwQU=3g_KG11dQCAT6LMCw&@no$s$z1saKp*nLp z5D<(-9SI@{axK*%5C)M1-KHRtpxcth=ffi<+csoS;MSxL5msQZZK}Uu+oTN0HYo$L zEg^GVoRe!yK_tPfrM%}y_Z#8b)JuryL6DX19TZ$2i>(o^O+h4sY*U~+?jS4gogNor zNP=uj$#zDD#q%UVk|}OnLJ&ie$=GecwxyCH*^s5Q68JK)ZK+_5F)dMw#z@Wx^9SNA z6(h(sb^e4zoIj>gpg+n!6Ss%pnB>+F?ipoAF%;=9y(qI}N-W6@)-2g&Fl#B$UxH8y zBvVO4vf5N{*YI7MTG3-{TQd2R(J==a`Krr30%~GC6ce)x=(aSwFz=Ds3Fn5@511rkLOD@$;lb6WI6!Tgd<-YUyNzq zEIouW(e>xECxY|<%~``petB+2xu<6-p3lwvE4uCI6*yZ1PkwOT_e_Djq}$x5l$bs# zjEa#qD{jY8@EW({h-?#&Jx3}cUj#Yb_7wh~&~45&&FlT4ukoDLnwRVLNM5h;=H9!K zlmM37q>fmC+I(C9V`B_haYk|J(VRNuTLaK;Ik7d6kk}evs*76#lzm}qfZ3x|(r~Dp zTfaMR<(wx!IkF!VgGH(G zt&b=MVU;T6;8GmPQ03;&dyU6SjyJMK{bD5>6_eT^3TZX&+uI58LFG-k?V_xyV*xAu z2Ib)|o6p-ia6!>78(hmnj3W!K{~aC|p(6ep*8vZIAH74)B~riJQm>^TE3N}F)f(3@ zL+%<^|CljKB;|(6=r7lYTr1+$9WQ3|N{DTsmwJNK4c9h_sGcGbfS0tVIh-c<*v{ZR zZL&@|1z%YavmK-gzS7(tgW}5Qjo3oQkmbA{$m}nwGS%vrD?bvw;sPeKXSKs>U|g06Vbm=9EVby<{z>?y9HOxe=Rr$EJ*+OLHRwVe8z2eO%0lEh}>&OrIssi&T)E zf(WYziO|_77u}IrtHVOQky4&>UkzC)?cwj=opQZS0?Dr z23yO@Hk~ShPR%O6t#fUq&Y_{|9Ej>1saWY7U5&k!%vtGFaHyQSoSqhZCc4LKZ{$vs zoez@&vFxoxR9VNvL3v4e=3(i#)0xE`xdBYc19GpJqfrmnWJghls^Lyzd#$+|AXUm; zPhWtkM$`>@G4&NJriMC8P<%;aBGPZ|e^tcdnPn@-dP+m6Ej4DZPz2zJ#Yfn5{_PY0 zM~R$vNDDR17R;T)J~c!we<|4Ofi8)-`|*4_O= zKa^qX=Ty`3wnOJp3bFO$t*P%P`B}>aU_L zLVYqzL#9nCelmh$AeD*=$!b&NPDkch)i2xUC>vnEJ9Ro;+h{ZIk7b?^HS_*++t)m| z1WTOf7Un)Ow*+&VjjV-}soee5oor-hzrtLM?CeKpbIqSYs;G5@gpnapGBSvkB_MlQ zOQRnQBWq=sESOUG*f84eEcg)&; z@*-O>Yk`b0YZSu)b3}}^*+9@y@D>Cekz?USwn#wQXHke-z1b3d0YSW!3$c7Orf7U!`wt{$Jk!ply zQ?nBpYSW;b)TY6*r51Fas{ow}OHCSdS!&WCn^KbsL6ag?YEp>a)5XMXE-mUzS8C88 z!*YWLWtJKg*h_%gB2d*Sg@bS1NnQagXW(1>N1U3!6jDkh8rObgy zK_o*dBw16-qkhI{Hnk0CwxMYQtW|1aAZ#0OT?OeIDX9>_m}z>Xg6t9r!ELHYq|Qdk z?2*h}ofaC76ckLGMQ(~)Y|gX=Fvhf{S`VgeOqrmqikPj8w$FUXQ?6}SL$6)uYjpW6b9j@E`t@;P@e%WC5?$lzo4@U z()TBmv15s)!4%w?z4UUF(|$DVjPNEKlHL9UPb5xt-eCTi0jMIX`Af;h7`Jd;65~c^ zW`uD|wYoq3axiXV`W>8Qaf>q;w=v@hel6(-WJt_O-yNMMD7Ud*6YN>i27=jZh^YRM zYCvOhZp@0b*GMyvVM}6wKpSvw><{GJ*dN5X(N`Ve+-L(Nq*CTVNUy8 zySB#iSHImc-FcS{neV#G7CamKa7J*K4apAPWm~y{fSX~SIod($WyH&H;3nI`8Gqm= zTd-`Y{($Vf$+oj|;wD@0Zb{$m;h2(k8?&W@%S~NQbVSv6&=ExS1?`s93DzyC6RcZ7 z$`YNV8wHDWOS!j4-y0#_QWrTN9Tps0((kHEt`X8LysSjJadzS!va$y0Mnt4rN#+)~ z@!C_cWpv;&a^fP?tbP?&*@AXcNttZKRKNwvRKRJaf(7rDN)gi0IO%C)(1* z(DmAji8cE8G_m%_UA!UMZD0Luv&5Ih9lOGJb^}6^jj2St zJp~!<_Eq@5r`_y@Z0AzIm)R@deb63Zqx#d}wlFVZ{8%j59?NPiw3{J?{V~9gqJngj zejwd4;*>EGiF6CWpn8}yizIzV3b{=_qLXs7hr#9v@P5aG61f%{0bnxzok@Kh)_0HE6g7S zla510#Vm;hs!h?@hkYM!} z8>htp=hZxAT2TBvvk8e+&zITwBhg&kF?%%)CNedj$1^Bxj43fsn_g6SCmH#lCen{& z%lCLrq}lZl;)NYxYAj|z-3b;l(x%HjdMrz7(`70f)xZ1#{yaaBZOu*E@9d`ry?*1VC&j&v{5RXjNslC7B#-Sg)jIiem++9PLFfyZXX ztz$g^ppuTXrUeAP%0hct%TB;+F?reV9@j8Mv@ct6=}pYuWBKG_?mhBbuAH4?N)T@b z9ff!k!nbjmjN2I$E&D?DGQq8^Lma`d7eOJm9&s-*Zw8tAId>q^5XrcDp=3=jj~aSe ztu2^cwa(Fl5{*q9%kkLA7oyf_F*Ihx9s@6hXx!mLXp2Vf8Qnq%>IbP(kArM=%ch|@ zy6(Z<*MXv*J#LbSgxiJYHrs0=;T~YhqTXELiCcy5wXygI=l&4m2&?(dYA)oPAIG_=H5oGnFcyvRU!WpA}CXhl;w;5enyIUDlIy1Bh|bXHVq#;IxsPm&+Jou>rD`70hR9M?z9cgv3cHq#omb-@z2}d!GInHme?x7=bDd*8hIhr6SK>W%n#a)xsS{)$5wWhEO#85R_F=f*QQu&@o@Jq>L8;|AWpBi$7K5v1Et6iF~)5`*D#NDQ@M6iEtZ z6iFbA8Oc#jYL-@hn1yt01*ti8q2_*0~(S$V+={^#u$B;CgP z1>2T^?q{Yd4{nViBolCi^Q=Ts;b7YoLXvD7+kiErMnEYULNX(-Lr9XkO1GbbZW{?` zly8(v3~qVj8gtNH1wmLLUenza?yTX64kAeC_WT~92R(3;QX$v|B_kXMGe@bU;7~bn z5j?`LkZKzUze3Ke+&Fi!x=^hf=cugX5yNPNX=B(yQf(+1$weaq_ zr@PxiBC^Nx!nvq6MKEFZY8hPBX5$4Lrsl@qDvh zh!=Dq^dMQa)autGw%G{JrWOU!ruGBhRgi5h4eG3!niFi3nlmBMoRNl&38`#LX3Vw( z(Z#j|7sj>(U#7NX5!seR*p^JCwxndXB{OHsfn_TQqt?D|OR#K;+5nbqtSy%k(H^6&qz&k*BE}KcF-We0 zJcHAKE>o>jL)JPG);d$6)+rga&dgcsRB))Ai+rD!3APcJ1WZ|~tcBrWqr(zWWvve< zWrSbTXchcg%4z4UJRmn{FV(|Q;ujCFYpE6v2FQVK$I}}?q9J7)!#GD*;R(v2t`Zzn zQWyx;19eppgt3B+V_l^&6f_wF9B~bTFk9(&M3Ph@M3R(XP8ZCb<32T1@SsP*#>h2B zk|en{5=j!|n(7qfTB^Yy*T&Qfa!rO0a&5?Tf=f&41u!HeC@*%F;MPVu3rMo0$<75p z^cEtjy5kYZdxTm`Sv9)B11-=>l*2@=CH)$GM)ZV6j}@W5nEObmuOO;Y^9SXWGQT*D z_#DAcFpGe=lD7+_HH|c&)w0g>(+vf$He^U((ULwZ7uu-8m0lm}FnG1B&sw53{t~fPkh&Tt zvzDSrf=5gFZ;!4w!mO!>5TTKRM@t$Wk9F1vv!*zbVAixo!B%m~{Cq?T6th;6xgFAD z10g1ljfmn%G8y_!@M@``C*v)?Hx|%6GL~a1K}dgz*HRh4eWeBNp*Jp~5Ma^N_Y>kF z{+LK1{wSK3$?R!jhh89wva=YPrNM2LWObJa3|X?vi;oq=HbO!$f+3Yk8iLuP%v(;( zqoT)nHCa5Et+7U4o@+cJYUG8H3u9^lua>43<~}mDfbdBsh8I7Sd+=Jx#5Tokg^BG9 zM2?CN7A={WkT9{GVZUi&5G@l!_OcZ{Wdal1$`)DT)k>+US@+_I zoKtMO{4F8&57JK`N!r|-$D|)V&Nf?6z9ax8W(|gBz5G>pAioka#~Nzwp)Tf**z=)Q zZ8u~~bc5VaFr<5zq2aHtVPBdTq|ZA{^)aYlgr{s3O!)&@%NhQEEUJg8IPE1@D`}M7 z;@(}Z4v?#d$Z^CIT`<@6il8x93^&xcAb#!_saSMM{s>a`NY*vXlFzuxw_YudSpxa2 zJ^aR;vVT<>2hSlJ7v{#v!z7oN{fZa>z7zRN2CQ?R2GtmRLV~ncDtj#S8f`5pcrvts zXD$S%0hG!%+IBRTAB;xsR$+d;dDAGHo-s}hyJsuemOJOb|3`Y#5z zZ9<3|Z}od{1Jb54pS=`w1tD)ua~(U8dyJeAQ0T$~1r~c`antpSmbakW9WshyD0hs$ zw(#>PzZ=dGw7Bl^@5jS?0%COch+<1*@6G+IBklz7Rrsa#mNNvw{1(tz9!bMK0+p5# zUnwA&A-)^T=Zy_&eM?LE16j(I)xR&;I>lPrbH59=f{|hFD`*=Q%vk!q3yRD?g6#G5 zwIt)KXB5p>&zL<{gts7YT=5LrI&)VEiQ-6061*@HdW*Nx2(f;cOvQj`71c9GQ+%nP zmz64VC0<7%G9+fj0v}QWIDUHI!27p*+|nPkN-Dt?&mhpgRv#>KGO3r(9{q!QVo0E0 zi?=)K&fPzXadw7XiwMiv*hQdlV;5mLk$J7+v7wO7ea1tueZPP1zBBMe9QIhymdydKK6wePj&S@0q-q+$-d7RJ&}yao5>IUCWp#( zKZ5fA&xgvpG9E*v5OhUD>QK3wCtrt3gX&PZ+7a`4eIRwHG~@_diJ{V9YLIwt_EXD?97XyYM z{Bd(wmo?SbYE%4@_xe8u?d1)PwQ-}XyjI zAP>{8WL{QE{`V&(&AZ(rz(!av5C*h(vG-w~;kl&19dzp%lw-Xj8YLre7}94cBHjJ& z8)Ye3#Mrb=<8_4}e{H!w;Mp_k#V12)wRUYO=7vPG8Iiq0cTh=I2MbaV9}tlY^}#SJ zFY1tPvK}0;P=Du{9~?;E#DfDC{IBI2`y%L1$zJI*#UU&{I56SqJw7mS3-*a7BktPj z$$?4FFT|4r35h2Mkc>|bnB-R99JrxnKl7UdtRTEOz=HD4fmHO@@lR|(>W3ZH2b>OG^6YBWQi!qL!$y%|edlzWdmcat~U= zoE~40-$>>R2rwKD8Gyj;NN8K6Y94#L&}>`&~QYUE-tMm!I3q zO=#^ss)?_@!Iv`+`evw9XYA|S!Iq`(AfB`D1_bg_Ogtzc{mytCV5lG6iTY5WIwmqC zCN|BJHW4ykwPoR?qw!*JzmYzVv+=s1zRs|wI^!D$aKk~VR!n4+472?xdzw2{6cSGX z$lA|%;eet)26e_TG57f)<~TQz4cZ&^hA}!N{Uinmj0*lLzx>$DocXJj$Wj<1)A6k!n64f-%{8 z?pB9&?E45(F_26}4asWK$XgxmQPD43XYoa{9qU_L@G?G~Y_Yz4B_^I5)2IrbW?kNg z@w2>JD-$3Q*-Fqo1>vB9y4a07%+&=2Rii>SkWE*%|BnR2H(}l=l=K@a=FthJkn1a_ z<4``YWV9G9R8^2FlLexxY8+z*Y~(DCrmxZ9XlgN09nF{PmP_5mmn}Y{uW8xRz5A3? zEF9Di>8Yn=6FaoeYlax*(tD!s#bK2~NAr^*tP_xI;d%q2m$WAF?B~32aV6*H>ZTxY zUpyJ>V;))i^#NS{cbnoRf|34fiT2#@2uR!gG3y#)Txu!y9uu+ZX7`PrRDXNS%&KD{ zYzQP%YeBSH6#1*wp<^a^Rg_gmi&+=zs+UsSdsdyc$8>Ip&)q}nozeNw z9k=Q7lWAj}@ARG5q#uwGG4mAObBtx%JYj|R+J-Ik`Eg`bD3#rw2rG}NhSXikg37X5 z!n>B>HF^*`=8ep;5(;l*oBe=~CKN-~Jx}98FxM*;y%EWV)1drm*wj(gv6Q7^7qrC6 zFemHV$w~CWTi2eyA@{HBj|fshkW7VzXw-VW^;InRrc~ z^Wk%DJvezWgR?rWT}<_E4LPsc1+V8cdn0hSm~NpldCuR&Jm~msnOmrJ-(<5MOpF6{o{npLQ0P zhp9#kV~K0lGK^*W8A~dZYV#WxsSNwiY59@IIYX{egx!f{jSfUO%ps9RIS8f+GH)6a z)KjrH_D_s)OI!>usW3W7@^miQ0+)>obc}I?R0>kHTzN|9_V4&9Vw+rxU#=P0+FkDZ5)AeG~! zvxK6aTqwZCkbSbTTGfB1IqiDVG%e@fOkDkRoULE{;3|-L#`}|B5Xc=CBU2?ip;Uyv7qx%8)CA{6r#c_a9Y=R_qKhy;H@UJ0HbjYlL2ej;xK8);Jo z1Cih_$Q!{&D-Gk*Pc8UY?+E`~{1_Shsfa>FJ1pkoJR@^9Pp z@b7g_`*UsSAh7M7Tx&!nO8|~Zdm4Lva-EaV&yDEpaL;y)@K3Q7%F4k;s6AJC0kDlw zli^X1P_iY$$?3O;szQnzh~rry^J7Sq#dD47#L?*dyO(VF`8u9{oS~2E^0VEx#8QRg z|7+eJef&FDclrM1KJD$OgwnrxC|srTljQlg)fh|J%V2BAYl)~-3Gk>?DUAoEGQhrN z>vSRJqo)>(not{i(bjb6eM)BY^6*2C(sZx*;#?dNf7p6Z%-Js-_)&+xRu1I#i3v|6 zZGa4Ev-s_Vq|NFl6vwn#{S0H$27)h~VI%_mlxj%h&ArUo2}M7w(cR@hUW@6={iJam zsYv?mKIF3uuLSS@8e)hs!Oi!;#)^43I?B()X+* zRb1%mRPhbPfYvTwWZK5bRRFYu5_PUM#JJ?MpQg*XpM9z6NZ$~k1@iQU?d)9MFBEgmp$*9G6$B#w%Bp-QtwJ@|PjRE#8EntnK|xaZA+ssnn)GM%wh8oXeIN zBGm6(`lgtg6u?kMt1t0VMnHzdY<+!Or1V7~n{z}8yA^eTDZP}^{kmJ3)?=I4iHDuP zU!QL1TPLYRZU!>qS7KUNUKRseL_5X_jf9*J%j^1J9*WC;)R2}>UhOf#hJ=Ddgci)|gWZLr6LSDy6+BK?|gQ5Iy2 zJ`YpJDQw%ziGvNTZ>-L4s1BUrz_?v!#7Bw`Q(ZqN_{J{x>YilI{Gw+H1yRRe%Jtf9 z?{tYg7`xlqMm?BtgL9o;4@2+gt*?1ZKRW}^_it(YM&0*t9V4d0qXg7X$dH(Y-ktXt zL$322>oI*Rt*u2H2u9rqfqqIgr19<-LQ+;+cz{FAusJJJ#5f}Twx4_I4EDQovg{2X zlM;$|aBuFZskCl`mc^Z6u zl))D~)Q1Ra@CM-TMGfLyLQ=;zyIWDm&O}V53g_7mu&WAhWR3-5to(VxLD|ob?)UP@ z!=qmlp{3eW;zhmn=b+DR{CYo*6E2oB?(Xtoaw03AGT!NiInoaR)H#hrJ-xTBKQ^A6 zHv;IwA0=X^u@eB-T8bH|hO8v${$wT1FZ!fXH7DIt5nA6zNy{pcEh zWXZyoiQa#s6d))=q){;^ZZU;{F>4vvqnb%j+)eW4fsNKjaCDhuhsGA(SZ#nHrL^Y&tc{azwyX$ZcN!y``-v4D7xviDe*6Q71~srOjF zo;mA?hv}hD?H-4aUMib~a-kyV>pG4wI}x!$(aZkJ+8 z<_`QzA+3kGLWDYqRV==Y%>5`$?#;*Cr{mO{Z=`wW-MmKuh`y^N=wTlURyRcEs!%AH zsyNDxL~qI+;oy+SW6!l#bL#QeDqyQ!<I$03%PxTQxresH7h-A@1U_nWv_ZDQgU9U2t0!2Pbw;ug1yh3|cQuc1lV#-WAx2AsA$R&5?dAf>diGSW%DxqAvBudRruj;Y9g{569S|9>T~`j@Dn{_rj`inC z{TSpH#(KW>nOaFD1>d`0=g|+>rt#e#~P z)dMHzZfnsb$Vnro*8!cg}9 z0zuAb>kH>Jq}Um&eaQ}!1Uux)OwVvLSQnj9szBMGqi{)ZqwkZ1b?8e5*EOV{Zt9o=VGC!sx zrW+qIe*ZA!%x!$wuJoFhz=l{jX7#;KhI&IN6&iwq(GCWzS6-OjV(cP9ZWl0qRs7o-+mOk zcnJDavRC@--R;Z8vgnORsV{$vHnjwhky-w*-OqI`Sq1WM#rWiW%;&=lj=B9T{n3D} zmBpq(%aI3ILyW0W*C)Usgo)^SQZzdoa;IHT!GxgX18&JZO7;^|sRDrvnIIF<1Z`h4 zhNdvV^i7zcJ)3u|#RMOM{*>&MK5K82)b)W3jUY_qP2*xLd1{#(InS0G!rqBH%Iss1 zt&MWBy~pTJLnV42h`@eT$j1Z`rGPbLv|7&7Q?1>RBN-wL^RC>rlOdppxJBtkoiT zYPoTj+rrV#TUPK!d@)Av!6NK$)q6;gmy@Yj6O_#Hb=~XM*ez!3XG2mQ15sat&I$2Q zjk${=GxcLAB=>;`-3O+U{{Y$YA0BmWLWvqI+>u2Mn%_EVaGfDFwX_VDsj zva*)DN?X`tWv#TAwfB)04+Ll#OvAc?T$wKNPUDQR4pZKtFr+S44QZv;Ej;UycY;5H zU~@>MA}o)UDf3P?_o$thReF?lo>l3=z2Gq2ZKuHl*?y+oqn`N|#w49BU|1e82&mpS zS|Emuken)TFWW4?q+mgMA%=#G?d)n~V+v%(O7?Tx@U4KwMF0=3*diO^1% zioFPuWhclz_0Pj&VI@O3>^kjIk}W-!cow~ou|NkU1XE@z6(c04qDJnihdyfPWwrVp z)LgBB4B2TC6CM57d$pu)ZM4fdKah2+J>5ccuWKp$+MfkV)dN5+h(zk?5S`j0vQO<5 zDkkJ0$@spjAN>{+G`6Cj^iR=R`~)TU!?2~~Ma&-(z0t)2w(jC!Ze{GIQ*lmTiJ6N3 zn#8E58@Y2`gLYz+!(8Urc5qO=-=H<*}K6oUvtFkm^8w4C+lK2Z;zZMlyAnv+f3W zK?|qCsEklCrCNE^1d@dW?UfaJO?w^Z7CRxF#LoS>i*_C)dfu;iZPPs*j_AQR0yB*s zoW4O;r?+{k<|^k;sotn_tsF~3oonf}o7AzpoLd=7ulb&M>n5WGbX-d*F5B!{vmqEy zaQceG2?s-Bene{tiAfBJc>aZgc|?Ypr|t$7)g@7kg7l(luL0FDvT4xl>7ON^4m#}K z?eK7F+tF7U2Z1DwPcF1j^wTGF9S>seBgcc{jc9Ynw>N+8*6u^KWGR;jtqP( z6~-QKKtt9tDNr(-T?kw!rGkA+GAs9U>`+EN48v>?NCp2HLZ3| zjw)|wx@J)Tvsc+Hf?hc)Wn$|QwpVhm$7T+Zkt0J{jGq~5cJez<|UXhOh`ha!Ig-IhA3K1hwRnjp(H(onU`5B|6<)1y-uQut#7w%BS8f}T(+MWjk44asU# zjG#T34SjSd! z$F|>4A+85cMw6Hk4bv}<0C*>4 z4p(dMOtkHY_R9hL>NJh*SoP~!_~M;Z^JdMc~lm6`PKRl9nCG^y4fZk-9d64LD1 z!HqR-?qI(Ipz^gTcxvd{rXIa5m9I_xGQ?2D-fQjUyi%LrfL%jSo4*mV{hW_1W9v60 zc6-*Xdwl+!pVi3TRbOK~LJi%3S?ebuPy2jV(%uR^~fWsaCetj=9I+T`Xh@xfA)TdJU{#b zdRbVDt!2&a-M}T6t@XfX**=c(j%TkhAGvMMsYlEjvhF*L_lPyN8*ZS_yeRKE^++D9 zkaG^JWF1<3xa}OwcJaFuUPX7VOTU<@D#8b-kNfvNFJC_RsFt58-e_TO8y+azt;NdD z5QWDF(Ob@?2W-;^4-sEeywTzcm#ruH{lga8T??4l4IE)}KIhrOyZ6AgUv9hAFeJuY zH$n5M=U5_M=YU}Mn^rHZ;@+k6hya>Uv~`F~pks-xvjXko6}RRJF>QDulJrlH^BkS)@~ zHZs;U;M{pETOM9+Eo>0`6vPKUB-vu(gUGFu{;Bajti3@kO?>v-N3Ccp1CD*r37aQ)#%P<_-W%vM$iPIO#kheRGS7KPoTv5Yx)fmP z*WiHCli z2hGDv=3?3oMqAR5Gg~bB;&9$_Oucik*5}Plo^5A)2G=!a;+ClTm|aXCi!re38w{+5 z^qX%Qe4m2;l-A|vb)&HxjA*he$jTx z2H~pAc?dNGE^4IeS9+7!i*s-+=}i$#Ag{;F*;ebxO}lHs&a(CKf5b#=iGNXFmx3Wb zinJ^b$J7wN(uO(F5V)$|!wk8m?EmT;_S75MlBX4dmOU@WOrh$18sg5j5LJe!dR6qJ zw5OH*cv#IVG1ke>B4!KIr(s!?_h~Jq+WSK_xr^UWoA*+zBW4#RgN6eO&Dlb7m;SPN z=**ncbz5V5AZJQt3a(Qa7|WFqH0c#XE%px#@wTBqX!&1zb`hn~+OIl0vbL+(&skT8 zUCwc&IonP4*5TVWkqqq&t%bcq)AyHxX7>0$EKWg5e;h4QrueYAyZ11@D0fVFM zjd)G4l5b=$li=FIwM&h4a$f@qp3yWyuE)C85O?s@BiE}(XG+;`76@u|F52$#?kZNe zt!0V$t3Tzvlx5OvwBT0CWqX=eF~S@1Ee{N_c2;c3Blot%Qb8lPsZ*AthLpABycL=2 z&sfy>sBO8nHt6Wuhg#lrJ6hP-pa53{Clet;I_x?MLXK| za4Xf;_=erko{_K1Ov|gRGyQ4uQn{_{!#M)4=XE#S=l;58DtA10oQrv}8w%OVr zlx}2Ovo<<&z_t>sr!8h)ryq{dFl;2&fPXZ|) zw|r@L#EKwkcVsWezG*QDP`iWE-FxkhJrYg*h~cfC9fIq_it}vm#58Q&xT}1Nv6#p$ zj|y{}!&%9QI|p*Go9)H8puGq4G-QpQ=*L$S{+=vD1%<61C z)>K8-xegb7pSlbe_R*PF3wCXOO2e6D1vdj$ctbvu(p2pg>pk~1+axZ-kO9-9akkjE z85u5Gi=m3cg>4OQqr*juiyYi$nfsP=-e5PR-(ORquKqqlsfr65x$pBnr(#QY-se3Z zOoJOmCgxhDu#1`Z+;+BUT*kNgT)6p)BAiROtW33p=Z3aBm%7q_rCkwX%B^v8joGYm z=3dHeUrf!SA|KhAvDd55tlLJ{Z$P;-1_8Ce>Fr3dC&!z}x5eO+bZcQlD!>uf%?5md zq)T*ei%DF^n)Ar}9}aAFL+M7AHVSx!j z?k)TQE()&}gWjQzpa$9GK1Qn-2( zdTP5Y^c5|`Z|@v7;SvM#0VONBJhj~`bLm1*w6foB(K{OrP5MJ{ldfD<`Rj z>|ZHae-k)M-eQpCkYmU+Clx>Xh^2sF6?6~BN3FTXs3hxuRP(#G*=M?}VeOm0%{th` z*JBG}*>Mz6O?=VX!~e96*|WHx+ZCZ7N8HbS=dKPi$4SAFGT2_|RitdO3&0}a6PCa> zRAViMBJ3+2-Bg71O5~6K_g_EC?;e}EEz@)l+JDw&M5Sxj#Y@pICI&eUS+M_Dudt$kcE3ClOQMs#Pxj`>&)2zJQ(-QH%1| zkN^Hd@&3=NI{Sw=KkIv=zmv9jf%IKAf8R9w)@yTJd^*3gAu{)Y!G2r)+HZ5CHw$ch ztAXFvH2c!GGxdod7?%kA_Duc~VsG-?Gq6PT*Xfms|NKi@;Qygyq{r`%viR>mlWszvMsuF`ll>pswxMC>Z?nN;*avc1SN|)3@5!Xf zR3@WE`Fks~Blr8Bt&B*Rh_NY~EU5WNk&Ucv{o0Z~+5Gt#O2BU#84*|rMT7}47aAGV zzz5Kh5^6!ZjeRNHci7nG?s%>-DEo~zw$J`%_i|7BJ~Hpi?qhWz-;&MN{K0rEfUPuV z$@qIp6EXZ%X?9iG?u&h^HCv4O{-z?c5fYI(cAqR@t5S^Syzf5L>l2>}Bm%!-X+pvn zCBm&$xxk#I2fl!w6j6&}_WZSY-(qO-PzlG(eeqk`K)%HSmcz++85)pLPvh@L&G~p_ z1LRw?>CrKJx7iR=Fp%%fW}nOKWpS4rS*GuFo9#$@_di#7feE=sUHj?&s=sg7A56S+ z$M3)V4RCjP@rj=z3<>$CW${-+mOk_+2}_?Gl!^cTE2-g#v3k}s-Ur4CRu+U(Q`9gzr5etp@T^AZ(%Jv=o zlA56vR3YEl{ZF5Y*Xf9UNhC7<-fYxiW*-Q?HJhi9xk2?@taBHZ&*F7VBy2fl!w6j6&}b@sJ*-%w|V?VavtY6u~2A@`&zbdtg!4b0dWg~ zs<0rrSTr(}R-a5|(?q|~{6fM)B*INdxxmPz2fl!w6j6(k>+D+>0P}LBMnH(B@12(S zqikLL=53X4UH%<*)2y~Wk!ZU6cWM3b+H>pQQ*3E{dwMpvK7X_IiJ<8yBHEU@u=S}2 zzBnGO|0qgt{V&D)#yU$w43QWgLRXF-T99pXSIA8iZZSyJ3zMXOz}nrx^i$#tUV8ux_^?E3zSfY z9>r&-=qvqL7^uVP(V2LA+)Jq}lM|-I2Y(VWrQncKHPqQG)Am$EM82-m z(~$oWmkYfTV!*}JU7(C)Iz^y3Q~lL-M@rpb#EpWJTyAUj=c(G)a_uRZ^%Ycio8}+0 zf)vx=zug(nKbdXG))PoM-fO69Rd_hlnuK3J+(lAE%-?~Gs(@+&t3y~ewPZc+Mdxx}elYMz9u{|>{`HE@qOL#h~3xb|T zpzzpYvh(n}mfkjF%^j z0uftcBMBrlZQQ<66wmMzbM9o@7{ND?JI{WFJwz1WDF)jtcmdk@oAS>xDSDaSo)l}p zy96$~fKtM`Np)eKV1cKpMtro46R!0K63+7V{S&Tn&r1t{M|Ho>;ei0siO7X8mU{yM zZSAqcne$SY!2YB%PU!%>IB4G)2ZOKZD+UQ8Fke|-`1;FTQKa54r-{#D<{W|J_PuB5 zoD2i5PLTiMTfOGoB2SqeIs`qw#!?!kZx_QFLS$pj)5^O*-Vr7PrJrA!F+~0_;atW_&ph0gL zqPM8FpN~$ZrKf*^@g%BiR_ZATNn@9oa3cF2uk3nHsLt35%lv6p9(SezFuoI%FKxjUCV*Sq~PIX z#BxNe8`(q1*anML-bT1zXT!|zn(hDQ=&KFB{d<^xpi5jXkA~a~_IWtMU~oMQe_VNi z$I(=Mn?~og{HI4jgr1K0V<@7)Hg&=@#D=Y~SWVxpkDv7h_ zvbvwe!Y3Q?>t%0uCMg`J7vsu9;mw;;jHx1N63A8!4D8~eR3{-eoZ;dSdd9FkAlaX7 zI{J77mcs5~UqziHhvDBZOw^Nm_#y3t!}sT;Nd{Y6-SzLE*5TR}tl&Y}8>r+dIc~ znq979nIf^II9fapX*eJ-`HT7;ECXoGK0Ovg2a^AL2N_It534byV5n5o%ck0KOO|HF zp99ZAlghtz6Cp$va9~&d!1-5#dQ|S)G@2X4XDC2Jy#S=gnPVv*2K***VgrYW!o1P1 zGWz2Hs|w&jfjbWg?sDPH#YpVin+X@!*8_*rzai~C!3cLV^Eg;Ev}+x{fRU5>xS*JF zPdDDKc#>-OHzqHnVC{Z=VHz&hfGT}vH+|n2Oza(5TsaYZe(8uN;Uz(5*|1*nW|4-b z`{3;L3@y3tWgQL1AE@zP0w~uHD}S6I_FB1nXNm7f1pZ>!03w9L)o$!g%Jnm%!L^&z zPEPG{j<+|BHyvdQ%SeDJU+S0S*L+epCP(SYCkl1t$!kai*-~y#A*H_?La2q2W1n#y zG%O`H>V==yKk04^44KqY!N^$m?-=1LJG&sLfXX z7Nzzrp5DsCpL;eM`6NON_t6!}n!nMlRRp>37GK@U1x^o5bv;T0Un#%l83tsN7A{B8 z@8RY?ie)%zr0N38D?;}14T(o;MlwvR7dyAABYeg0NZ>HVo^v9%T=*qDQflbC`?8{w z+d$C|QVNV}6+}c!CkvpPtEH*qe^LN5J48+nGB&dRB!q-mWn2O7&Yy1ybyi7B4_k9f zH5qYM4R2@5|0!WrXVq{ubpkq@x>`D!dy9y$s{AMAM#jng*@hacqNRncsW`w(pY1ct z$Hl?I#m2_Q!9~W+&tu4{W(fqiyP8`9$v8RxTl>H5s+d|^vPuA)+&-K6EaCc3iHOMm z#f|qf|G&6NdAZ4`yP3LKe&T1!_W3Y3C1Yom5F=w3`S10AVfg-E7-?GvH%nJmX@^fR zNlSBpg(a(krIWRr4H*{~Cnvv%$p4bUD|?|^zLr@yj+$p1v=@i+$hQ@5tB8zu-Fun8 z8=T0~F~jFMa}oT}^CP|)2m9q9Eni=-a)!=g6HtpqA@wtfPW9LOnf>EhiFeMFasPeb z$IHj-S>Q7$-1y_^qkG4nAl&rj{-b-y_+$JY^l|en`aW&kzkGf65x@+3UpD@@Up}UO zmwB-kZZ!^kdCQEeHOC7)6n&}pn_LE+bp<*eJ)MExL_zQKwI9`(?@>cSfyCprj~_2R zev0$2_Bkww^N$IAURB2HZG{A&KuGw4?RXpH;bpE|5ctG?o2HQ6!FXS8hdlAkp5Whi zs-Tlsg4XD84B^!N0Kj@uuPYGToo+PYm1~shC&j@Vh{S!)^^g$`pj!jHCx@83j6^tA}JyAg~}>eJq`=QN-Gq8eUqoP2kBNT z-F~eU`;n$A6ki?E1;)^4=0Q4oSy7eg7AIqgj4!vI5wkTfE{Z!&zm`k`KPYC{$Esf5 zo0&wqP@JC6di)(ti`PwZHp@uNA#;)7Zg;0qZ-d#EO8V6X&j<*~^8U7nDL`d9dM$?q z!H^_u9^H>y)ey1%t6XhSosW4lYEkzmC3SZ5!gsy2gjqeJey$GU?%)}tQpdd4V*pCBtuYrG{prjEp&IvvtU{?;dFF39Ckk3wfYj1? zJ)QK{Z-s7`F7%+kAz?GcQn;J%)L=GQ%{mJ5FF)nx;%1?0UJmb33hCK~5MBeoDxs#a z`%?Cv@qJcTVZG`U`yK|CeZExgLhR~PmI3MoJ@h{qj_S@SNv=`OyXo-2Wl>UlxK z&H)$0x>Q0#v%Z08k;cbOcV2)m`tm1B%{69JjgbHr6X5q*^nBvJ9x&V=RS_%lq zB19y7bKyZPEJiLu#vfLULA+T%>o#R^FFckttBsUD;OC8MbUzG+j!CV=$GL~&&uG+* zHZt&_(`)6v1>rxq@M{z+#AHjmi+bXxKt{K!vcRT|x7K0MMM7l6HK*!=SU_cJhMOmD zWREP%Rc=MzGMhNt1@z6d5Kk)M1jp%yCMIh7Joy?BzDb!?gDk@}3{$^d%GZVxm=^PO ziv=Z?Ov}eARcw?8e~ToBzYpXhYEb91A*f-bVHX$0p%T};NFC}C*Pbn2H@;*nyFO*g zELoz^nr|LmlQEcR>ZDD6I4^p3Gq6`dR@=92%U8*<9DFLH5>|OEQsqmBBLOT&W`=RB z#{Qs~CjV}hQoyXHRcR+kH_!gMiC~z>Uh`j=!pM#rK{-k1M(2~#+`w)Yy0-r`5Gc~A zoEI)>KBovmPoC5*%~KjlVE+Z`&J;(%0^;(bO zCEWz;U)lhy=A8+RswvvT&uZ)~=It?#4nGawoD2!)qS2I#w{@{go6~zK4Gq987c8NZ z!6nh-NpaP)J^_@LRkOks9C`wxzkD>ZCgA|^B+VHQ^{9M@gk@&;Qt#)9ESHS4iQ^{qhqZ_JB!>d*l9o0wZLoXosA+1FQVv+$i7p2*{DS`vN?`C^12xrvmcJQF=SUoA%JJeBl|dDw*r*su%PE7Xw`*hy`_ zvDxUK79EntF8)O3KN7ITKP_f`G6)2)Oo4k=n+3h)U0%J7jI*Of&e=nB{_DWnP34k-F zCb&8^+u)|iv(Dw6HCM?&7LFjxrO1C%|E>;m%BMoe<|JSJ5jJoN!80^a55m((0@2Prl7#2DoY8)+}yvQ|R9Xa$kL?L^JL~N6N)D?$a5*+uW6R zxWyy+gZ;kF9VSAS#%pMC8X!l<0xSc z>389ZNqowE>R;s}cEBOnzOVzeGx0tQ(Yh@>U=sBW$H?%5nnQfTNZ3k)V8SY{N19A0 zB)&Xn7yNH;O{;rNv*ONY-Ct!&*DPydD8y6Di&1B=A%P%p2Ru-GbBVYH-^YZ({?cqN+*L-vh! zXm_tsV>`o@8>i_tgbgClqAEIOkK*?a;~J5_d(ty!(r2HQvAEHRCkP2GXQendXIh-s zxz((-Nck}rMwReG{t2e5wIob&m{&j)+gBrplIVnjAAQsttVNMXO}oxPXQoM1ukA){s$LG4?Pthw;pKlwaEAT=^GWvLx~TOYmlMv-Tl!yCSt zXF7I9d5~n$I?Cj=80A(hu(OimfX{ryoFl!aA%&Ipn8(~8^o>r{_zm@x_*r0nIJGBE ze;sd+#C=g){^;HE>z zGxP&g3*@^rpm@Y}|4YxX5zIxWm9)~wp146nm!t_GX*D8mwPLqWt660_iMUF0Av#0p zefPMW#`|ioaX$ShbF{GVNI)~x0Whs9sgFwW@(;oBNnj^XsfJz=d`*N zxOX(Z;`x&!zq-V3@u{C3?m=niT&>rvTX(4!Lt2imA>PY8?MvB?oNxXnFf2X(Nzz|F zI)v%k=K}byOT<^ta&{|t7ry4n|D|O70kg8s zKb=*W0e(RoNM=Mtx9Aj@0!hDVL zJhv{%AjTfja#S^nl9~Ie=$nI<$MVm=52*D~Izxr?_30x&hM09(yvD;{AP-t-{5F6J z+F_wr?N@W?i2W^&7en@v3$fGW^-l}|Vy$OE*K_?bwyAyqY`iEfe-xo+*lY@c-mJ=2 z>Ibc9;r<=a^Phd9@Ht!iZxnPw`>Ht!N^inlLQFiudBv?WA!LmvVnI!O3b(m={M#2< z+>|h}HfHIdRUY50Mi^YfHWp&wttAoAumJu<$1CGy(P``U3d8CbNWU83seZO*iGpPud z#Dh3<^iI_QfCl^(MfWitD0$s*S{e6y4w^i`vlFxy|L9+@KVw{rMUWVtn`cX7;8QFN ziiAGU8yZB`PFJw>c1z&8je|Fp4qiRb##>3Cykx27JyK^q-~&6it%em|BFPk#DR4&P zFEanSOpyC?L}8IXpFOyS%9pVIGp#|z1GOiAT75{cPR6B)hivz}_^%Lc(yE8gQO~Cy zjY&Teo5NP5@eO48-d=il@Bo2Z$_P;2cqTgjQqw&Ax5vNmEV+!_+i|FK6a&3&Jg&cL z1mc2N7|--qd|w2+Bs2!PJ0jid8B!U*R$kBfb>C9!=#fSP$MY?tB^^ubyjpHAL}ciB ztc_ae)>Y#-6NSj^o8UNmsN=dsJkDRUXQ`#UzRhQr+Lztj$T2|I7^_N4fFs1?PaB4K zRL6)@Tu6_TTn*~RNw#INg9JJ-C%ryv z+S8IGs3J=nVNOu{x15E#R8O!dqKiP?hhO`eYQ%V! zhmXtmVA_vhDEZz(wtLyl09fA)6vBKiIKliwl(@}gq%j33`)T`=zw>xc`jb5w-s9H` zPd~?G&=vrSP!{0+M+)kf{T&gsAj)KEUJcu-`HQfHPB(wekH;@};!e&P9&YV=TOXK;yn89=OgfPicB~Ccq zY!G+H{yu~$U|p6tDwTT5-%f+30I?9SlSvL}0PAT-QCUi%&sP~%g&s2&_He7Z>Jdew z1%6l!6^zfFaY%>k2@B?Mnb}dbySq5LIJoH&igc} zpr94iiHWvcnL5#9oY;2|5?%5&*yU!l_Jc&T&@^FGe!U7^b}G4ui}}y?Me##``Rwv1 zid~R`-1zCwa3ZveE%klZF{n-!;z(k7d(GvgMsbukN=gL2*|af9zXfx2LT9K4mgS8( zBr>ZWBZ@`PO$Z7MU>t@0Tnl!4>|nww`Kn=-y;tS%VlA`JTV?%^t9fl{+#G-`v7zg{{!JJQ5eo1R~mYIh0^!@rzCHr zcq#1unT3)|#qlB!i2JRx6Fc>}mup%_^->6m1n2G+s_>gd-0AEkgy|jX>`#Ocm>yyh z;Fs$=(58y(Q-#_jP|c}>fRPehzmgz?my!NvTX@$DoGI_kGCUR^Duj$%aaBvB0C&8rM0}b( zFMZ6NElILQs7EG){hif}VC1t@bGwAsw2_=7%goiR5@hJF7BO!Bprp3d(Scnk-{l~lx zkx%mI6=i1V5=G<{ZMdEG+;@Bz9smy-p+V0w3!#2bJ}3Apkzo}1N|b1|;@e(b3}pBs zZTdLE0%q>1rsIXXKJwh&Ee8`Jh00iVBpj)tVYZB%@x}P!;ke=1b#ypIrwn^b4wHX; zi`f5CQt&yCO04NyV$Z5SjlJyBSH_-oPs>oGUJt$$$z!za@-JMd$^Uln)JC@D{qJoQ zV&lj(^e#Kc#nWIdBf~&bjS`h!Jaf=~8g}Wnj_i+M=J|~t0kRMCYuD=J)w6fmLiMU) z43UydheLP5=xiURPi8a0ybxj0ru2=0$~mRjKWgztDdBGm0d&Q)Ax(=oJJVpjcJj>L zp|_c%!a=tK0d_K?d0)f5BBReZ zTj%(3=CRCwYz)5l3sE%k2qH?;BvODqqKOG_ufHE-<9{WW^pdI|@1h{Lam7hGOyK&| z=36>fxy2XdmS||VW>HgLxVziS8a5JE-nLi;;xDkQg_TVmG2_t95%rFcteK!_+Qc;J zVX(+L0kkgTTX|b8$4)SA*EqJdsMj0F;$9!EVh>|tz7Kh{9-7#&3{M@()@sN6yW`=? zG)gdjRr%>?E4c97Q2cko`)?L`9Wh-5`Vj=qID9T0%H<)4i;)fDXbETjN=CWav6V>P-Rv(3$RhVP_2%H^Sg_Wic zs~Qb~@ZFqZhe=J^h?;TL{l0}HtNznMsa5TnTpWKvo{s)ALNoM69@jDt`=z=0=Asdy zhmvpCA+xeV)T4F97`w zsj{Kww!V!CSIJ|ad=+*FmDKwm{J!vK+86^Z1q)bz9{%JR(p8HWnTMO;!wew*?Q$*e z+sB#|@*+P{fi_zgDJj3do`POkJ&Sobur`$UY#FE1rv(^0%@(JLu{Tw$B&j-i*mO1l zb?hQ&LNKn2&650|GYLUC#Xd~_+vbcpgtJ+j{;O5_D1VM&$x-8i@U9%7-wg=ql`dNg zU4ooLP3fzjWwRKOZc0xI;-nsb6?(zqa&g1`LALc79HbTeA{e(BsB8a7K|#+$avxkI zr>%&%L@)yQ8L%WUOcd34DmR>P^xKEv2@en<6jheUYBAYPYYnDU-mVvy1Fw93(MWxchoW>ehCju`i>jE_PUKo>8)y`d34uLYCjc9}5*u zPmi39vvVGx$62w>0hG21i5A8Xp*gu%u-W=W-Cv1~)Hkh$aHh^yb}=;Bb;4~@oRPj`BB!U9zo&{W&6Jd4VMN`) zy>Q4VWWP4584t@tBcG6G4O7{j@tH37*CmXb!rdRUAcJ&y?;+67O@8R_m!(IP~Q?5QBlb=>(MMQt9yoPBd-Db<2ZIi zIgEsOa+{LRlSTh{dlPH=t69KAK722Uh7DU<`*TG zt8O}DH;2s)XXW2AH1dB}TFl}+@+O6}FPPccT1Pmv?3?s8@qeR^&ldq%e#fIrhnR(Z!&D_ct@pcJG{nKZ0)RZENrxNxvRiobA;4IIC zo71!O)_(=P9T`8g4o#LnSGsuRz7c#eHxEYfopn&dYVdOr+& zls#-&@YJbaWYJ;`pLn-3ezW=+(Zyns{_kdzFh70~@Bl5LJ^wVzU707W?*hB0?Q@dF zeo4&JOA4`13vd(B6qdgmC!gn$+Jm(7jYJvY%`2+u59;~z&6o17{<+#X8ttWqpmHlS z$NA1-`O5e{xy^Plp$@_iSbAH(cJZ#UYqp+xcDcB|U)(}zlocz6y*;px8@@8w^9+ui zDLu7D%C=YRAyVH`S5N#C(UX^WsHcyYG|dT;@SGz(V=Sm8Ug z2h@5VD?R_gi{5=_cHTO25dvL@g@-ibQqH zqX$>ddmPK0p>9PA`T&vI=`4TFh&xo@SIBS(2b}555hLsUMzd@Ps7MS9r5}$V`Eu_6 zNfp2R!5`mJY4(2R`&Y%1zzv=zO-%3K=>=`&3!b1&na(B@#@De&g>B>IKc+W)MnT}r z-L%ILY|l&9nXvzHr8X#&ayM+(^|Y%RcsCFD$~mx!CS0bMU?4p3P7u8OY0>#oergnr zV2nUgnCJfZCO?Ai`voPk5<4eYf=_@_7<^f00 z+nIb=!7RvtY$Iq6IivQTF050td`?OIn#~m2x!!uW=+N#9StdtS)Ws_=LovK+M^$6SvbPtP7JVc-s_Vb3k8n7tOs|XuS-393`FoL za}s0yEzZ2(0EY3N;;8*}yfh#*6MPOtD?b;dS=1EeE#!my*Ev#Wnis@Th2PvX_E^#z zc7QtY6^(-+B!`Rq1XC@P88FZd{76@^zC^KI6flsVt*=p~#2pVVyF966hEf`o&Ie=Z zGyN*QikG!~tMB0)4wpogUh=jIwBAG)ynDWgyo%x(aT`KU?ZlJcn6p-2sWZQ`u_|*uf$T(<4EJG9In?2uAq!sgWT_^M;mmm=FKKs^Io<*? zG^x#c&xzJ+TTFFV5pJK}va{n}u7F2Sw$`3F{=}EB24}uuN=p>5cL%Hsa0^Os`hR0W z)L`=aCQ*kFKN+VVD{{PcNrH*TnW~X!kj<*T#5&~wyLXkJClTjXkKf1|8^{l~YJZi- zM*2|e6!<#>#H`?cPXFy9*Q&@S;UVoitUA*AZ;1QnT9{~yBv|Jt)GyZ0VdJ?+WbJ`) z?_~^yT#(06mPpvUau&|lW(C(Uu(6hNjkSZ+H#QR%?B0&{vW7=QjJSG|@Lowi@3JJ_ zO6Jn=)7};(iW{Gd@eAh&_h9uDsew9?^?8hLAd~&i{oaP0k=${QCoNwl@BWYPrPe>< zai+AKlpd|tFf?3uyCijtWvdjYnp1!FL!`7iGG;$KW8;go1w<7`J>}Q?==Sfo4=OuI zk8b%sYc_`ur2dPp&v=uqo&37Xc@r?RC~s&hWhHi6{q-l`#OirT&>Oo62tu&0xrfCDp=b#KPM^z#fDR?wFN@0cDC_cNv^F^ z{hi>8wcUtTB)WKsVfW@Y5V8YiW> zv$f107*X={#p8WrhrviOp97Sd;Z~-wa+H~Nl~QBGht5#e!t)5%FbpqwVN*OmjF8`r zuXo3d z9thT+?&YLFxsDm(D5dpz>~Zwk!S-fKkkR>Wxo31k5Z6VChCnGvB18STaf~NsNqhb- zg=|q+^RW*SbxhQWQ_LmAQ^Xv}9@gAWj0mj{GjS|zDOtXU6|#FJ|M6npaP^xc06SzF_fF_H(8Ix&he%-Lxw*5xzE!m=JNIlWEMtD=FKR`8QNOA|| zREQw^aE2<>6n_lkAYJjWQ$pqNKH#80b-Ca(VmEecwbA2s1$Ek_!>wYx7bW0h|N~98fHijIfu1Kpr15i=kPqDlhXx5M%4U{f8 zGL!o7i6CL%*C41|OE-SYMqO@i@~`%BwPw(#@odv8vwy_jvW=pNqiXOhvS*6qrCoWkmanO;Q~+yC|CI7WpH z`{`K2@8wN4kZ&Agns<;KY5S1=qMVuk?o=l+TX1eFlJ0k$I8X$xj9J{VplKqjkvhod zb;&`HKjb|6IY-~bnRi?SJ1)3fem(e^CY$qWW3_h{d4+TWe2;qX<{H&o9|GGxVcb3+ z!sp`Ds9qJ0%JafST*c?CqWczFmd8ulJVIH&B!n!x%{4sfTEG;>SL(Mb2cLbG_H#0$ zcVfqizGa}E-W|@1iNIYgi~#zomlD`1u-E2Hy7$0(X*9AAjw)k+INP557<3Ny;9H8R z8dYjV7O#F%tMb6!JK5jxdzimime~(cS2^P1ZV__D#VHwoBhDV^p^Vq_8n1=}?UIXw z)1yddDo%fHxLdw22-(4hD>LE{zjd9D3ujk4)o9ht*)v%+jmCVL62I zJF%1XkP;i2vxZZ#gPD8oCXQDV-l_3D1NA!|U#6r2@|^@HdYM+=n1=mVvOHuU@>e^i z62?)y7RZ+)H`ksT-zMl%$EPIh!pR^ICateep2Ls&!0_`_`GD;sG>%n1^=uGGWCW{p z=Buwi);J;(Z-i@jQzqpm6hxTTnhqHXMIg41oQMm0^ zafWkEFE5C(U>$u+ukglsE&B?(O$we&+sxDU{<-qt{qW5w_8mwi>fBnyyc z9$QSRNTZ&@p1B!IMDpVp&WD99GP+;T(Zi+(vV~a?-SM5Bg;J=cWa=#A6EjF)Lada~ z^*@y>pu0-mo@cG$YraRX%C2WTmrdB)qh9G?+g$cHr~pZ=){uVEwyo-pH&xK#p{jwr z^*x^c%pr&TE7Os-0RH)7YCAb$B4MVL19DY6%KrTh3{}>$0v$8ODE6SRvZRta*hmw3 zax9EBifZVH%2^k$OcSA1E~&~z{P`fcaV{s2K{BiQsID)nn%RA*NTl8V`K!5$Z=+(| zlFL{xoP(=U9KZN%4!wkxghgk#Izv;!l;Hc$4K7g6JCmi)*3FvBaNo@*iW!PNl!N0| z0zxgsthlP~w?cqnyI5TcbkZqMlcb@P!K zJ(&}~Lkhv+TKcd%3Ibl&Hx+p3V8^Zig5|@Nl7~Li=pT~!BWlyQNfFj{ zjL|Luti#BComd%^CEc#4Un97R7Ac8U9F?r-JHC00kmE*1qw-J4yJw$M_3>HqJ=W($w;Rg_Lk6^gP zMt5i3E|6w}m}LmEXPE^o^6FFlNP{Fy)fK@ELA2#qj)^bK zaGu*DBaJa5nB(7u$19Bm1(4Yevu)|T9@58H5^sVB#Yc`kIa#(E z3g`AF^RB-SUV+|xm_5E-WHLTR<(&0Ai^yGjvOQ%b{JkTFNyJm$CV@$QeBxb%3Y`9K z<^38EH3)hqTL%fLtr)jN4W#u!W&9(DtFU%>7wcrYbc&I0V;zE(HGr`LT^PSy#ElBP zWKg`ec<9nT^xw+~Wz%Cm5-YrGCA7JhC&-pY8SFi5fB!u8ekzAqO*hNs`B!9)OShF< zOBE#V_+!#K(`ws~h>)33G&6jJ;9rli#N?i6x1fQjqdGFE+VUo!-M`^6hyn4j`}Z(< zM&oe8z`1aU0{EQ0O!6IHGiLAc4KpI}O{@W}Y0P0bkj1Q!+8Y^X-vHb!mfJFB%=;c| zW12kYml{hT`j#Bu@E6N$DEtk2geO4Bnl}>{s}p#ibeOEb;<@Zw2&+IgFXX3U{%=mM zA9h4$bp?x&ryvp~!PclF`~zeK{7(!MyvDa+KMy*>aJ=wETSPOKRKo|GA1@fnTNRwc zSN3#SZ|K9iBK&?NvWWMk^^f{uJfyvdVUrPj>`~i+jEnG+%?g;FVt!n{SeMW!KX`i= zKi+62lpg|pCGUo;K4_6SJqyAnTLQKwz%D%*8RUZ#=W*qBR*!}g3VET})M3w!+>PGZ zdYqiUGw}mHsx{2*CSs^ALo_&(o%0sbyA&u+)C+4)fa(P8hhNk4ilmc51NyB~r{|+(-BU$reI zca$l9d$R0_WgYG-k7Fa2CL=roQvJ64s%6lXQOpEcbnY=$=llyD)ivQHD;2XORbS}9 z7wHn89i)Y1Dm_&N>#IHgZ`RuKzH>^tkm&yb#iUl$V6oD)eba&oIk)T-epQ9m)0mc}%d zw1A>CXTE-DcS*nQWN+|X6*0BQh*5vA3@!?rDE*HulTuC01V^;vQEuL2qL=E(Ckuoy zC82vScuV-g9Fx+ETSg^2aHVZ-3TW_mRt;}XOid>>{IwbN6GiJs-X<${R)~&Yl31z#P$t%@^M6%?YqrzBRx#3I0MT(@576Z%Q&hvDd0w0>FPP)lB`oR~Id6R16 zOqvI1k>gMx7AL1YXp-BkB>m9`p0EbiUf-N^`RVa+3;!lYG}r%vIFzclM`@f@0AhpHvTwYQ=IW?HKdx>=M~Y61)` zTk+|ClZC!XW4=y$kaM)kOq6-DWvo+Ly$Uk$f9BRa+QQ-Hxnz3bSpWnCMKyk4iPm4rIz2)Y+;1Kdjvf z@^e**Tl;29vM8#f2OeHEVAP&rz|v*m*H7s@aKnIUT`2Ua?gX_UB>x@LQ`E~CQ>4AV z@h<)_wh)#oz#eq}N3#XmVEyX5r*Rp8zK-J+ZCvFhLt^>O;S3CyhRxkY`C*u}DqQPG z@K2*I0R^q5hdfY$Z)w#RRq;xec6SpXUT)!`T<0y*0Z$sRZ%0iu@_;r%*;h%5SpJWe zD)W@sTXw|>-3|d7V;9HU#vs*66#)ryS8&d@nPNllf^?moK)RxCxNvcJJ5i}}W=ECz zQEO%<$)rdr2U?36pF3t&6O#QjYw~yTfVnV@`I&}0N%0O8@636n2 zHcCSeKd@nl=fNkiXr^=eoM_^;58-iQ`CFVDX3N54s&m)Yb=(~~30%Azc3+g=N01x0 z5u44Cki?qU@fG<;lhS*{=KJ-TFaskw*=u}aL{;i~7o}wA2gV%#tC`JASE1Y!B~V?k zucolIk*w#^NBIh@7^1!HvuH2UTtbk02DK{9dv&Ww3 z7=6}ZpFe9XSnIZ50P!~f--0*0ECf3kosLFq-v#R!%6v#vg$$lGfN<}s&+bi+2Hs8^ z9j^g=g^pz$da!?~(se-z)5=!1vWKvq;>@Njp1&{%;E?SmMG4}Op>H992Q3Wjp-OU3 zs3l>AN=(t7Hg=L!d)RL@m>4?VbW9~wqfsgBSkCBSKs)SL{b~?ouC2fs!)-85rM+bR!Q@sXpk?8RsH)Vdk;kq71N**kITz{`w2vY!P2p?1feTOB3>Z-_+M&`bV6q#_n`s z3G4~2=~x}mYU~)^yv6iTmB#Ess&HaCp55vZd8sgui@BUuna3=@bv_c%!sML{_mW|} z#yIJhiSemr_H;DXiiu;TLq!-dDh@7_mD&u4Cdd>m%bh z@U=2*#=pTo_)g>Ge_B522fmdMM+Ie79#5lo*9ZE{KMD)kC|~({Q2z~5U30p!!QjRI zRluECH-PC$VQs`&WmdWkr9q$Pt#~4TKHX&{rAZ~uuA)#7a+ekUtT#+5_V+!taIDKx z^s=DAT*K7T!{G<|>dg|?Xx-sR;h*_h)9N4A3$+ZT5KCgJAriFZT8T-ViQTJ7PjKQ& z0nF=%^&QdH9Z+Vx`u{5IJgkcHL)Uu_Dt)926&~{{!1YeEO*sCv^4ca`5i9e#VU;y_ zD>XBJMx_ugNmJ`Mf0RN(5{CYbM@OY9_NXY~|BsW{$rnT&x(VRrB_T>AneNSfy^}W# z+p)c>dAxvUb4VQ!uv+k3fIEdcYpGuim^8gk2gpC!6a z-Xa*!{%ZUgyV3^z%%5psOJ+>7%pCx+P%A%yKgAQ4*?1&Mwcl-e^I%m`tyt^}!{PcY zPA-H*-s(g!E@^Y6MZghvTxaTtjbEa6EcFpnRGd>kky|tCw~-={_nNBO=C%)3@ldj- z7gXj~Je`?MPZn@%dKgd*(t;eDWB0<{;n`CilbKAQc)G;`(eHV6+-_Q9P_n3Mj~`az zR9q0_ts{+*atQdnGnb^vQahv-YB$FS5(AL)Tl6{dEjMp_5|F2?*W(0pUb)aISbd&~ zHR6uLTr-(g<$qS~W*PX4^tU}79u8X9ZIqYmmjZiC6*@r)z;j~krY{5GJE%yBt7!9! zE%p8>R@aT4kSoDmAd4^KJIiESI*s7jrhO`duw^gK}jr`ZJ=I~54zZ@!Y?41#dtTWXB(G@VXQi>r*r2J7Qj z^hEBf-K&bfuEqeGn@zHdG2irCupO!>F4QtxM4suCpt9*KY)p3L!%v$>`eN5d`d`3D z$Oi`KmKVM9XM+yYo8-j7VnS)qdAE9@i&wjy+Z+_X2>$KRImtMwbRbZ|jrpy-$NQ$v z2U-aw;d&+;!)wkk!F%x13_*D_APu{Ln8+P>=FKUzi&ExRU`0YJ%h=yg_u|CJBdPxF zb`74;W1*214-<&<98yofm7ayRIGodk;~By5fNg}mX6i_b=`R*PHIfZ2z?e{ciN#mC zU*?u}H*jpUR(}cH*Lr2&Mh9AIB6n;5idIWo|K7NSK1f9;TT8rdzp#9u?N=EOJsW8* zd#A*n=SoE9o?9QoBzxt+vzFy-AQg@%bJ_E4Dp8QDY%22M&w$@`nv|V;cvq74VEA6k zmlJZs&a_7~z^3D|aX_3$z8_HpM26&dl#9)aawi`I@3Q`WG;2UW>?1%)IW9(C!fQM$ zpAmEER;~X2?4ZRo!AM?)eR?t>r&D+bRegWX$7rD9kwZgBgl;=5rJECRk& zU~B2vr(=UjT@bq2`&^$1GFh(2#GnOIo8fO{?Q#kQXe{8*sAp^wku1DCu{8qJF?pk8 z=1ci4@o7Ys_R?b2*n|TMdWIjr%GsO))q$md;x{f~%-X|q0-CVx(tdm!dvzrr4?$TQ zflBwoEuUgF+!(dLMz=YPbHitF5Pf60Ezpn{YOZJNe9el+1`zv7mNXeZouEuWHLxZX z7e{h04xVCD6|@cZhGRk^K@+~prQ4bO*e+E;c94Al;o*_tH6Qb%O;_X0)bbxlttQu*dc^Q_D z(Mk-N6xD(Ylo3!ArK7%m!8W`czgq9R zZ@sC)<5D4jKIN-6Ve!{Ew^jBV(#!vdQ-NH&Y{h~92j)N-zaruFHp(ME;~nWF92pyx zOzeujBRzzfu|YD-&v-|=8V?y8ccdMJ{vPsA>*Rmf?N8s$sjP&6@IOW#vf7qADntRwogr9a#&tLuAIrJJi{+a3{^% zly)1Ee?s2I?Vmn+RG+*fhdB`UTXQ0;yOX3~*#7TZCml+$=8h7Os z&Tq&^IFl-}7p8TO9>$D;cjPmiTdMz#oT=@9cg}y`kj428`8Q;2OndA$DPzMB3&1Lq z!H{h@OSVHrGG#->kT>C^r;=J>z?i8fk$y4lvC*fT4MS`{oXE6KehXwRH2I;&N;o#4 zO3sEse$6y%SP@8pVv=<7SL3>JHl&OtkMRh3oViem*!=&y)E8$EB>4I@&U>Sr6=#)9 zfx~%p@wN+)&$(nx7;?@>$tIZAcw7?s2K9IDzNrxM7MyUCHDUi{8&t}Fz}F>f!fDzS z280Z;H$!T%(RENs+w1F7O`9<2XwoJsvNnYz=;*<+uCxi%RB01LdeSDORHRL?zDS$k zCp~EsDulEN(M4K<5Tq_pH%gnJa*zVydOK>8gll%c0p6NSn=s_aM2aBnZRXcji8+vt zya_2Cc@si9@+PD%oZ1Fqk+Y1)-7^fgmU*VPQ@ki4)St#L)_@Iua*T2#FIyIua*T z8zfFtGLH{|un-d`gdlZ+`eS|rn}&%K=zYwR@bU3DZ^&NrCTLB{o1j#MIS}M6s2GwP zM45RLVvj5d*02I01WHLzrcK=S)7Lggo8X|*lQtnLI|mh128jtmkh~xnm^MLcM-l`F zPh?3@H|4i?Po_<9=p@-H6IcW3$eS=JrzUSg$n(4j=?Zxh(hRZ^gdlT4x?7pVN)@rH;6RzCe&p!O@ewZze5O=k)TYQxa+6Se3&*N1W6Jk+>&s%Orf%v1{)*j=Tx>2INhM?!@i^WaMmxLd=_>TgMa#_r3O- zB_VUGh%H=7;shNu(w2J0GFygDGkZaLXX*r&J4%BvWI0Qwgc`H}(dLr|0$2tpOU-01 z;5O#BN|Zf8U%wQ~alMdE!zF)-f$WL9V11qgvnPafWKW1UvL|T#J=qf?v)tW! zW+wumix++=?4{Q*WgT{;2^|A2M$6^hM-+DV}gT_aws?mm0~#v71B8ffqfMMtE5(} zKL3t66hb<3D8w5%6jI=X#WFtW$e|Dwhmu7BcdC;+cp-~I$Vvwb4YA&) zl#)jQ_fA;~6F|U9KaOg4~%&K{Cvw&^J5#3cl&cq=2iXObX0W%A^osWKvWlGbvOInG_YZ*O8{Q_v1bm$p)PLtm<&kj$o#i4EMzf{7vLK_-U02$>kuDP&@RWMV1?6BB8e z*q|tNtLTrMFyaenwvjc|7tqS>6mQ5!?g|~^!D3LoI8PIA?MTjV$VWNrepK+$Ev0YB zM>s>139|q873(swD zO3l1I1%&5VwvzeFAV2%bDpq7#YpEjWg&Y%=@htQ0s&d@Oapc8r&`tmkiCi{xMwv{l zAC~Mbr^ivg3zB<%Pv}mY->|!^ctBvfRzQ9>0Ea0I@oRaP6*vDEWY5cdS#0ywuYI)n zh5*iK1hQFX&4pw-w8c-3gNHDjkhx=1fei6Gc1y7}uFEZ?ulf&XVSf=?{5`004Q4?g z2#QJA)jS~Rwl1-@k;+9%g$;23lQwE%3Wkc=lPaYQEj`>2Eisk#|) zQ80Bg=6=OE-vhWpo(2BG5FqWX$c-P z=u+4ZZ^K@y5li>+q~nN4Ro6eiO_`T?zDd);pD5g%?EA>KyR}nAV=+N z7m)YXqO%#2`O#<_LhNj%Savp1PQxH`^)*(pBI?FbOrnN4r8fGSX5Khpfz#I0Wl?Cw zl{`e2#?yOPo5km#mW&~twVB-|tz;&D1+J=ZDjhT$MmI>J_stV71DLk(yBZ`cg|}7G znkL@mO~J$;$ecT5DmLTdJ(8Zk(k{S#{-O~?1q%>DL=lNO^J2c6b~;;Tz$LX9P%h;8 zwFo3LazH_Cc%LK@US>%lbmJv#eSnjKp;Px{Qa2Te?m6&c6bzlZr?bcf_CUst+q~`6 zL|o))%j+1L@-&5=KJHW3Rf8$6#6(?emykR?(WS3AmhoG?HR)D;y(3G< zH9;(N`PlQ^u$piq3cr~;ZqK3Iqn{A0nuz!AJ5>>GeXZY!8=G0bcLa-po*py!G^ieP zx#+Pe+*4m$dG3vGmdJO5Vo)22y+Fd~5t>ywUIZ``aHO632Qq?#e=hFCHCGPp*1m;xN^3_H%lH8?6Lo=kjSEIDd3=C!5-CakiHly_wOdVC)w=nm%>Cz)V$l^lDO zcTusGT~S^l#jm)Kn7-5<1}zbKG{V95R zB4yOE-4ZUjg7=yuL8P5oYSeS9 zLg1vqOHyneT2Qwy*F4&R<$1axW)v!g&4h9lWExlH4nb8zA-F)D#dch%1X z6EcUoR6ilp+8Wo4ZFbO|^8}-K&$u{`^_$c4FV+P}f~r_5LzJPenPG@l$sdX5&E^+3 z`P@PfPkKi_5n-B#^Om> zUOo`d`wIbiUB;is^XAJhilEk(I}V1#wdcr(HzW?0V^vs@SOUIO45!ZxS@K24SOXg3 z`45)Y?+*4ntUT%-`z9}gDqz3kRJIB&JZBHW@y4K-zYL07Pf*2*KnxU|amF`Nn)=_NxWhS+Rgtb2&(6opWSDuni0V;4yP$~=*C zihNRw%2>^pCL-p-Gby^t7 z&a?ggMZ|9Dd>TKNLI>Yq`(0{`BxZiY{MsJE^N|OtNjQm;dVi^Dx$p&R9*OpIty~?XjO35`Mgz1rYmL zDVF`LP=-~2yLuX{C}b{Ocpe%_VM_DUn@yyOiK7(wOg+67g~m*^5He)`LsxAOF{*?g zhhtc1I&&YpBH?XQc^6CdO>dVANjIMT?t;|s+;uBR8VZZSmPlE074|~HyJo2~J#rC7 zn)6HCPv6HXBSV9P7$_!DLHbQYeXWy$oZlKwZ0LC!J{Fms!wG5=NHKO3Z)n?V%Zrd` z?KWJ7tAl})ktV4}NcK>c(!dGQ5=-1AXw>kbpnl=gMaFhzVrU9vd44WK`kH)+T-825 z&xR72+5N$)_BppjgiL9u3JAkx@7GGuS-8nF-$Bdcq@G}F5M8#~2zcFf%_I74I= zd7SK^+H84NLkI#?s^2@ZJwMOEK9YBim0r^m#8Ulm=a_1PhuMY9ad#B0oms9NO_{LD z3Eh%fr)EgGkjRRsXF%iy@1c~+;k{6f834>BLRRlhs6O|NaV{axqfcVZg*CM|mKiI) z8S>0$kLh29Y(_1Fhtpf5uYMV`YRNp_k7w@~j?8cnviBKr)Mn3=Zy^Vk>a5>8vZrU* z#cItf(S&TdRoq2p^CfrH--bmvI>UwJLLHsKHb&?%c?M<|Z*YoGPvyO2Kv&JM+-DSi z6YjUC31_mb03_I|6!LOK8G)u3`!6F+-hDH!1SFRoEQbE8j9)2L?18X`SxAC{E(Gn; z;P`b+wTlN(hMNnBzDdp*(JHl_icbope=ZvaPs;gSls9CWj)WZO@nvlWB=?BYxdUuEKke|11CZwZ(SlA0a8e|TxRBZjCI~DlGza~wd7Gm5k`uz zm@gT*Rs|PanXw978;$?J%ReG2yrS=a?!Ul$T*IjB?S@yfz32-`8)ILGmI&b0w6;BCw@vM^GH ztrX^|uu?%GdCaVo%H8@xI0E;wupw`}k%b}4xmXw=S(u7R8z3!>A)awl^hZL2%bJ%= zuV;qIgzPyBzayUrRxL{=(VK4Y6vl#RbzR4soJvT6U@h$**k`KTIhj$GYs+U$YX?h3X1N*prXkjQ>|lIY{M2(1p8Zpa$%@vLCc{C+-9H&me` z(+x%V$R&i3JyVXToP9^SA+@vbIkp+%kAq)GWEYy~Hp#b$Hz`X+EAL~W?WSORvf@wV zc)rY$;gcpAG-lvQ$nZSjP_-Fy6T6amytl_f+nEW6LXJH0K>b~ax~R8|y0l9piNPvp#_-70qG(Qc8B%Sm41envc56$C&bqKL!<(Kqe%wam63uJhlbSQ-lnRF;*OgBUddq;-n=@~4v#xkO? zT8~UR)HlcT?K{eC3*ddPnz+^lk53n=HnF^@_1p72mg@J8U{TW3X|6&MWfihKPdilo z=8UPTHgkqPD-x$JY3+G+Q(C(Zr`L)!rXSAec|l*X?F)&W6}kpQUT_~usT|uy8RI|M zS1~f{@Ge=OAD4H+6+iA;Uq24mino^M(BW97toUYlel|&D2A@<5;pKRqbx7XJnOTP- zb3V^HWFJ|MjK&Jt`%F1%vqyrGfCJ0@BIO;~AIE(~cl{cg-f1_=Jk9&7R3ht8by>@r zZ_6iyVgh4t(8V-9_~*+*&$*M=oLPrL@MMo#VY$yL{KmQaHN?xDd!ZFs=A|h%r)w(G zc-H5|K5SRf3|P7Qg)tSF6B(DIc41O3dKG&is3M9g@hNF z9~?LyiDe(wxmk7^6)S?qLQ%-_Jn>MK#(O&ziL^dRF=gtXq?nL-C^4oyh{mpeA(3Zj zh+i)vAguyrw5dSKGB0wcpsZm*GVxHw<~*%0(sLfYAbXq`W(D)XA`NIjBqqyH7bHu4 zf%Ti3JFR`l#6uzD^VGju=(g(Pp<&J~7ZQnwoLep=!{xHe9`4{ZosoE0f!ytZzU)A7 z(@8EMvjC`fo+4(`@T4(XuK>}$IVkx+E-=3<`G@G@gUqla?sJ^Z6-jrASb9&)xk2_BnPbQR9T5hr9+8P` zv`XfP-IqkZg`BvFlBI~e5&Hi1uRjp~Pk)~BwjYuEbX6wSyYn6y$kTyj^Cyvbk~wq3 zeBR^m2$1iB97s9aBZb?LxMud{&>m1`q3w~ejT(M?4gDdK23bp)mjjisq!M$WF+9_Q|m%#v@t&vw20Cgi&y*97F=;cU0U4}m~kryphY>iDLp z0k%ty3I<|hPK#KIgi1Lbi-d}_2AiW$c(VHICdM6r!L# zjc)fq$Ju5Q4y3O^haF=u2{R3u2rjTvsf}YWTNKZNt3@}AxNOmiM2l|Ba$}2vwpvvD zvq=Z!E3i#3B)5Cnrgr%RzRSaAxt0gn1LY!@ z*aKn01dGia=s@m3a?&P^OE_m^{(ADYMKyP77cZ6Dcj?nsyeaCGD< zrMf0NJ{>Mt)@3^P=T9n$%#O38sbe7D1-VA6qneH(TNzUhN7kZqR8Kl@5z{%!P~##i znZ#OBZjV#tNSN6pM3%1}S0Qw@(76G!(jkMc-jOA{S;s&%opU}bELOAMAzBGf&)+tY z+@sIcRTFlhSGOt#9C#)&(g{HQ-jSgrzZ9Kg$X8SV97jj`5NdZ7vQtXM%o8cK$3NuM9kJ#TKplz%^W$|AqK)ZJR;0Sd8hFbd39AFDbHyZ*o0UMmQ~# zJ!^DeRTIea_4L$>SZ~;ApaNG;RQP7;asELj5&|k=q&0NaZ^qRXh0OD7A<#VzJTxX` z?6cyi4IT&+GI240`n@CL^S~_$=TX1PYUo(w7c%F3RQ>HdBaiMd6sI-1!%&^pZ8&?G zgH;^ItITouj_q(Ew+V&c&}B9#cOi)ET>GNfUY5*3nkNm}iFsxatAQ5r1HvrEK1|X@ zui_6xbwn`<3)08)t!L?M&8=s4^hCsz9;py7c1g~K zJ|C*HXl)DbdHzqpj7woyYA&u?P@d%}g8h8&a74O#%EZ16G82;e_|14*U_pqh&89fwrf%X6+;(KZh8>y6i#?|lgPa34E`hLE zkEv|CAA2t<-S)4gZjTv|NqvwZZWzq^g%CS(*3tX7Ee}Ot!QLWn7A(cR0MVv_74{I6 zH59N-CoC7G@-m1*IgTlb{o${i)+*w_q{cNuwZTw~`T%9A4A)%T6y0a=+md;>1h(fV zk5y>BE%<%Z z3J~p!GR3?=S%yO1(3YwvBo6kyYN^aRB;`2|posm9JVe$IXDW+%0g|LDmf8?y=_|Ou zsrip$TFaQ1L^7rYq${RHGK?1y>4|AAV_f7|$?Uh_6cI+SSV}RiJ0Xi{y%YcQnAT!D zfK5zm8R9meu9%j{Af~n0dvBYN?}8w#WJn~kFs9|uew6r>As#1X1j}mZr(PQ*(r*~m za%dk5Z3!m+67{jyDeg!~m+;&3%}Nu?A7abJT`2y@m1Io8Lbe>gpAeqn`ay-GmMJd$ z>k3DS48l>%7;jc7)*}S*AVYjRT;V8(YGBE#GdAHULzX5SWr%G+mT;6o`(7wZEOIY` zK8{9_E`m`6I$}}M7GhCC5P6ce-jR-2lo7TK0>z@l3b8062nMl`Vo?TJR8>(WLrmEU z$QWX4lol~lEU_rpdJ~IcJBWR%G=o8EUWTF^n$8kca;q3i+)0K*1h&OUlnfA*rDQM% zz(^De^+ckiV??4vxFZs!mO&&+gb|4nf=G}`F%qTrL`+HTiCB!N}ri2z{)QK%BBwJK*C^Zf!OV8v^1aT;p>WD*$%z7X5dg4%OF2tcK z;pdq^EQC0e5CnoGCZa{AQpArk5XIq@VJE9$9QUb+4XY|96JsDsf{{NF#DY`|0V9!S zAWHl*?xZRf0P(CSBvBcOx@)Mjbr6Y?d3QvjL|O@l8h{N@Ng-64DWf5^n^eDw*dQgxNtDha%7shEiP+h7!WdELgjD zq$3PP!VE)+RYxSKl8G=BYr`;$rj&x1h(QtQi9xB#h(T3kse57y0#O9Upd5-}9_D1l`y>jI*FRBR83&?58H1A25l>RXWXMU4SAb|=#-L;b zpe#cHZ)%IxD@ewmYN_x`L>ZAHu}3gTHDnA*QUH>qECy|ma?w}Dpzdn^x5c351$p~G zmL5o&A5M<#^u&Jt?t9T}d#;=svc zIrF*$aJqnaQ9{U3Ru56=$g2x9nO%@}!F|fnJt0_zG>A_^ETt6}X|o*)|Iq1G#p2*u z16!rr7??q9U~?Ek&|)|Q(zH-O{xYdj#o|olz+OK~9UV6`P{(_wdz0>7QFt_gQ2IbrX0@8E64As8kT0z!t?YLHuWVZV< zFp;YE71#Pv?JKVpgxc-+(cY+i<#7Y3y&|D@l#<#(xr&wCiEJI03WDyE&k7TmpI<3R zWzFdO5&MRW) z7ZC-&O0gW&3+WvA$-l~h8wGEo^+ms?1vd&3nLBP2B=40w6Hww(=BoH+?r{4_X7pWLgcb~XSlvCF6%OOGAFAI*9j7-N5l5|#5%hjKasn9(NVY#h*rB% zY%6Qzd~D-ky{1(&54byV=G0oI8h{ zalvhZWR900OP$x^kOJwS(&F*O68pkyj1u#H>dW7ByoJ8TDX$YOKwc-vQWHHjP@d=~ zlKXV;Yec%b$(X&GRK{Cqj*lerlb&Z2;b2{51{??QxoJ4CWlpk3ZnPHw*9n$VIirB? zUV4Z-ZWofb31$x_ptx5lB`I@vlgQq2nINmo<6H$Pkvf-QMPLpxth`H*LagQdiFbV4 zbRFuM;}&t7U@5KtNt@Os_LOwHpHUe$MjTm8r@BBI4>^kehN}Tt$L;HEBNIR*Dhoj! zq)Ux8=hUjA`;0x`Uo2D0uykDLR|?(MdWn>&WmtHOCZ`s@+Q_M;i#b_Mx9z11$lLm; zu6)^%3LSZwAeGLOU2K=JoO_S98*eA!RjSz6cn{o@JJrPs7Gzpm>%zm5B0TZnJGf7b zC8CBCFBGI0fFvr5K^>$_g-yIquvPOP#l3j#ALCv`dg5Lz#F!G1p12pU{3~Sg_CN-( zh%m~2M}F{&g4ktg1%r??j{)ng2cm+B$R z#oGicu-2#-E)yi#Dic@(=?HpBN-SW6Y|nkIN+xfzp=#E0(_$>wmQz!Wd4=?P4U3<6Wkpk&xb$aK4zs|2QyoXHRq^EVlW;)Og7ax~#AU4KE+RW7gx z(h->wVT7iHbi}5lFvO;WAQB~oy(1m5DJItSgqxLK`-L4u`d`MD`GySuM9@vj=mQ0%U4)T1~%%lR>(EpFN|T3NW~@& zaUeb6ay4+DbiLJ9!&8)D;uUToB%@Pz-So8!qf@l(p6HZ>9&UFxD)vK!@gWMK=oCzy zaVGW##-ga2205CTmS!-Lu5#T6C7%d`d`1fJ(K=0F{7| z3js-aN1g|$NEZPr0Ua@-N@Z{F2xNc?y@By5_6EhL=%My82P*`qgw#v4y%EO!KBXu^ zMUR=`pNcG85k;}BES7^bLsaa;3`lV)&>+5CU@>-Kg{Y)g@fU(RqL_pQ>5dSUbcPTW zyE#Kt9CjF@5_5*ARKvA6r9k>;HH4_7!ugByeTaF#A-jaA#5+S&6^Ia(N=?OE3(Aw6 zMR1?)y{#uiCGI2oHK>fYD-0Qs;wL>JD!5q)QE_@=h)QG++(#xLLsYdCW|gG7mo73l zAu4ua#i-b8N->FVpOWLZod{%t~4Ch5+tG!j8RDnM4Q<48Ij^*y+Pb46r-Z) zkT%V$u!p4E{X$>Gs8p=pfsM#f#FE4x;U?7)F)GQieVxrJrxZ$ch%Pi%uJvve-DmBL zQ3>e?6V*bu6(3_%m|Ym6;_RXjl?=0sIap1%O(uqbq^?o#a*R!kN=hHg(-_e%V|gbe z+HSnP98zLbGJ^4b!>PDKU8F|wQ6dqZ_)ZA8PrP&yHDruRVgQn;Q@N{gJQ~VS z7-Lj7HUC*|)){xHjs8ls2j-8MZh<@sF0Esn-xZm4n)=D8HhAGxgr{@@gq+CQW~r0+ z1KtREZq^&|KaWwZLp*FZuCaZ6RdlfD`nC%>a+BjhN3lZ7HR82o0=b4BPjWU^)3M%= zMhrifb5nF0F zfGgGPR*K8Bb|~_zSiuozZir0IA&@9F@vt;VPdKnj?hs2g>=E>c3!y;R2DKO}#p)3m zpC605NOwlg3lXXdg#bKaH2Hl%MmJBfAK_P5PBGV1BxWd-GKC)D#2YlnZK{WF<`@uZ zJNV5+WfsZw=9lPBII&LNY?dSLLn}>p#5`A<_XscFp*Z45K_?vFB0|eyDOlG_v|)qd zFTKa?+#;~j;puU><8qGny=ikGT@AXrms%V28bF%H%UQNTYzf;mXWY_Po6{DxM{Q34 zYETqXgMzXe6x`LItIr0dJy)L$YICkJ8YledZRYz-HbLQ6AL;_tzPQSIJ*5$mWsK#P1ht9muqM38RXtL!|-V2$tzvjSN zkT?y*QoL$_go|x~y9^LDtO&-t%%!p0kEgwmewj+oG@WE$kgOt$K@O4Dv~ttXGqs*^ zYiwzp(=Mp(of>T^v`sfMfv9z+92YB+Qb7i*&RAzg){h;6h#D{psjGo7dn0+X!?J!| zVoo01FfsE`{JBKe!zB=ps6>K%RaV>$&{>()uRCkW1R@Pvyshmc8~Zes9*2#p)=@)g z9f-7!Qbp|>oek(6{H1r)u}jpQzE3(N7jiZO?jtfs<9`I1X>O(wBGTA#^HCnMs)LiU zI{kWKv0Ckx&AF5CdM&wQo`xz6+4s3m6}AwuTXLid5mx=in@gZlT{WKkIDtBiIq@+) zb@Uo5WWlLgV<4*E>if|x;tLO%yFoeo#8_&8FKjO)B3q8^Yq9N$gb(mivAm*&gfX^@ zImUlg5K4ZN>!C6>*BsmyQ^xL_Gje1ek};lDr~A#=4GGu3tA0n$sWAW5uEm}@XD1_b z?rr^m^pLSzyX)v-dmv%QJzZvu^qEo_&}EMSK;^MaS9NDPsbDnGAAa5jS0TGCwj~pY z>Nml2%)Vd&iMe!zmv~RlA8uI3IFwb|e_UMEmG{CaB^p8Ba7r;K8!CKeqdc6;4Z%`5 zJ1e<^vR5c99sO5GE(KHys|8V}+b8yF^Q>V7LJIbJN(#!4RA}2%tqOsli?0@u+p4E( z$iB8U-fnKGA?-5!QFRV3G*O*{tL{|bK_?IjJaUFB)j2!{R;zPZn5+WlZDDRmdd+k0 z*o|kiF6Zx_el`vF4o!qcI*st}vT^{r7)`Nhl@3QpmsKM z)}eiC zWU$NbYM&Rd15H<1c=N8MHVn=_@qnT95y=PLH z&SopgxS#1-iu>t-(rRF*zvI#v*y*v;s`=9crL}d0?D*tJKMf`Z%CZA+m#v(1eqmx~ zvqhGK;Ebc#;R(l;^x*--Vqyo~ewdgL2!TQp6oh4BCw+RF*!kn0-JeCq?9TT#GL}eR zWQ=4O8S5dV+6=zSQX*rCl*rh%cFUY@mO6MV-HlKa86)uzM8=LiA8=x1%&tivABK<_ zXllp=f_sh`o@x;5x^^e}kc*s%av8Gkze*s?wiw&c*nz8%S!lz{93;GbkxH3>A(fg3^Y*)A$uN=SE)TuXGDz6yvhrT;~C<= z=jjYaz8WOtKq&_ONE$)5!aae_d$gi%P;ya^WB}_ePbjE*6$uea^GQ9~e$vkQI9J|+ zZexV|?LjGvpY3r2<}h|#4q-WR=X@g*$bjVYJ>C#$5x{g+rhjOW=BplS?+C%o-Ngc@Th zAP-}HMo{V1mf1QsoHAt9A~@rb`OF!=Gi1!jO`Z(2BPZv0Lo#)mrAEFYcIbdh-f&L# zY?>p_{;TKkChrfVs5a`KHzdu6rC?$&(H|?ol@I-CysN-lZcf}AZ#5w zG&fH-#7+fNA*?>rSm#LAklmsnsUMb7k0Wh%OY&#eWgWXjop{jD##Iw_&K`)ve-EQ+ zeq(ph*y6jTowZrqv2Do&A~nZ_)-8grO~tRi30xJA8cOj(pm>xjieKq?K=I%&#iNd0 zqHc7A(m6SIcAe+W$+s9ie`EZ0~5sjPvB|{Ftr<@?yG% zCJf0NV;(EQ!fjI>mqiw}6N1{YP*-)wyuArM#+G9-Lv{2RD`bbcYlVTRdW-KMw1{7o zAydZ^M5C#%;>M6OUQ)oiPKI5P@C9BfmdBDs8N<8S=M114LdkD}Jzk31(K|RVrj5-* z)N*GYlG*1*r`yfYEg%<5fUfH8oMprGEs_?esJl$(z_DsnFM%#1Cmr|fF7}A--q~I3 zb>4Mt>H@mzFDJd`R31z9RCt`yT_Y=dT6yYigR79=d()5!MD-i#JtFoo(!LczRrz!?8&ha2cVLs=4f_Bh1oKFnGntjLs+`{FrkZ?@dTfrTay*_(&t7{Q))=N7JnEW z#8MO00V4hFbONEkJ!dynXaCyQwmNaFyG5GixdGwJO*}!LVZF-zxb?k9Vx9L+O@vlz z*K;0Cn-l3_Wf!E4TAKg%&|Wxv12^5Ld>}{6C%RmDHhoJ)0@D{>&7 zFsWxnuZdt|l@z6VY-L*FwG-Kj1*4{BQn5^HxSNb=9b>cDMKr2Pv3i#;UB4i)Xjdti z#!IwmndA=}V-+Pk#L!HAK^Bc~N)0XD+0X41yEJ5Ib`>fFDNsz3CS^4#c*~KwDf)3t zt!{@!*z`EN8+p5}_=KpDw@XcR*=op`HOAyZ?md&sbTs=)zdg_tPNujYU+37GSOlXQ z6N_k6Rs5Nk^{H`$z{F6hm>6iw6u_Uxa?lTki5<;`S<;#Iwh*pE6kR66rHSqE{%K)E z21e$hkmLkmS=a&Jo(6XOxP8|Zm|K@QBIAmv%~KPqdlr~mk0uOvk=c$vAj8@)Fh{}+ z%n?BtPNf)_yA!g&+&l4qLtt(ld<|M6YRkz$$@7raaz-*iLnaW0tS(l=vE2|qY+E98 z>k!9`6q;iV8Ja6dhUQpG!5z{@aEI&}oMRPxBwgciV6d{59plKAVohtj>RT%~#+fTd z;$9VkTqq{lL7GuMvWF5cLQ@=_D0-dZ1V||sFArDJQ=A(i`&WfBT*zV)1f(S_*caWm zI{I#OM9H9{Yz^vT_uEGr6)`%&xd|((lvY}# z&E**RLon5`OH}v)T!3E)HkqHV!1L@+>v9BP&8TuYpt1e7hxO3EDB0xUUM%Bso4e1k zS+`tX0j@XB)eb!eP2OkRiC-pfA-Sx3^EX~1&DAT}t7$MGXrx0)V#YgOp`o zF(TTcDCI2*(r8gsj}|2s$I>AmvTRacs6)Eh1P8f1H5g9I>(5lbz_maNy{h+{=8E-V%o7%p}!ez@07!xvv%BW>&pjn z4nB4lc1g;m-S1?Vq@-olg2>#q6uQsMQ-(tFm5Lxx@`K0@gd^$45(OnxwShv^4hEt2 zfT-G0%GD0qP&?{}+QH+IsQC$mS`1De#JbIXa8k+=iU=7Yj6Ms*HCA5X%4Sv3J5g0t z_rJZWX5;e#-Hufa(?oxrZP_9-B+Y!#gb{Z3`a3p2sUwcZSKNuGnWPL)Cq1UpT2y4$ z0PyzATkJrFBlWebsFR!ENFQXZyNZP9(xk4q2E=w1QOtG~K^a2^syF8k4t*hM6>C!! zl;H@03#lUOa{bAkqE5x4M)Nx=e~{TvHz6u5^elTKwd(UBCsy;2nM>4Qz!8y#uw6S# zeQf%4Q;`z>tosk3i@pNHmDgVfytb;E%P9w0__C1rpbf^d-z8*h_rdrZh#Rdd48)eU z?u*(_)_t3FlVAZ&29{7eAy?e{^KX1OX&0vK8a1~YvtLK@x~quleOs~JYV3&P1ELcG z6vLzeq!II>dbB%OjEKl#-5#Qz>@2pd8vw4uj3cT#VQC57Q(aC=*tj!}(>o?@=`|hS zVy9rbY3vx{3M>`_n*PdHE(N-CsAiee#ALund2XfNcT6_0vAa17} z;hizqU-PZ4s{F*b?kf_qY%A(Qd8~WBc8)#-twS0kGj5j<(#sMu2gJ4&QOvu|;6>ml zsTDi1lzwCLNG+xN0caJhzfU_8A_u~KrrZ4hw2IL^Q=xYL{?1+KrF_$;`(g7NwJkf| z&LU)o7(Q0_3p;RH>aufUM)%?ihruohdF?9($fU4hE~!#Ro1$(HWnPNjY@NKUhf(XK zj?RRGR6$ z>9)SNiz8-TRw`JR(RM4a`e7$_$lYwKE{kPK<%%1Mz2H=kQ7!%goZ6P3X@_k&WHMMW zm$Tq_kr|g(9&D@raq;f&wiG>mrEMu5Wx=$4J||;aibrI?4YFW@FtT6*v7DD)3Ry7U zgqAJE|3wx|+n)3EmA0jLlpoS|=N2-8AVH+<=`9Fv`-L=^wmFDAm|zfjFay%o9mSAn zGLY76l&Bt=Fu~%9q&lq%sW6|p4L3)SOEQEH?f?(4ozrN-Pj2&Xai9|IA2q2Qr-TDO`4GxOq(<#1DQ4{3ZY4j zFj^FKqeXKUVD9t;af?F(CLW$Nl91klaYw|GMxvM{jRa+k9;iNtjjLJLltC}a zHr0`NF)U<_tVOf2on(!C$k1X&)M|Oh=nv9E(&tUWRmWCzfZ8N0%#ratWcr#l637vm zjwoJx4NY;6(?-$+cg->f!ssSddB+Q_-!&>b|nfVc0 zM^F#MrUq6BlLyfC@DG)v$-!VmL<;nKNP3btveCNlqCo{Pb9sQiCaQf9OUek2^E*6! zkacRlxtla!4O%b}SN&B4gQmc$gIr*zF1Z@zBg?+#$U0f4O`dDb8`;`xt`6X+qxE;6 z2I6Kq5at+`R(cF=Q^q8>Cc6dVN{dy${5a352N!3q}wN@hjgYN zrwqeH?Ky09SQ1nd-K6lrT6d~qu^Y+2eOrunZ{09S<~4<6KoT~^q>u}0guP9za*t+S zir#FUvMi3tPEa{SePeVbQPXbhiR}~H&O|4+t%+?+Y}=XGwyg;!wv!V(6HM&fyzl+) zcl*cg>fT+oYi;!EU90PP6adc&p7QSj9^@9qjElR6A}2s$LIb7Ov1{P#cV8z-Q10vX zdX|NaI)+Vd&5~R-;IZAR*9t<@fk?8`cYYZ&DgUqgkCv}bVR|ZaV=8f$hN#ueDD`6h zhaOgi#bYWBR>TuhlL&aDP`%kGhIw;iEP$Y#t@@pz9lZc}EzE>;odfuQ->jmkNqBkl z`Mb4lV(>uVHHvAtqpw3q0iFNWARPaVXc$SzwDo62UE(J_0Lin^%jo%vyJuW9_0LB1 zinx@hwt;TP9qlOCsA?*dBiAz*qX3!6_f#v@D^fII9XL7}$}l!x8_;Ic?yQCaxcUe3 z8FeVbNwk6Pv<&iee5^#-H$jBQN46zB?r8T{&m#s-vuP!&NVwpqZKtJA5Bb|=_wVw| z1hyQK?i7a*`;}vJ_+8c=kppuoKz1_D=%1y-VX<7v^iVb!x20#0ie>8N5j^y)H1#(L%&%}Y4JkW?QN4j{QmtCLa>k7p65Cjm z+-s9FKO%a&G6a|E`uK)L4XXJ`aXtXHa;ivi`ZZ0}(^CqjX*pRPbM9!DsLTi^DQ{#u zpeKyiQa0-t?1b^aFf!v5c2G@yna}MujZAdr^jo1jRA}x3)dM)lHT5gg-3xGjdqs|L zmI|Cs&oU<5o6E2_d4_8V;lV8Y!=140gWkWl}zl9=Ae^4aD5?PoFOYa7iV~>XsbX z?512LG+r=)?=Uwl7u-l)hAkgFFSZSfO@$&PceyN5b6031lXwW5cxxpYa*F?;B*b)^ zSUNb4mO*`^`;rJ&FlQCz{RcHJVSZO|wdgx4V-Zwc(OW31zsNz20e$Ekd~uPQMh{?o zH}Th!iZZa;8lEgr38APJ?&WT69q0VgHJVHO+z)l?H+(}B@kE##q|-U_@M0&VRg3-N z|BlmuO)4gIvLFJNV;hcsxBXemqj5+ZarJjH@+zbTx9ctH1jPNKmg)O1lCEwRo-8y3 z|2a5vvKqJHxeiWL_l#N=j;xedhg!NND$QGg>v`$Dj7rmWTnIc$0*-rFQ^J^fjneP} zWozrJfZCSeS>@2$UmSEw4>R%n^uxK{P%4J1GeUqvQ@usMx$^UoIAFSS+^pmTmO zyu;GGTo6qn$=BnN%1hItps(7Xc=G<@?JdPYm&C+6Z)bslQ;~JkRQng+dC{=4`|r_v z$#NguWiHUljX>t{k?eq=qBF}wP5mfRy}B}cYBfgA&^w0AXe?lwrrP9R@Fo^G^i24) zbzA2FC|}F_cd^0-pa&M+XK$g&%Vcgiku8lh;tV*tfKL~{FiiJ_kY;rpbiIfBSPS7+6JXbvdr~ildJJCJ!Tww=lbYJKs<* zmrA%5x!Hpt*=acdrk~t4+AHxr;8d%j7-gYe;;;L5KYU!;3oE=xTb-;)l&%pRKb3}A zW%;No1_^wtvjqFAffcV5q9=J{UdA((KeDcVjB`J8_q zVoYT{z!nD3_&cg~xl&!esTIc#IG{bG88VAk?7-C2LO%HTBuy%x#tz38X4sFS4>K0h z)MCXXxIL4feeE%(QK2ECr_^4}zIliKt@2vavDv=^c^wte#VO2Gp3Y_$P){%Ca?rEr zV}9*<4d2budr+~G5;yuq08|hP4Ob+ao4E^7G!e|P0!47B4bo6Q&WYCYOn=vk6u^iPuj_aE9jG(Pz7iBjQGBMo5%0nrkP`cam5=UX<#=euB+C)VDN^5 zG|3$KVCq>PMSI1vvp?iLsL7&Pyl)m55AY6Fwy7?$BPZ!ebiyo6?VIwOew!obpb1Au zD6NH~@;P5yiv8vWqxHKQSBk1dsBjR()3j4;|PSP?HzX~0+Xg7v`gS_fv`vt=lS(x9}+MC1>O(U5|fpW>UMA0QY&mW z$>@k>t_q%Xo30q#@YXm(-N*gKiD)#NuX0za+0Qu&9hWKH%Gp(0ZKiUunCFe@rE+e3 zj}~bPQ(-&bP&LYx(=;KnO9EWHq@M|alX}x_ZF+07>@e2bfP5m zUg}B4APH6A_hZ5(;qP+B_W)UHIU3{h0Fngp?FO$o3D>ReCAbJ%4P)73XPGfy)$}41 zN%`%=+4PNca7nQfm`F~fIm>E<=0&P+mrc`B`;_VVWW4S!i=QXoK;8wF0jfk?*cnF1 z1UJZ-EYB~(=d695K2!ZZ=1oBnZZQ_ZEJ;moq%-G%{ks5ans}db9m|=d{wx) z(^@WyZBb2SL5bBuRcJ^ZXilb3`^XAGmQvP3`7M(Kc^28~aY2wv#*m{APGXfFaZ;jw z{3f9|dpH5e%0myS(FE5RKag^Vz+%QVhTfACIZ@2-e-G1|NH&f!#*=14Fv;z^OoN|% zABdPABZ~jgb5rV4zcn3>8gvg4aBq#*W>9jrT!qzjPiJG_Q^k`-m(bQa#&{b$E=TQK ztU3uoOy$^aFN&4(B!8)9EOpbgiMBEjQ5WK+UcS){N4G)P6BV7eSN#Io<6qcjVW;0Q zHy4|lY*uMwJ3JHP@QS{hCPq9>IikQW^i=sGAG7@JO|Ww|M@D^_y(M*F^dsjG%SGN( z>p8~qJyY4MU^Wj^&Jj}RK!#6f{xIuZ`r z=vV4;1fTyu8mMO6Ck$a(u=)+Ka!C=qTbg`pVrZ-^NpPD>WeukX4H{<8L!5o14s;8D z0X&pQxEd~zcrnukbBNVXl7=)BQ*pJ4-I#)F`g}wa1VXfmO`2uO6Yi{Yzx4d2#uTpu zQL9Wru=bJWQM5AhY4h?-;xue7D_244=Gh@9jc4EQf|k8Wvaw5!Mb9H@ z1o|{EGWqaFC9*!&UKbCBqfko4LT2}}As>e~-V8?0I5KA(8uwB7N&&w%3nHdIgcn~+ z)L!B3_}z#o!76ISFNIxX_1lK+Dw!awx6$+U6$Ib}fOG;I$c}5eW1H$xx_o5LGv{miZzSgqYFJ~1n{m2fU zlic;o)k1`73wEXQ4JhghlyHN}xv=^AbxK#WCsKa?a9Uwx5UbOU^T*(s43uZ372w>{ zj9PeC7>(3KLknz@60CiDDSgEg8WU>2U}=A5QFzt><(nEG_ovrpz}2_ybC}4QNqqK5IC$S@A=VnEh6K_z3SUW39D4zW zLm&Bqv9S0QFk&O}$dS3!Jo4CAQNdaOv;nP{QF%W^bjq@n8idv4N1xnDUAnK~&Db2Q zVJb6(knhbAyDX6V22aez^|&Yz2ygpKEW10hN!4fYC)(T{m4@^uIGy{bnVH-tO+{Hvzz{+kg)(Wb!ivQ=X_@lwb zRDjfD?BCf7Gdpfb2oT9AGH5wnBJray>K$W^H9`|W{r$r4z7G->qTSd4{e27Qe(Cj@ zLbD>&e9)ITwwH57e=dS2LnYIe1ieb(TmdE_7RbAce8_O^tDl)0fI1B!;<8l$8K!QB zGVsAI3CTv1yeG+Er<)bn=s$vER=HKuJd6$9%6-}q<5Jj)0^_d$sDr?{}iI6PWnfo3Maxm*7pnf-m)H60%a~lPXzCkN3$X zecrW-X>tq}1T#$bbz%H0jDczNeFBbr=T0{+h~i@!+-y2J+fmnJIKU%0E2lYJs&^>C zjxD=mqJ#0fINYSVQ_;xBkvmn+o*G%>ztM{3I11ap!w@zw*{G+RHjz@5?mX4$tN6h# zOAKInP~8{9_`s*;9lI-*Sz-Wneb)t1SVY7_52k^+t-fIW~$A2()tx(Tf? z{ZooTp~^*f93E#ecP=6#+v!dvZEJ78nGrs01qBlK<~jh9>Z~Qtd)i%H)CS*iHnTJ5 zTnr4?L6q&Xfc4^0no>I{$Aj|A7uswT%K4o;Hp@W_vgPRhh>RI3G~^h2Z?E`PIC?(^ zbiAa&xx>?>Xax7Jjv1N}?DAjtpjKKsUzmQ6HT+xS{TQtV7g$-8h;G%>l5UJZE-(B_ zNe8HKNoVVMeiCWo5LG;qSc==E>iAlwBE)m9{>4x-*iyQ#EPFH6E%6&2^ZA0K5X(Zh zlKj95tMzG)ghN^g%l=WAW%E0A8pq$(yXQ{@!LvwR>4QwbKwCo(POwQg-Z0|8LZZ!> zEMNm*gmG-0LyJ7W#dt1Tf-*f9$6d^@RIEa`&XeEBn`+udkr*4nzY^3Yw=qB?)VLQo z#xA|D_eU}ip7Mc~wb;9yp;R7>T0!7PTpPbCoSbER;zAZNE)p6Zdvh}+wHSIK=P4j$ zl6BfE;=&xpAg0!O_JYaqs@uOfQ!j8IakP?d`=Ymo8ilJmv_y~jXzj_8)<+k!UgK`C zfFsv)4oiifnvl$<#sW9a6Z#!sqv8mfL@jyK=Xd6?BFo`5m);k)S-LqkI50m(Jdf+} zwI>WMoY?KO)AUf;d~c~rha9->P#Z&@TgBl6ezLCoi$ZPSi5`YihCUglgZ&$XjZhFj zn|3y-EXL?+jHf?O*KRhNW`ZikB})FP?~L{u0@sBk5-_bn%;zc0!44&}D=l1hVQzUp zSR5l7VaK)#C)d^Qqc}am(Lsqb%Jo%L*N@d$=6OnthI|FU%M%|)n%B|tyBE8A946wo z+CwLfuC!mL-E@w?)_-23MY8yPhwF347(w}pfcsH&$Q-ragHcY?!&`PpZWLaOS24Gi z@c$Ay>>R_(q$IW0r8#VcUT1+=ba012o@yn9w58XNsBM`nncz}LYke=YI=%GZr~%7B z(itD_t|u-|3+)6oYEXWH>|}MPXS>R`nOe6MIE(k#ic%qNf>C>}o|2!IvHsBJidflv zady4c{@OhevID*$-WSm3*>CNoYKNPpF%9D+aF3bxD9I(0afud3xF0MRB{Y-8J%aes zKnti+@eGQJ=ruUnG;762gFlDntz|3XYfAX-a3;^WO?@GE4aE;rjVAn|)@Y;WG2M|G z-XskyUs(4C8gS4*gZ80!mA{pc^3nJc-kjC^qSAF94<<*=sQ{r3jH%c8-G0=l8F*4W z+}4JTazqbuc?EV+h-a4ejkvmuM@TU_8)vxJH=X1uXUrG&X#HL#N z1-p*Qz+1P;qXGGtcx8KwBkGL`7ysy%89ffAoZNTm#7feuryuq;WgpKB#cr!EXrzn6 z-uzx~$qrbfV0T*bzVZC&znx~@oJ8#vhB5vG)hdh52tc1Yzj>JG&+>xXd*)3 zz4%PvO|RcKu=k^>P$j7?k+_gU(oW3}C%6|8R-8epu5uMm(b}+dKdw|drm2tw4CElf z#If#W>~fmf0|4{OR4vuDAxE-uLcXOn)~Y791zI$(_doGVf1^5SL*zHh2b`Bmr54t}UFstt^OR6b=}tkBLo)ns_msWK6FNG_Wp*D9!Y;>R2w zjHB;DB1!y+!zWVZDf1hVFmE^lIdVUcU3C@7c8D?>ajx}lP6Lvle_>7nkWuYJ3)T+q z4(3E7w%r&Pkg4&kypno*(WGVXMOcf+AWyw)3@jGoFYRe@37@s*L$%~gYd)igI6)Y& zQTO;NrAP1^-Qy!n1>-@YtCJPnrM!G1vz30Y8sAXk`WR*Nu@-y_W$1BuVQy+sH=xMw zb*Tu$4HjBp6T8h6@GmTvgnrw|aInDp3MW7t87U(n08IHMA24Wc6T(M!qCHoT@Yg8@ z5e))k$aa3g-Tp$FLu(fmAgRl_VzkX$+`~P>8=E9jm?}u!T|w$Vk&7{Z%05603B<*l zC==N}iP1~ef8r(yzv)%rjfJw3Jhdc_)J|W&w<3+gF=es|FAlgCp*!meiO_zaBY;C8 zd;E&RRv3~?~`O!G~uOSnN6kGm}Av3 zR^Fa~Hq^3dI*|0+|Fk(1G8nZ*uTFqStCn%Y6GL(B4_qor#tDhE_!WX)A%Z7vSX}3= zm`>uwCfXZB@~xyck|q0DIf<2XM7{~Br0Lz*RKI+x<#3Dmu~^H$MaFsQjZEM^D*$<} zq9V+XTv%e7hd$K~4$O>wBVH551vWbF1dqDS-OG=BN;ne*I454K)d%=7Co=#e@;uW2 zZ+_N24PG!t()G!j7$7?K3%!ewA3o~R`Gd@ZcWS8~o4t;ovn7n!)@x`MdN3em<3x&< zhhd5mZOOVM&g(0<^qZ2%d7inx`Zz79ST*b~O+{{oPSigup>$URHgR`pNaG|O+H_Z+ z3Ww%^VT2qwzWY%5E81HFuN#Mh7eqEod!h8e8JT~4I;dn7qJJVFhrUOr-wZ%DvwGzF zxguSk{LUg2^pd*lZ&?&D2(hbY^pg4XdhU%EWeUS`QBG~-u2{Yxx-2=lfVBMHHyKK8 z+*kq_l%A_tJE~y9`@W-Y7abh-&->PZa|!gy1_t4zJC~CL9k{@v@0b)_&SWync_!81 zn~8VvxgWyFB?6*Kx&28|TD+;$$#!Zvb-pGyz&e3$8C0274XCX|CUN;eZUWC?=57*L zDrlVl%n}EuswddfC6&)a-g!?mk$`5C3VjL=f*)>~Wrq@(WvWao^i*^WdN->1P zfjvsw@vs=96j?*y?@UHF>pqJJnelEkRSlQ#CUr8E`_7jC=>d*nx8e(P9!>N+a)67j zVG$F+M~5m(-;LBAq^3;{|5C-r))hx2BC5sez({_c(UfUZaBybU~?yF;BLOv{#hJs?t}SUeS50i+@A-aHvK#M>zPfEENpw@ltaZP z5lczD4Hn|CU=gj$Dnn_El`XP1$8niBB(6f51IS@)#o;3ipjhFF;WswvNe6Q5HM6rx zYrV7BUZ0#vO{gWpQ|91}7V))@3R0dXIe8 zOE|g6AGYi9iU!W3#RJMYq!IjfG7RYh^B-`WroG|X{JJpXJ|B}_wM&9C$1B~RL{ub6 z!xVgx+u*zulgBPBSH`AHAOXsY5=Z!y$$f+6Ik-$u+SG;swnWGv6dsQ@c&RxPBRr#W zKDP&Yj||Q_9tTr(ww?KaZK`2pIM+1gevxeXtHpjY&$*`K^47p4ayDOzw>@ zcEPA0pbH;s`A`p#FMvFv%_(`InK(%xVhBZx`ELDj1@ReGG6K8zd1$1Q_)jEMnl_yZ zq8MVL7SO4tp(ZjDx z4gXpte}wBz3z6k8Cr7GZewb8MHtgSXO!PT?TXz2U;X4%^et%`yBDL-=>L)ZhRi&(m zIVK$qC+)NJI}t^MqZ&#Yo+6xqsdhjFzt|!!!9_=oCmxh*Rc64k?J1juy6#OW zKs}xGB64vjrU2RuuQR*mv$8Z>k72N?(C5mX9;$3iM}?nY3c%PR6V;`Nc1PkRzm;>N zsw%zN&}x}GJzXfZPO&NbAjbpJ;FH_b_-KZol>(v@mWNYPiM&cJE?d=#OsT9Y^b-3h z7oO$5qRCV-EMuh7)taVTCa25tHRLAPRF<5w#^>1DYQtssw4&we?UF zK{9q@_E#@bfF$4RjxDKQ_Rgg^&gr8&q7fRSQ7=Ld-oiWc)xTaP$iKDtDf!Pf-{3~g z73_wxf&EKG6#6&N?!PSI;OMp9(pgOW0JBM^I$JCuf%Zt6GUPuAomkBta-wY)RIc#_ ztQhU|M0)d7Kt7wE8ieO3yuoRH-(?nbj-mW#q3sllv@MTG68DSj-XJQ`Fd|mkT<9*v zgLuv_fM;f(GbzZmo}_B~-srnm1E9?r@-*NVG<4hpV}!ekMKseGDp8d`J5W^PI-K0) zRl=Xglm%;0Z`r~~U_+yh?oL5iUilWcH-^AK?}o-gc-d7qIH&0biA ztLTE|Qo(ad4>T*KYz1S-t1%tLPye+;?2M6R)G?a9H2xF7#7Qq~UGPXiw93`7Sqfnz z2fi$?|0`g%`>9>~S|Fux*T9&6J-=Hc4vRO6)O-$hqnl22wvMLlRqJIdrKEe+%)+bf zTJDTlQHSTcDD8bw94a`irV^Uf;wwtDk@>h64WaVHQ;5TUwNp%)W)9To6Hykmdt8<) z*)%ePZ!}NuC&Aw!8~jr$`cxr|+B877q-Gwho_VSRk_3ez_kpUk$I*_p(yOzfB4Aj6838)``Oi*L+F}3<2-o2JTdc14 z*_kwzjnra#kqTjjzrV*K)PN&@mnjtM{5EN-M0LUpf|f1qs~##$VxMBl+&dMrRA$)# z&2JYyVF}8rCw_Tw(z5xOnGh*8wB_!6;{=(7bG1rw58t4+(B$}?W&K7`dkVP39CqO5 zvdFna?^S+j2Dn?ssapj~lJa+!$v)G@MGD9Ly;hKglQG2%v;hp6PgZ)ZFLb0C2%|FR zwx3=7mfSLCJ$Cd~vvqy^KC`Nd#M`Kj$%Z2X_b1l!;VHI-PydRb%Bni#L(Mii?>W`W za0uVWhvCfT8m(y+Gb$VDA$Iw~h463S8zUZG5xn+PzH-`yO?N^S^X*g`lXl6!Y5A4k zRw-hiuwX(#KsaHMb)qcwEArrmc2eI+-8NHZL*IYP2!t8=se#b*jh?+l&@a^10nMVb zXT|~a8A6!rdnIEV)mvBJc%4aTC~APFG=t}Cm_nnSAM@z?(OPN9aD~ZP4XZnDM?2Jk z*^a-GtF1cn!+vN;N)hu;p20P^G3P9Cc4~PH^AZA5sF=tU>qjS=V4L`kVc!v2if|5J zTN*{x=c0ET3=7=vs$df@E#DEqUa$~6``G5Uur+$P3%)!pJ$d&_Jrq=qAdQIkjwC&C z;DtfGqrS)f;!Kl*Y5^)ipZxAZKc84%9aQ+ttYEa(81l|)=qBxkAbBZYIwI1>ySW|! z6GNfdp9@xgIN2?!@84Cv6ZZZ#!6pD`7RSC5{iJ7%6AUB#Mo>Z))>?^RY*{5^FF$ld z*GXo*04ur4QjOVck^*wBdDyWgj^N4&KAp!NW;3H4!uF4K9mJeFLJ9ccsxdxnS%*hw zjiT{5Kbxy_8l_;+4P$4kCkv%PWxJICp2b}!f}^#ut{%pOCdve!K|>Q7XPN?1sD7B0qzuBIGvYb1WjR4)!41dq$$asWA5)f+L|TVkwfQLklDtu8neLl!g%aQPdwWcIFgV4gdmgkUpoiAb&BEmY;* z?JoscCmQzi+7_J6zExo(17qy5zZ>gZg-w|x#t#`}-<8!83pR`;Vj{tY%uBO{=wh$A z=dWFu`}&F$BDbAWo7f|sh_&IWCrr#eltR|wp#wbYaiuv+G*jv-6BJ;@Qq~4q3YL>T zESQxvc96YX(3Q(%-n$qcJs}KS8iz!Ug!&xroo>Q>^|8(mglp24RcPoLsTL_Wf+T}` z+1yz37I_e|S^y=$6|$}v_swnGRd+(g+rQ=%!&nv5$`rUBqw$1_k3XhMkCC*Wn+SgG zMFZL5A8`{i0WAMcvv1YIY5<40Yc4$7!XWohrGue+0nxvwG%`q|8IM@dV&XEngn@Sd z+j^^(h@7Yyqmkf4*F-+&${F>o8S4;DgQSfg0VAErBk{-ZVp&3vFz$O==pEHvc~$A5lrq2`k% z)Qp7F4(`l#jh#Do5unT=^=9Qyi86R6?Or$s;YV}~phR`to$4TihZsvz<()MSOB8DS zixH`Zp|()rxD7tKCoVq2lT9w%6^`VJ2V9z0V^S4J;EhC&gWO{WNIiWo2nAiE+!2{I z4BmrKPqCNXPTGB9|G)?a1YzpXN$KoN)5EPbAiEqhi(j=U^ctKa2nb-FpMvEaoqG@^ z%#NzIrLqbyMD^GUY=GIdkbIlXxXlb1Z>rO{oDim7IhUd8TULZ?kQYe>oU2$R3|RY* zvFLQvevlMRF&n5}bpvngW{3#tB}El3F`644wN_0qWQ1O60o-;xBVf-EKfpvj@qB8~0S(q&JhVOiUE8Gg=)FHY?y!m=N9?pabp`4n~bZqE@G1Y z7+_|$2DIx*`vE?_U?NEBqqqf~2TyxN1z@NhlN&LL5fL}*9k1Md%zc3jQ;10wsw4FD zn>x+lh>zb)!>>`L1y?L& zH%aOxg-#Jmx|a`eNn+Vpy5F#c@yBh9alf*HzOq3BT)YZ8T?%?n5Ds(cWH(;FN^0Wb=%W@co`_eCK$Dh}^P`Xl@!0>=qbXfN6IPpL#YcntNAUaXl zJ+|%0M7GATQ}=S*o5_g2;PTB9u8lu+ZYm>S;UVkMjR|#;&DXKIqf-DFeH?pvD1V+L zJ;8v|s2&7DbEiUNVqLg_%PgrL&Gnn8D-wv(zdp3xdxD95Jg1o<*U8Aa-^nv{`N8Gn znA2e^PDp>)_ZeHPWSfgHC^)I48Bgy%RNs|;<@Pa?BGdP|qE;0m2HA<6aHfymhLc8{ z$+1W_%hPW7>Dku*j0A2<&FY)boFcjPRD7X^5Djqw9$i#5b&dYWBDrm^idaWypOX#98B-{$CebY|hv+YgX_xhYy( z&~|SWY<30#pUpt2r5OELy8pxTetT$+&85X>tKiqsca&lhF$v7aZ4}9-oR=#d9!1~l z?UpEd!TCy6)*9>!omr!)i6kVzn0ZaeB z{XsMBE)3JVgcjSv#XKXV}46LJ>V#EXrfp`5v6xUA*wloe&8xnl?Pm^?!*SgFPZ z8j-S6S_-ubjcsGf#8LyA~vU#VH&zGja%wgqA*KHg=#mJsq~>d(GC+PcT#EwwqpxiWGq&1-aK^W??ptyD(2{; zl+P}07^b)l>B0C3dq;iPjT9!D)ml8-ytjlH;^uAIC>skfH%%gKk76ya0|s7NxS$Rl zFA>)&bN^J;o>@TnAGQcP%~Tt0N$c%wS}NwLADf@7xL(&VupUjm{gwKAilVm8HdDa= zqHX-$EhMEW;BlQbyg+VCUBbopBk2r>I@)TgnI1sR6tlb+jF$9+=;JoOp|iH2e+%c^ zc8bS~P7towPnT+=+w3!!?$yPLqPFLdvdtqV{l~`X>vwwnQ>@>1iDS{U?~zFAl$Xme zX2278e!)hv_>ofCT;n6vI+ukK3LUjxS(bqatqW*C;3Nk8oUUUQcA~xRTvJ?nZ3WSb znD(8QPka+9kb*G?8s{T+1U=He=$x!#2%RKI%U5f1v$C5Z5JKI6vpuBt#78R)5iwo9rJX)MIFvc?G$9KE=ctMW*}v6z(bT&8sN zLRraOx#ywrFW8Vn{g`Zm*gqzTrC3H6npzY|+c~sA2t@Z(;f)fZRT0IuTI@m_P%F9z z%U|?Vr{N_hVB?k$y1%THyro>Nw}^WshdFXt{ZXzoxd& z)0?FJ?E4(SsM`0zLM7{F8kPyS`@{A`%?kL@$X;06TmWRm&NwG-cN;fLo}BgN&rmN8&%Yr5#Wdoj6Bgj{H;OV{Zp|V!{oJiRG+}>%QLX%s zFFQnK93ETs6Aqssrmp7@ZX^1$Xa=Lg4H;6}-6HOZYvF^NTbHYL7cmpClud2rH~ta_ z2VY?SzFR5zT##*~(+Kqv;_>%lOaUldI7H(K9lkgX-KNG-^4Y-Q*(kR-+5ERDH+;r9 z8S6fi2@QSiAg$)N^+GstNiGz&%8~^qyzz6mF#li?5Zpcm{*pl2f_2C$aa=1)%~)wK zTDb>MFz*bbPxHayu4R5coWg*=oio`eO9$JIlIF`F%x|2=lreteoP(u zqo`_4r@i;$kiOm8n5+KMHP_`eBRc9rW&_iQj3WD)2t}{Ydv;SNXZ=BGzv;VUx5hLr;{+~Ber~2(wTVP}FE1kpcygZ4* zd@re5Q|de-@TR@(Z^!6iUKU9(@#Y`R5D8=eMM>wOmeV?Dn!V^_+CuGM1f7Vpk@ zQkK}MbPOAV&PP9q6g^Rp&4|E3RdOgd;Xx(UVnJ@ya^jVs;iFQME>e_Y6v|Z)SuxB9kckBZVeB$Y?5GlZMu9G!CDqgzcO?lf5!3LhTSnq3&-n zp*n7YX2mozlZyMR#667oyI9=|v-47ks^6z`@#XgIJ+M#}K>j{+zx8x<6*akv>a!3P zcjoa)E+&W9gAGRrA(OH26u>cw-r~Kl=0PGUho@pK>)J7Cl$w0f9MHXJm**kxtZ%^@ zlRBLj1<(J!dj#+Ou>eXK5JB4*rp$TRix&RSVb^!RsQ5&KzZ{RkyQAu<$c?_bFxAnK z($`13&^+$CjTLmlb4B(RKf#Voou|)p&F8+I;M<#pwSb)XB1Lmn&VL0<{$m4s@>_3Y zN|`CezITjro5g7SNyDZ($Dpx8_JDMMB zMN(V)5rL{%nCWt$gyz%a`O2DJLIn;K4^CFEgmv}zQyHp;1xH^<(irKJ+`MeE#OE$0zJ@{PYD-oh?hqpYq+y5% z>Vtc)Sj84{tzHvLD7xe&(n(*)Z^b0T?7~p-Xr~H+u`fS6B_y1Ld_o1*p$`K?2fcwK zglN1bbxyd`TSXJnZ_>w?-&UO+ep(N-%!!;eG%IyZ$yS4X&%u5cE6(X`uDm5elp<;f zShUxyh9kng)<%EC_hac8y{Wp(WHWPjl!vxdd$e3Yi!Kl{kQsELwngh+v7gI!;VI&e z2(rr}BI3Q@NwQ~&;g^UD*<>7PSd{f5mc4M+CvzUvWGF;UMj3+QrLHq&?qnIoGB3$j zEkUf&o!VmifieY)M#h$AT#FDb*>;!isn4c`kr13wkJFgJ0(hJLxiiy;_EAo4cyAzX z2>;(MP$$+OdfQ4QKX+zZ%oZ#Cr?juynAIj1+<8)pctIV)%O&!b=18;TDL#ID7Dvd+ z<4HY7)v=dyve%^O{d2T9t1Tr63rx8QbQ70cCLq{Us0;r_(ZKCl>B#3WbfiPVY(AUS ze0}Sm_X%5MG$xIJU}kUX;_7T>WcMG*!Ppvsor{!(^gqJ)@63|U4sMQLXHivVF*A27 z6EhV_AhVjMquKwhVOC{Ub2hSfaWrx^vp4Y+6l7NVkK{_q&iQ3Sg<0Or)XE6x;GxU% zb;-lQ#>BzG!otQu%E`;6&#YqR;^5|NV&+21&i&t~|7%yt$ij?S)WP2M%gk2|&wpwJ z1^=IK9RD%&KfZ~3xJs(J8o8Q%`DeuPRhSr&vNDT`kg^K?_xN8O*8i^~VP)%T=FBW% z`=uskX5wIK#w=%MZ{ceB)e<`=ub|-nbcILuV$W19WltO>*S2D!38WzM7bV|NGxayz z>wb{vAmkvIEiN@Ii1_KA=uJC%aqD>bo0*wgk#f76a~-o-BqpUY1?79$$^+-w*7?0n z*XNbc+pFQ{;AiXmOW(&ff#6xI-TunwBVFIepI86SnREYVLw`HNjk?eMCBJvYzK?~v zLdp;C=Y_g|=l-AXmB%X`sfHiNJR2*1pBJzHIBU;W{6B>J-~SkXx=_7g#QJ{nZ7{!n z{+a8w2KnE6sg@rCLY*J#l#x01ADO`SFuI~@4Uyn-UgPXxH?fBJ4%Z0vQ-f=FNJFiT){eh)K z3!UVmM4GmeA{?YOdLPc>&@eejWjZ1LZR>%7)Sa6`YzG?e5IEA1{Otqk#Liz-4q%{c z{b{Y6rdn=`sjIZ-u5Z{9j{kfG&1ii%k2krvSE}T297YG(E$DHN-E??-KxLmT`hB|?aA3h}|ZzfTwZV{ozj47?7VLi`qc(^G^w?CP9 z=8_0}d~y-FSE`{`flJMVu80Dr+{*oh26luk3(ExETQ(e0H z^MqeoVv;<-q&AZja6BpE`_-mzf6U$KGMl%o3*=LBrx`jTj5xRJNstHx$|$k-XHx3K zarFLWtFm!M;%%{Ai|};Pl|=Id69+DP$P=z+*HWjk>Umq`oEkCB)lblhi( z$d27%odR}SO_=6W8qp){BMb+)V+hnkJ+MO7@_h^>pK*p#SPmg_kR(G?gS}GeCXXRh zz;jS2OK~t$*gHL4I8XwT-B>SQ%dwM1x8Jp|rne>n%r3Y8$^s^oR)dziMwAgjyN4jK zOl+Lzip?DP9UHW9{_gFwq$<{t1s#Awj3zJv@-`k$>{0#TJi`w@cK0PZPUZP7J2%%i zRG1)52$!nsY+^5J=y=F4B~Ofyx$Mc z@uIUBo!4PEMepd1FFOoPps$vSv8zg;Q|{*@of9p*w#x(9Q`dRY%X{=U`F>9~Xj8ma zb1g>wm9xbd-N}+kVIk+POCsqu_kc4eW){X3#DYdMM3pc>2~81_bUxX;qwg|jjB{D< zCly^#%Ayz`%7DLIs{YU+$R@N`_4(1n7^Vw3sGV|)UMDhFQeY=VmX4&u52}A#$`L># zBl#QX`5pXOmL>bgO8*w)eNnY?kd4nNxmrgBt1{B+l{hJw^5rd8 zxe8tuZ|Ip5QGpr+#|8N|QtL)*0^Vo@ScS!m*~^OE*YCUfu?o=DTkdr-WmRo0H5JiR zExBM%qIfbtjNAf)LRY&HMWJTPP?PUg?N-eO;MH`}xJnODi8?t=sin4cunofGyp)ml z5a$yN)fB-c$N}{~D9Fd@WkPwdO;_Wy#(VfL-!Gr^FDAXaeCo)1+}PP(8nU1h!L5J} zqMw7HEkADKbC`Skqn}LzW3hVHyKs%sqNN}<0mR;p*yyckW{_`RNV5-UqlBqbZ>{90 zuI)JYd&zL?h)l8@xyg5$oXDy_RF8mGRjEBS>LJk9Ilj(J!NgaBcm~IUgP6xcB}jpq zLdt2RX4TkcvK3W~C~rslWZ7m$cqNjK;uigc#uwdC^L>J>w~e=LS%&);8RC4KYGUne zc57KQVwlp3@=x(AfxR9}5cJA`x(Ia&4?=GIc`X(w#T``j^ z($e+^)yJ7h**&k$zl}sfz zq@-Nfwl$_!tO{Iuu&i&uFhEJw^*gM)-L9#6{Y^! zG5^FTyMGE;*jOF;!Y@Xhs;rT$_%dsI8nsX5FWb{aAU92*N!=o9=gSvC2T-zmjqtFsbZt0shPx zphj_ieV70Z^gnv67!OQeC_D1VEbvF`d7ga)GMv(pJ{L@1Pn$I?NK zLCTXJX+1Y*B^7Bkaaxn9X*g95$V`zaU&bqMB_0kTowf9wn~#@SCKIU@VAcV4$oJ&e zG;BdpL|FCTird(R^FDT1ev71#ws3D~CuY)7f$OosNI}&|mq~iAK`iP8SZ~zh7(i5f zhFO!1x>3nTDKfQbKzAS>6wuE09{;2NmQ*fzmOU6|4mOyQW|r&76c-^a>9A92VmFr# zY+c4n2bPxma~(KBw$>{zI1E+i%-*S3#WAW0PHNO=h@J^<%8mRblTx)=rp{MZFdQKA zfG2D9Vsbr=nZ%O@Yl>v0q%BP2pt|}3Um-!CkMcvGQMQqdo+?*=P0>gNt}m^QAM zHP>(^^N}sp^K6!iu*l>w_@`XITZ~a9{!TuZHJ{sb#Cak=j^cf!(Ymz&Ryp16qBL7U$=peYC4E{;R zny)Zd@m^LNZPS_{r)BK*h*8Rvcy#$JY3i;yL#nEWYy#jBW7GI)AInpkt8$8+E)xqr zudgF(TF44Qsw3c?3{cqCD}%JI{+EoxCzRr~m^ooSl0}P_*nISrO&|NDaV{~5D%7w| z(>sdp3158cP3Nv9bs%B7VK-V!(6v!WBrJ0lh6C>EkaF57JL%eWRH)@reMv#o%u)== z#Aciwwh4%ZhTlqd$!mt_SCW6%5Ka#zsK^2=xhtz>rwezEqo1LVVH3m+FYB;#s7iok zNw0cV)1oeh^m@ZDx~x1qxD!Sb+Ak!ZiFD4hNS$84a`#jhqy6=XWFHPwN%A` z*PJ5I^uyo;5foR+srVJ$idKZz1bStxNGH&Hci&Po8C9I{Q04d(-KsW(6IAT4Cc}&) zTgK(3RO+_Ay$V#SyT|sGMGVARGQ{XU#YnkMpweS0GtQRMuh669F>qO;vC)Kn;D#X8 zzegp2KOHc!qrPNnM;X{Bm1bov4OZ#z5Kw{%Dj)5ZPPJM(UP5Xi3^t(tb}X6ei+lLV zw>$NJ0Ifh$zvGTgUGmD$WPT|0s4e3wxvzi6{wP9Y{z)j-wH}ZWAJmxi_ue@quY_$S zGy)gEW+}W$fp5s>OUD0*P|r{-lnRtn01&kYmuO)sR^MjOKp2c*^(z6u+Vu263IK{< z>dM|WeiPDY=KRajnCbjbYN<600HQS!%t_xs6yBUPn%@ZhRP0fEuDf{U1SDp5@zelJ zNe{{BoWP;FZ*Mcey-PvV^|7#aIQBSRfGkmI48jeY0d{frPPqg(U6?KlYxAufd)}f1 zvasB^v(Vis3 znb1$g9vN7>8M>ikwB=UV8lLWv$N+(=3SwaZ?6SMYj9rpQq-Rl`e@ z!VGDqQxcN@4uh6GVy&rJ@x=43Hb*M9`?>6(EU6xcBAy^Cv^P<{W+|_a4Li*^KFe$8|db;G{of^ol={g#PL9q}tNJo#M{^+q&6QBtwXPU&8 zN;$8j{BV=1$$Bwn?|t|+jhshhd}o`;I1+M3ywJyoA!@>Du8>Iwm6zv^d)Y**m6ST! zLtUwIizzNKg(y}q)rwE9)gy0@$ZTetBr=Xf^X{r-b(;XqCr)$p4M{#_n#kF}4Y6k; zn2cB`h89UvalLkO6-{2^Qyr3NQZ^q<(&8J?y?R2L-ZqkFqSh?$GiGHX(O?wgkR@r( z%cz}_@a9F4Va94A8wh6QRQK~)S-eD*jrsj6fP3Gl$LHYSQv=ByRqZmP_C~~7*UB%S z7yFl4a_%OY0GnV?XsLP8Q>Z&S#=LMDvB1F8RVSx6pUZ9pvztwLfrN6QR8mRMj?O{- z>^?LRKoauvxbMA1PHOV|R{$#$g9JO<$W#DOXC+GM^QuqJH*!wH;iluK#zV+Aa!yuC zG;n2B@5AQ5m~td^*k;1Rl510&9a*x)#%2kuQ56x6tFhVq!rtwdrUu1emEhvsyQj}U zE4`cA;);D&WNS`H_zl(YT|eOWVL1GD`RGKDj@Wvx!o0Y+40RZpWKML`H}%e zh!YBn(KaJ#Y8t^IWqfyV}}tfy!5G0tH|WI@|eCMMt;v6+I-8q#HtpWQ8?P>VN0KNWk_p2PCY z6IX}1wv)Jq`--9`99gmwt_{u?ugQTwB;u?44a_Rg&)Z7u=NqDt+qAl$m-)J5P@ktA z+lYZrgfj?Bh1p8loEBL>78N!z0h2@N;XzmRR44HPSx`Te3H7_Gn~79E(xv)cyZOYE z`n?hQso29KwCW}2s3)*8cO)vO#T>3~zV_%9x#?ujn~7^!o=vP?H=@~XsnF29TACq= zEVOTIo1HZSmjPfeD2Sek=Uz|{J(3)IL4hePipE z07J7u5Y2W8vmqcb8pR-tAPtELqDS~*{gPw5XV3dVA{=UL^@NyqbA(217?05+*bRXc zo{L2(+6_S){qruh)W#LVLC(%<@R?JZAp5lS)$_G^69!;q(U60&a^Fj?=Mgsv(2}(vV8K_9mN$0=@O-sCmYazd#en z4H(bHhG2liG*u4^VW4qx)>N}V_{odVk{ot8_rpAwmUJx2~pWt zj3bexscWpBBYdx4Th_I`a`S~U?_PO9k%h_c*0kY{);#PCJWMz&gio*Et;5s z-t)Bni1qN7LxPUPnAk1DIU!9GO!HcPBOZyjsF?ugVXfH=D86f>HW6VUBTB)6Ny1!S zQFrLl^%esD;Odzu?&g>#+bn#GLc%qkDsVi#V}D5WBSk@l%;C%4LY!)}G35#f8Ann# z9Pf5lv%_{%&seTzva;AhT3JM(ODq&BNzyc_Po!);Ru$q*4I8u2N351 z7Q=Kw%A8SHd+0XW7y|%&Oo;J{Vj+(OKY$c+U#U+Hqj_X%WpWtDyA>cofV${T7{k-0 z6B$Q>@6qXOa{-F$-a`kL$Pkq;Br*(fs!z!nZipTG71EI#7H5xoMR~w)c>T<`(#By5wLcf(h`&Qo;CcDjvPWQY$Kco_alfIJO13 zrr_ETSN%S%Rp-U|53`+k@0~Ajan0U(0-RY`Cian}%)JV0r^=#*iFM~9Sp}!x{g{d! zZ^JLg}S()V)&Uq@hI^)0#EJQvu$T*T%kkcA{;$e7LI(X>xA1v^2 zc^*5A)nnW2S+LMAenYjq5iCsD54;ijso0Z)Oj}`p+VXf2`Rcc{Ej0n@(_`yI+1ko14^vjMD!h3GKlB2T#l&c zLcFWL@_i8Un!;&kDx6PwkI%1@3&b9+h*LP1-`^h>LR7oXGu2*C-XG^TLL|gFWvP|R z99+!lP6!fSI+_<`fP}p4KunU(@H12!@6D5V_8AE8jYx&iV3YdFshZF>9BoO;<%jhG zu=6ol+58-fCimmWpCQ4cmx|^(U9!lk!6IA7GB`sMWQjmOQ)|jXx~0zsSrWUS=Op7i z;}?h@P)V}D!IqE(k!UoE1!Eu`(m?f;Mtkq@8&L;bA`B#?!P=Lk(Z8Z@zmjjO(U?ax zhWjyWvz-==Gb7(U!X717)+vXM1Cst=;(|GdjsLk29-Kto8wfQM%acJb}f-nA&%l^HAv=nn@54*i5BP zy(3>JU;Y@IBe^|JhtMO5+PkHwTx9A&d{}o}rV?jI@4a8SZvvL6Ck4BqwTe{f;a#HtaghP|LCDqs&Q! zT@LB@H$>7RlUho|*$&eC`i+-lptaP2x9L) z24Y$6hFB>``W2)t$v;V9u%l;nT@zkW@> z|HHrkCHB?7G$rrNGCif9P1h+wI7*C3enaJHc4KjT^FiqQ*T4Jk{!07IeuFAY`wo5W zQ4o9CE`1^sq9Kww#7{oR{I%AJEh>Y$sf$orCj-p@y>%jgV)vg3(tA(ee9-w@&Fgkt zTKYt?#qQ>HeNFiWNLa}bSq%j_TpN5fxak!2Outk9iw$mvng6o!@&-F1R`>TmK(xWM240`g zJL$hT`;P%*Qf4oo#^ubGO;ri7mh?&k-6N3#*|sNt6md znLZI)y`}uJM`Wu|Hbu8 zPCd)u5$*h~Yn7TEg4l$Rkj;6xhf^&Hd3{3fr2kfnN3p%2zJ9A?j>xX(d2F+xd+-U7 z=dcCwIgNoY0p`zKPl!5T zDV>=tS?1hF%SQoc+{G{t@KaCW>k=r7v0{c5WC+o*2e8Uia%k!qIU zxL~1QI_>ZNf`!`bN6$89HA^Phm{F*ASiqavlYVjYdvpGp{j+H47d3V~t0i)+SybRhkml%bmyBF}t$zG8nrE*5ny@Yl@!iX@rM?KF z2ASM-WpjM`2D8oSn{w`h=}@C_jkpNDVdz8v6Q8`EdIX?U##Qns9?+1gE2`FAWaETH z0B(*{{cByzj1x zz0w=M&laXg+}H9|S(VYTX(J zpU5M^#UuQ-9~8p&Si2yWlKY7~By8~$D`bSNs_wV_esmW?9<{V3%8^7gt+Bag! zO_e+8H)N&TVtK;0YyHb7C`60t0{%cA2@nY#%?}xC%EWQz-VCRlk7sO4&dWEm zuM8ou4(H17;|uf>hBIZZd`d8B(+w044Oi>FWC_Mt1QNb57@f&sg;cN@)9qA0ZCZrn zLi{V|WH9Xv%Jcd_mIgVQ;9Nnilo#Uml9idRcCZzMg40+GFfq+_{e+}}o6JK z(HwJR(CB7e5u4~#`@J1399Ri;@q62&H&jgy!sGCBYwUVP6p}l8L$vP=cr+-7$8xJ3b0O!*S`z@FNQk{L%*Z^k z_T_mO-dDRNVjYD&V`t3e6Hh*c^OJcvF-3%rS0cB?>KYbnMpX9LePbb!Zut1hNf)UG zb0gL$LF=>SK>Xz%yrCBQcVwG(JpLTDqxbj?JEqwg%ruEG%pP4OuGX{Ybi^iKXWp1m z7~F24%+HQrL1rvT3P|gJKJUI$%d?BK5aoEu95Git*LtqH#ZuEgN z!GK8<71L+&geM%_xO<}N7zx$zWnj_+b%RsqM8zym3VQhp9gB}J#fSO~JBh@gMMkXp z*C+JQ2nOE-a%qk>UW=3DEn9y zL+u6xw$*tIKo;oJaE~J*@4mgGVXE%cBQy9WWj#CD9Ul&Q$x@kkm)7P#<`o^Kn%Xc;HELEwC*Px85A~&QV5pY75!58xG#^Dy_&-7(?o=(mz~=bhX&#BC z0roC?x!E->RF+rFvm9?8(+@FI7+gvzj2O36gwE70dn`9ErjQtC5~c_xjT%g;v#?qi zFN0J?UYsjfK zK4OM~%9+cnB(R?I7dDpAzE}Rl#f({=RkNOs%tU5{qtGjVWClQdM1%_^hgV81M}7{r zoz$_EqV6^vCCEwi{>G+_lx7_t`7ZA>r-S_!OD@DwBHiYAHxJY5iS-mJ72gay7oO&9 zDrbBIBw7@!c~}KV&U6dy9eH-P^gr_cK1!Hx#I@DPvmdZOi6b0?j&ian%|MXTJvW=@6FvR|L)(E!BKtE z)oK!9{6neVWA$I?IJqaSR8p$7 zD`KAhCU;eo?fUu9m&{w2pX-$-` zGXbeDS;+e($a>GA>I7J3a`dGg1Mt4Qg2_jM+2y{4+i0w^Yut5gND9oo*a~8G3nI3R zASRlO3=bNnV(v>lZDp@=O1J=#jkh4xHr3*?yscP4;F*x?Kkwx+AVFlI%f$}0+A?Wd z-J|-KKw>jRBQpXN%YvXNn1Y|I9#z0;N2@j5dKoEpT#V$*J z#dy2h<4z)sw{c*%h4D7bzRTl{5XW08=Ho4qcHLn0G2Yn7jDV3S24-EOTp)|x(>ZIQ zs=hL8QK+fCn#rhhX0b7L5@@D!?B{A@Y)6{O;3mBgUrA%sdWIM9as0{F$j6^}#oqu^ z6_g5fD%={Auc$tdYoCkZlFuMreM9zQ^;P#YX@*D$*g&aZweq5bHwmrvPPdGT-!ZSq zk;#2wUNP1;&MRomh9pBE-LjGs6S7g$OEAP++NQ-+{Iw@%7oA62_mzi>xm>?>O>>DLmGgvH1w>vn9a1r$*b`}={8@kI z!`k@4+L{%oC*-mbCkNU3>f|B&{$sKdBt*&O%D&k(`njh2cOl>D6DgWOvDm(<0p(1_ zkfi}5@3C1nIe_iC8nY=IF47=Ue2brXd`C7n3s)vv3ArYw@OZx9|&N1F9#|H_#!X{s&*O>WWRpar>OjyulnORM7!B4RA-&d?PO|?ro~PF~G$Z zwq%hS(*IyF+jsSZ|4tG#Bs03x-a~tKU*1xCDzfVm(#kY#-bf8kiIT3GP=NVY>#y&F zjmXj{DIC`zQ_?O`zmZ#A>$DIOc_>4*u;kAB3svd*BuJg;o^Wy}Wb=d@mO8kqfbx+> ziP~=Upj*U8F_GBVreeXVM|p`HQ^NE!ZK*>Ou98r1WILy|S>C7}$HYa~R5Wj)vC%co zm4?4u9DG1qw)zIBX0T8=6-b&omZ}G*(ZoRNH9HmLn)EWVY6^0WseZYpIJa=PlRKt0 zLcu8uK^j395*xf)>`l;XDqnY8u|%Y4$Z?TOd*ARmlGYcwDZhhHU0>v0{AqPrs~a^{ zb%W=+3%xxMSHW=#inM6X=A>YSE*W%9(HKwaz6@9gm+WjZEdc4zl&Bs8E6E{cNOf<1 zQZPSL6O?6ooNBL{B9aLdO@NyMt#sr$6w zzuu8YnIqy^lPHN4IyWOTBmYg3v2b*i=Xlgk4g~2-pU58*mWUyc?;nsw!iOHA%4BT1 z{X~`so1$jIr%%YA$Rol-!(dte0}sZjEYP+5D z>|JKUwww7xRtQVFL7SEjN4|eRmO9T8^X3K5B0+f)`bWsW*&zSJVQ(32xvD@w_@5&W zs~YXSDj-4lpCOCWFDA2CcVemk8*9_s3^UI{F>mJ8;3s5>tKql4hX!UN5vpyDgFGM% zd3{2Q1Ise;epu4prsSWHk9qs2j~=zB>c_QM4&LuyM7FZR7RX#=%aMKaecoTlp!^qF zTbgI^eIkD&r;oyQs=qbkk6Gu8isHB;LE#cTe@ ziX1h6AXf7qX;ogEStwuR<72 z9o)Z@c>32vA6O=G>7@_RUPChD@(#%{38%Z;UIi$w<(0a7RX?i4t+$*L-<_&x^3lO%U|n+7b5Bb2_hcHT&$=JGHE%! zSyS~dfzF;AnUOvf3Pvq>4`Do(ptMvIxlILtw9C`X9+81iH}~bTy?RtV%Uw?mJ#HQf zo&KCw&^Es(=cE>Je@!0A^CM^B5nwA6-V=B`TicmuRIQAVlAS-c>O9P(T_5HxV5 z8$2GLyTKmFTNIbQn3u(cWPtdle{BoR({a}98R#_5F(71Ks*c>#hTxs&XLAQ zKS!FL{w;Z=wT4u+=o>&BX{nfxv_u+;f$C$Vv5^@8BPbTkLY;OWvP->FIa{Hsx-w)@ zsA!-vli55Ebg4C)UwoX&0PMsv1c|z4dj>$3dPq~z= zbIBtRGwb)SK=!X|S>n5=_=IG3Pw@$)>c%t63ONHwR!ABMVO8#TTv{10aiLI>7f6S6 zqIw|v^DLy`V$*{KnhnY6yl@6 zH0x?f3!!AM2x8~8LftYV8)q<@ZN!pE1dUoMz(Wb0ceQgK^U^sZXpH{wBZbZa`$+>$XfnL}C(3#e5P^vvZ%?&f!o8{V7 z-NSI55ixF~7{+jrPJ(T3d(YfP6boiSy07@#AhYUs24JKEiFrp58>3Nq_1Pe`dFReD zkkw_a$m0RrSegh+^2ZpTCHZWuImH*c)SS)lImn15h)1!FCLBm_ZsxtB{_SJPZRH(N-0v>M0ogJDj6~Dc||cfTaVFfqvGKH0zk_G^m#2?TdBC^x^rC2DVe$R=9Y+|dGd zia{Jf8Y1KMEboF|)cKNppoU1uebJ_tLi6?zNLpr23-YFA=B$1KHI|vR+EJHXHIi$` z1tk>Narx0@3iY@moa>T5EO#p@ncQKQJ23s$E@p|)Fw&*2q`D!mXkQ?H6HBPrMo$)0 zZ1ejXLdE1wr&+}|93Bc40~9J2#h_wA8Y;F$lzJBQU&%1q%XrH$3Itg_pU7XiHLSL` z45NL!-tvh)k-u9rvTdRsWVn1Hf2C$h64^F^u;vfs@7Da$&&+%K8+Ww*V+I)}`13p3 z_IkeM4hb^n4(;`P%N@#y=MF_NAanB2E{7PWG$!xNVh$;Z+hu94*LG zG6`C)5RfFoD0VWL$**80(w=+U_icFcL(yw!WRwrjVkmeRWIH^VUi>xmKq_w`WwB~8 z1f{IG57pNgK`i&7;%&ffc4x$Pkbl~ck*n*b6K{p0|HcFzn+_*A<+#FSFynxZp6Yj4AQR<_S**%;kb{0$l>NC zWuv$FqhmI|Nx*Lx-@1o>51gakx`iY&V4>fGZ{=*=L%&X0b-?4|s2s?-aPXT_AGrUD zNdJ0193U;5p|Z3P+K+F#?*LcE*}i}EX_(!Bk6+T=q51$CZ`ER{hTE_(%y=CEhuMwz zIcyBG8^LQW>={5DXsMVFv_u+e(fFVQ<%32BLOxI`ScNj}Ur*ymA&ttJ3Ki9r;fg{9 zH*pc!+(Ww5n&MacB)X69J3*Ohmy{+dH{v%zLU{M=j^W|mQcrkIF!16=gS*EqTOlK= zCoDx>BIV#JRUe4IGQ?tb*J>tx@j4UK&)f*k4H+;tP%2mj>y4#&yVeckfk3{8|!9y}D~EiM?8mZb-elvx-vB z0HXHCF$*1pGgn(!F^)WTuLa@aHz{Z2`OUc$*XALXK#H3mA+Aqk^O3eRTWvZyq^j@u^qVVlOZr|bF+i2e>f=$KBkSPP#&Jmg4jDFbShFj*? znuhD(i0~Cm=(@zNPwKj*EbM-v1r~D#!KvDURGL{wOUR3+Ln`KTdrjiB^iXuiEQ%&>y6?tft)wD$#t-IcFmqExU7%58cX`k@R9F6Y7scO*?X8{a@;q)0g@!h_ywAf3;QvsmYv0@6JOiADo%0NxN8!||J2+EfN? z4t-=hBmMdDuW0bD(XTAg-D6$B!LBbUcm;SU^R+C9zhy1W9q}Zs24R}(slU z6gn2gpkqNgq=u-*o3MWf5dQNBP9OHFXpzf0G%QD?pVQ3-&&WtNfZCKqIFz1{x$Bg! z%&qDAFCgE6cvUxoQbaxoegFEue<1!J|2Q<&huy_jj^Uf$KJ1qAa_D7SkA_Q7Iowy? zhuNY)z9+;sH-gB`ds4Thp}YhV=choH2`SBy*Xz(gLnNzbMkHg4gS;wvBft~Kt}A6OxSicfvDj7bxy_ZLDcF* z8J&aLGvcoXMX~Ple2^Z`ogZB;yDu%-lfBEZ8EOZPr@0wHX7?1pE}6eQkne=J5h{R2 zS#JPRmP_*GO{lv$=1>(xSPt`65b<_q#1_OT^%AI#nrLUf>J0494|D|G&7&I`dF&X4 zyu>Uue@#em^Mx_w^^Ty_hXp%XU_2qOLrg>=mm#zoz3d)K0h z0?2Tl5qb463aJ3asSu>m54m;|skX50sfPve@QXs_Fvd!C@toI5RzrDaL=-Gay`Y9c zJLDYo2Vxcw)}ttudeljWl%w`Q>_l7?YR_ZN zL8iUUdt$wwPeWnRTf0ZGg3SIrY7>QEfM;ai`otzObOn(rB0=|sDOMm$4bpDE%7k=% zaWINe!qgf?bQ!{M+-AzY@k?X)kuFxXsvh7Q|;$iBgFuI ze5@eIT<%CD#K+{_j}WK`ak z7P@u`e<0)e(=Q>f=1zlXO?O}15}Dz5aEFiwOiLnom@veShY6G1n;#(vxg)7Jr++5 zqqgzQ=Iis4C^paXCvsfR{c~*1ajDxPw{P>Ees13z;-%I`&2jn}oqj^cFwvo$1-P5vV-|Q><0FbGkAsk5YcOsVBSN&PgO!#s5 zH-^ZjHf+nOe8Yh>5jr!9vQ&MNqUc&Wvz4tPU|$OC(;OY>c*O}$`1vMyxTPYY5< z!;Qdxb!&C4YSh%#A&~3FD}C$*LFQG3--Pu%hVR=m5_@1P*3;J6NO$)~ggJjh?#J+L zK>~c|z)~2&B%EX#wFiP0da2+PgvWF5bqK7P_nKqefHdRWfQ?Po+D?QO|21+u=P z$-|?*-*)Nk+=GJ2O0&;fQ)73Fx~m`E$cXuqQz=+$AY5oGdfnw?l$Af&wvLSh8e}#f zto){5?^~?C@ZKMdtbVG+|2vi@LCUmz(N7!*-q4OcU_ZE~fb>E2bNfwhJRNAW4q+lM zj+s;ptl}y2Ku~N&Q~L0;(}Ca}zTl#Jq%^p|dw#EA1F!yZ2oBlEy8dIqq?@p?0n6O%UbQItGxT0Ix_Y{VCAdL9+h5jYgtIX zcs5e>PCJVG72RX18FKt)DDS73j;A9S*Tcbvm^-Pv;r%y{-jg14{tIi6$2EE zMlnbuC_`eX{X@`y6cKBO2RQt=;O}2V+&ujL0eKMIYKKR}Xl1t3@+}kL59ATyxi!*a zgyV4Jk%R;J;NgSNTJ4|mqL=CqnQ&y({8-B$#p~KIAF@WRG+DmMv+AP~`JNE9HU$vf zkDcF3pwk0c=67x5@RO|ws|nKex8)_t@8i4@qRp|Btx-s>#yBU!Cj)<0duF1MPG;-T zbfj#ZwiUF4G{I>1q@$1pHisFpbf#AnLliAYH_y!%MB~9hR(Eer zASm>NQMt#U+KT~tja!rFVQq!%-m{dZN2QE+ynsZ8I6hzY{CPdRUSn36B58CLgTtAThHWiWAg((i< z$KQ$WLI^N=%XY1qOnd$;cJx;Dw2(l`^xDj%@Uywm?EhDx^pbE(ArJcGY)1*MQvB5Mc2-MVd`9|!tJI4eO%#*2< zPn3zW4^h^hx$xuW?~JV}hDY*}Vypz^qVC~W{DlY+@QQExl*jjw@a_(OP9eDFXNij4 z#FLwcyLkffONXM%aWBz}Gs?NU!-BJpw=b6bj6excitAI*4z^f*@Hw7(pM%lr7w-jI z)%`*l*=9zIUr!OLUEDbls$Kj>ui&b2jdek_0rctc?nTb7__i&Fmr z@Qz}cP(M-*wvMzggHdbYg54F-%0T+_n+rl--ScMxL9{UB^@;R_1(t;xRz_CU-VC~> z7-KMAv1bgxQq`6uQ9KVmkUDQYe*ntbvS_2DxPO$0Jc+okYWiJh-q`_3?PKhXnl9dq zw<+j`eEq-_bno#kEsdHj$i`VzrXV7mf}#|rprGti5Ni)E&TJBA#@1F>aqYA{udZV} zKW7%pi=wUu+rs>6ug9c?N>rDT`qMlXA#3 zswZ+K&ybb^pQL*|K6nwr8lFkQ5$;HuaBk$BY@UA51IpIPOJh@Wk3U$~v z;1YpCwx%O?9+l%UvOpRF3@9Zx;f#qeB3iGgy}b`JA}a%=?-wIk%=29WK@}GXYvH<$Zxp4imssvQN>`X@Hv4r6pVF)%V=Ig zJj*Q=vc!Q*)*}F{`|@Vu`VL)`Z*Kje$A=&BJ8*F^5jik> zmPzUxW&8pCD_)Ckhn=t#Vs_&zk^t(hkCwOhWDX-4T(sQ|J8lZ(J0WEEuyeJ7SbX*+ zkhq})a)aeKwH;`oA>t*Q5ve3fX?DXHiFEK4)d#26#1&3u6KsYLk?ZOE%$bPgCs8<# zm~gJ!@)NT52CB4IPOFD@D3|i6>q^~Ca~b5?;N7x5sd5*Ue z_zqyWyRumtya2FzZ%8tXv?=EGY?cU*#u*|9OENOl(uh(ofn>`Qw1X#BA51>?jgoH1 z;2_fcKFSqgHQj&;6$d)>7sC1gn(tX3RFk=}NoTO3*;gEmS4N>l2#p00cS$XsC03k_KQ>M(?ZFcS(Y-Xj& zh$+_2$SBnhv?=1K-hm32G0W8FSH4ij1<3^~Z(n6X4m~jt#i&?LI5>*B9T#}45 z@zKRnu~>n0=ILz`-WKjw6fWg7-Y)lISm1}q!X1bd#rAdP#Yt{CyOONxwQwUM=C67o z-;ltRyzc5oH-2cOo&6+WA)R^rouMRnMzszB<3R|##gOAS+7 zcKEpPMOCpb8I!Uxx7#~IP`DrvORXSw5(U$wBJRepRXh+Z^tqHyz?rZ&c%!Qd2wqbp zlRp4kJtoE4boVkTR@4N=ipJO~KQkqkK$Logu7UQuyk_L_3y|PbTt>$k6HgUK)0z1s zu+o|5;U`8jQXV_((U#%o%Lw3d6*lez7|&0OV#1a}_JnRA3zvSdaShQ`w51{91Yl3r zo2ty|FYtxNoI6cf*O+rp=9^AM332scsni8dn!_p84g?K+zEIV(AT$(!$9Tw8v1697 zQ`Dz)OtzLl2R1i4kOMHAC<Tn%G^W~&}JEyTr2P=nALVTRD7=|J#2TuUEKuEt)JArGT$`rqbGRT(f+_Jh0 zV#91-MvRG)JZZ)#NWV*GsaxC!84?YrBrGhwF(5AjBeL;8<#>MKGe_qb$(A#u`>P)- z40Hr<0r0RGn)?Ct6_b%=WWh>u+TEO4X=_ujMIzGNjj<%R-7Wnx0<1Qg? zmatTk|CUAwxI+Cv%9_tYO*YB8p?}wq!_VWd2z}sW0vglDLgFc?9J7}bKnVS&YFi`< zT@I+%HVb`(BhO?$l5uD<4+JtF$+4&+C*{l&Y6o(xxM4xK<8%~}wvgcpVKp3%0??EB zrNa6ERf`H|_)2)}2+gT{v}2 z1Zi;#t-b%)%Q$F@1K$~_(?&;@!;4djF3OTI!X32~7bN`Vs>}^HJJ1C{#5VkLVQVVp zW{R5M6_tiPX8DIyV-FTWm?YmXo`TfFu1tD6!)_ZbSETroiSgvz-!CNDuA zXs-@fBH_4=Qe+a22?JPWK{@2@;w{Tk-#5 z7V!up^@W6?QmJldk*(wJJzROay{`D?v=@Y4ajp1B(Ew}P5&m{CaL`Q-9*^XKH$fc9 z1HYrLI{EV0!UYAd?JMOZ)Y{iWZFiY@v*tirH)_s>(RJfSkXlMTT&mlv+Hv9v0N!T| zIYp8RWLbH@i2{#25p+t*nX!(WlW3wyQYsLphUDa&R;K1Tu=~lX(5jsQXONA28LxUz zQ9Wzi?#I=VRsjko_Y@v9N#snN-Rs)JbCyK>M+vt5?o+)%M>>2_gR^OwX0keuOE%{_ItJ_B-hS}Vvuy421Q0LP}xsu6~9 z5I7LGTTy7klai#n;mjgyj_w%t#8>LFZjUJCezg@{Av-+;Cija+l>&jvcqyvYi?peH ztUp9P^l78~Tlr*>M}2Vkkso%o14|ugFRQ1HyzD4s^qak6J^?y0rk*tOKX;|QkDj|S z5OgIc>UW_b|a;zY4l6ff2 z@wL_5BV7}CmllS;HG2dus5lUPq7IVjw_c~2Ti-RuFti(Oqy8rVV(~IDut=Gs4|S8H zXzmh^!w)$YzvbxOaQQed3zu1M=JQLUy6nE$wZ@!g=mixALco{Z*q#9lU`qU+%)D11 zac^A`c_5Ivm&!7~XiY%oUVRcen!Lhi*=XIE#Uc9w43@_>hZIUChEM@rj8gy<7mBQm zB{|Zur-@0+r?RNEOs{_$ExBdgT^wfG*?s9Qy@fq_*e9E^dj{vPCMNWX<0f91NCD?OlARCJU z>gE)W)7?z(7lbQmZP$!tBD+X76AEoA#*(+U?)>t=Ck^u>io z96np_WdKV=cADQlI>ZBaor|O6E~NKeMO#1@!vjD_&5JCxcDRMfYWml)D*NGw$w|Y3 zXxX3v=!#!EjYQM@7iy-l`KapCcHzi|AUi$y)T#rCJJ#Qp6X)($L8iH7 z-+ahNW3M+0^9H8v^P`p&?h?Hv4us%t1SXve?nZ1!%C<=K=FDxm0evGk19?j=zs)!U zRV6a-sfnx%EeUc>BNza6bMLg)yls8nC_Spi-kyTRWDZz0-(t6MBa%jwY92J9s`Grj zEmwl5%7rO*BlXCZSO9p>1chRh?B@JWOj@BOg*USe)m)$a-nr}IeX=f^s z-mIP&xa?K;80HD_cU(}4+VCRnq={aCsFk-c*mfRb3BHk5>s)4U)6B4+?s5)IJoNx< zasXrqOn9-xsFO~e>9r^OWES(Yt`T-x-apH1Sgy7Hz01s-H30128|de>*_0`EKc^K0 zRWB_et!4+1)V-U@73F2lvbSpt%!iyzFopk6HYEQZ~i}~kRliWPAZT0*| zez#(wplkOS5rDEaIJ!O%spp^4wf$eB>#?Uq_Z@jcceA7XLDzvq_XBxAcfahd64C__ zR6da>bWgisBj`Gi=zbuN=$5(P0A#xF$P>CpoBItwqWgh7p*xPLQ|^uv(|Th26M3Q# zZ-s)ev+(@@SYRLo^TyHlBjiEA^#_h5@90C~|BTFT_dgan4Q+DqJ*@!`iEPdQh&XWZ zX7%dPziq=&;d)sZYTFRQjH;*CdHyKOyWc$^2Y}?1p+4$Mp!tpf+s*yGfzGdB#3OPPdwx!fLQt`j&vlz zu0T#jc6&a9WQUuXta$i3rA@o{DHM_bvb8xoj8#GGx2BUjKTiYP^}PI<`|i+94W;~$$aZBK_B$GO=oUxccJ|-*4pwfpANVbG zz9^n2l^%AGu#{>;G5~o*WR-}#*nTRNVm62)56Peq+X7&vE%qQpZi}69U2KcL%@%C| z-D>k)0N%JLveH^RNh@u$!+!hGbuIz&n2c^QqqTMpIW?}^G>YawqS`f6%83Y7JBz)> z;V|EOG!4KCkwZtV5Ya8bE|-`cE%%0h^#1#5IL{Z(*djyskGK?ga~q-=h_HSC)2U#wt%inB>-<+ z6j^DlodHPIG&ks0gx_>WIT0~{P16KV>c0F|k3E(D6%}s_iMN{_u1MW(mzbuShc6fq zetg0OLm&+}(drVyTYUrMhL|W7b%U}tN0WOvUNhonfLM(0RgyI9Qr6C{M*9+AJa~~{ zvo0J}+dKs_qz8aERBb26q92OeP9OBq+H>9q36R#eXKg8)?^njC_`f4u*BFHD!-S3|dML|+I`x>Y^PFGMc6 zO?6s865_hWQlVRGWGhvfQF|`F5pf zzJT1cwIBY880H6VG7&(g2zh7loB&+J@?sgu4{ZL4QpJmIthoC1U1*s#U1?0rJl=*% zHdzut)md|mh@1({H0*cC%x)=8657?wp@d$nRx+QTZr0@1rTtg;6c7K|JRRUJs@6yT zeb|5Z*vqhs&|VE0Ap5WZ$rg$vCTeG%ev=Q zOnkhau`c&)UBSBjY|pc7?roLMq|I|w0?^XS`$9&tl<7%)PLj>C-yuHvdZ~Xd8)lo|Q6u5G?ZWTJ(K*#E6P}0FN)q1v z`%H~K?8e%p;2zEE$dVGEY7bhDRQx_Y5ab1zGDV{7bgy({PKt&0D0~6L#HZdEBQk7| zbp_5EGTRa`_}d1JXQY8@D6rSdE|Rrr^z>UlkEEbX%xX?GrZulPrZsOFn15sCVF;yS zq@4_)iJxbwW-@Q(5@SPMv%#7D4pxTd)WmO#t!0fp zH1?b{_E5)A(%3^C(TNoT)L1K%G}fpljkSuC##+llV<%P~2R9|Q-*FmEf^$9$9v?=N zokU5xaqlC!VhmK3ge61tP4 zVk>K&5Rowj5ekj0!SY)t`jvL=$zJ;HQMv`)5CU>wCfa1bmq>KY0p%bNKoxrBG8m73 zMLV1*Zu0QoAuIcSshwxqmHf5<;k731L*wU}g8Ys~S*S2+xJ3%7;V-YWEgY3Wh%EZ} z`kJ)n(shYfjM#rpW9U+c!;8BbLBz2ZfQRLxj8_>%{UFARBM}I_qJnaC0*lx<72!hR=V z?J!~>tleA#sTFoPXEeiZ?~LDVwv+^bE#)(=#O`clqS`i%qDQRsd0_f)s$a3&Frrxl zW8ire2X@GIloHW6t{ob~J4UMXbUOzz<8xkY1aP;T-=O8OC0I)7H{MqFB54p<2rxLa zB1?L3eWiW6L{{9n#h^~hY|3w25WUqmAlu^?o9zLe7`g%YmBL;m`rL`WLf5R?P#kYo zFnYx<$bL%Nv48(EM9vH(?Y%TrCn=iU`Vq|vz#9HSndlKCn!3#DO`Uq>?bxH>VAYFz zsJwE+!Kh1Jc$3IGC;W~+w4h+j`5qHYbH3NQH0jr-KJ-u>yZde9(g4gqM6mPm@D6upyQQD;(|2E)=c#Ty;JcUZQV)T-Tkl5}y`&`qj!hXsvh z5rF1+nPAO`Cf;}0$RV7N<`3b^^_R*=vHL7*8GbEgAcvn|`b6vz@_%K`)sD`C>Rt0D z;mMI}{y_d}&9!*6mGwCC{R8q>YKA21?(xk)Sn~(+S8M+4=dF8a_22!QGPvU|)PMKK z5!_Mz##@;|_0=3f^io{B1}wmB9w=h(gJi^`S*euGWJ9Rk^P*no!KLUK;UaK&*@mIGmDs{JKU?YW5=0UJ>atokjzE{#VMvJ}!cR?2Bl z22nf)JSKA6EmB0XFMg|V^xZ1CI_QG93^~3YU(`A_yQ4`Si>P`xq9Dg@ya-}~7cOYM3OXQsTX zpDt>D2_&U)$g}a0`SYeCr6f_2AGV+%6^E>J8ub!BpC zto6-4IA5Wvu`*&&sQYV9f#7|NrPefm5;2o`{0v|e+Wj5CK&s{hX8F}LKCNdeE{NyY zyCICdelHL04KYnYvCt-vPK-tUK=7=FS~AEphJLu|WypWJE_O?>@uaNy?ci z)DFZO_=ropcu^lUIgL#qr>B6f2*_Ow#jH_e}9Sy6xSg>TcWRO;7L z|6EkPdr&o~?9ZdB7$K#4?2JHJ(POlweAQ{{aI)VMi|`o=!?ea%Dqo(covG+{o zEH%r{kz`KD8M1mzV_}QUVbe2oi?yS!Lt`Pi_S5etLN5p~EhhZJjP7FJYy~U0A%{P~ z#8QVFqoiw9I~g6m5*IwME0=>9Gol*~7USq8psrKWG z7QtrXGw1RvcmNc9vJhkubVFWN_nVNH6un%{pRo_5`@3#SWcs|tb0Ag~0eZ(qR_`8> zOT{iu$)=D?b)%|X{m!}ao!%V?tNM|>5NQ26>#SRqP8jq{^{WWkcNwtWt=YF^D&Uku zOQLd!YftVcApZJz6x-opC*|F5B#Y{I4|%eMyBq#Vy8s2JQ3}!s%8=O35X76H|L82@ z=(oCxoiD*EbJe^dj}G09Zn>#a$RlxY$bXY$ENfTo4-0q^8F2P|Ab(6){srXw2V{}( zVSa{0#nC;=HdGXW`L&CO8&Z2lnmh_mL?l%@YESVrv5M3`<;XrC$oki1 zoBiX~x>x-B2js7$(?Pi5eQ(WE6gmmRi;h0q4ZPQ6f2XQC(#wW~gDnKt{kO4+FO2hYC?p43{3AsgvQSv8AzVPhjah0GYD1RV(D+;i z(B~+{-UH!RbaB)UBwFaDf=>|ckA0Yu^Cqp6)ONEcTCq?;FQ}&#b7S{n;!D5bJ!K)> zdZdIUl5!&+{gKy&B))qiZr91XafczM0xyAjMg-9KS(K8OTqVX9l;u??@%yv(mp~d& zU>h^ir$XdY670r7Sv(e?#*pOD*|EC-Ef4ToNJ5}YHw359UOAC*WU;r)<2);L+o@rm zO!q_%50L|zc#zGFz@rM`+>q()-7%JYdB6`ViI19mnGsFC^fKDLVW!b)2T3v54%-ztRrxan7$y;2O6@UT5RNA1sQ}qKG9(rW4h8PpZ zS+j^h8fVP{0%@Fiif|fdL^#f(6vkOlrdpu(7-wu_Mw|~8Bd=7Z-3RPa=TuBptg0>y zSQaW+Fox1jRV^-OR zkK-jO2WAyEhKa;PhF?S(JRm1HCQoS&COON#93H8ra1x-omtKNXC#hzZyk;WD6P6rENpOJJ(r=-=>vy`th%e>;QOhqJ-kIB#4Q<7+CeCE!qF`%u# zD`x>}1t^D%QFj8~W;}BFs2euqS(_c^zvaw*f0`SGekvDEHL>S5->9&)?|_$Ctmwqq zACft-A`{W4Qj%E8LBktUsCY{%de$;TnbYijD?p(-92t4h%1@g9~rr z2VZM8L$TLflFd+>=ZP)J)ch7{?z@raNlYZu-Yxp@un^giLdCw>{emS9hO(ytq~paK zm?pmo(azdq9d$i+!kH7{+S%#4g)D*Ev zeDfAfeI$kmfB~3OWS)sZu0EQVfCw+Kb)U$wvS#_ni2NZU-4DSnwYa_SHy!z&ka_35 z?OzwoYn^^1X>Es&>+~ZTYFA#)BYPOr50RLDQYoK)66M0A<7;wppnV@)t(kON)fBvB z(rpXxK}FrwpUMsS)z}~-QQ1zCu4w*d_nZb@O+!9b9Sm|)T%BwkM^=W5R4u*C;Uf96 z%XXI(5)V!c7tsBln0!ahh2!ERJoNJVTorPO7s>c(F}7yFqwZrNB}DsJh(zWWY^b%` zSy#j1Pa+*w75bsi3rT!Ii%!VcwSgIF*-xM~ooA-oaPbU=ujHOygRXdaJa9rRJ+>t? z)lz61Pk&OV?QN%-TZm%8C`k7O_ZsD8*HFh82NE-mAb!r9l}AP~Te$!KwOvb=<*JVD zpHbupV%f%kJ&am4gzVf##QeKuefE}cMCCR4&g+GD9P~p7fic1|G9k0G*?O*N6=^11 zx%&b=SMJb2oYm*IB+i0w>=NSNce2@%(l#T#@IxN*U%m~uWq$d&O5I004goTbBngj> zal+s9iEPi)t5s@wABc;3PFj$49|$);*Pao-A1<0zzkMl4*ECS{nD&Kq?)KyDs&+9kkM&oi2NSa?R#6HXA{37>;pM2qIZaKr9ycT>6m=Hh93xo9&U%kMnf~~*o0O@z7hKT_0JE)ADq_G z>ZxL~%IZ@<*ggOIrxPvzLjAB=xv%<>r`;}Xp13_frx#?3mh-ZEueFYuBcBObSvRR# zEzb*)jS0OrxoYAjkoBt|a?lSF+U?X@p?pBJnju@ga7lL14#k2$5XksYRs9gD9kv}w z-7mmq!9Y%5GDf4*(^;h>op>Skr79&J5G{+yR#csoUjYSsG&?@rgrIz&^vP*kQ-SaT z?_mGP7+_v~^@MA`fmoeht^0W)_MVFlEcI?sulTf*imiGs7)ZmV_W6;WNIvqfiRVHgyZi8Jvc--O3a}o@L%J`z z#H+LfIuUg}omZpHW_hYnwT{zy_5y5W6bKH;yrLD3+K6;o^0nfQLpCGwWb#A4$xvBp z(I5WDO754!XZ>jAw1dF8j&$n3ewzHJX8uahRQ%DLM7_m zMW_s9!r!A&*r$8wEGWOp-xS}k6vRR&e)EmcPt6|5b24_?Fb-rQSYn(%kQf753Nvak;vH9iih(u7 zA)>u-)GdutAtd|Gg4kOXlCF!NOvs3Bn!VVpvZ60YS6p&R{v7ToFB!-S^(1pM)0LF} zIJ*C}o{uie>k97$vY*d4*OkmZp@52KinjKmgMG(9F zhlzX`%wL(k91|m%~gpCNf2)x`jg;yRa=;>!|EJ)M&H| z+oJQ7kf!L;Rj6xeK*7YxRs~Z4DZ_1F!Jh6;5d*Ok4awW(&Bb;m(l3GJL{R1rgd(C9 zeUOw_P8>H2Jv3|6-Fc7lP*v&eP^DQkM#l$|xTqf28msXLi8Jr`Rdho%XE zrf3zK9v>bXk+7iAjH0J~L77I%h2)WJ#Wj!f$U2;>2WIG?r7?9MEh({iK%1A{V#^3Wq@k5kW{jjh(>Mi`T=aYxzEknj~ysDWmos#A_Gb>1=HZiar? zJDaU9%dQJCd!=F1$?i+DM`W5$9*nAZ#Ua!9(^HeeyZ2!NBoe;jZ%PJ)K?AnXN}t(W z5HKtn$d30kXZHVB5Jql7-cTy!n^Mz0L3X;f=x8nYJfhJ(T7;=UHCz@*n5r|zO!&w# zB$~FmL857_P#JWJu;k@u3>Qn(ZAQn4LbcG$$qsm@amXF^)NJ8^ws{TpPjw{PHkQ{v zjYRX6A@hM~hU6+pZ(KTnJ^e3)T)EuuH*L|#J^cY+A3+?LUSl+6Bd9VO6N(_E=4m_-%=OWV z*+|ev(h&UV*pxBMu?jn|5Uy)pX+0v`?ahC3ARveiQn(ev+GEw) z7(sl&CXl{;Pkq`vK1?Zk3={9yuRx-Yk1;J3U`Sk9vNcO{a0&%--ltjlTItA?wH7Hx zF1Vlb>uY`gofaQf@ihmD&xA}8*7G@BcPVlX!(F~STZg$?s-LdIKAJ#h8Cn%?phN*q zJ>U?-CLy-$idLKsz3ORJ6s=|)WAkf*!!fKXY1V4LvcYrE!EPH)aZZqPP{A&*NYQ}^ z!yFn#lmlV9AbHc7AYQ1wb9^GkZGNHj&%n$p%`dH%rt!r=aVzfccxq-^0!Pi&0) zar<`ag-_YRPHr31!%iQ@VffQ>+UOex!of}k1A5rWU1B%_xQDYGTwlP)phfaAKd&-0 z+i%``+@qYFdl_~fUIB$32a_eJdT^lt9|Qa3W233Rzwvr>2GS0e#eIgg;aa_k+xhN| zf=qmY@q|nnU(tX4YNu7Zy}h*mmje#9+l^~Gj*Su-mCCk~E7-o-u3ua(?*AW~Lle2O zpmJ?S{8(Q_tlBD=_S#ov(a3 z6+f|ez#tLN#^m>atXF!PNOV2(6I|^1cap=9EV+`ntD-jhrrtA~Jqu`F)%m9*<8Soj z)HOuBYs`sY_J~028MtBm#QZcU;2Ac{h?$in-0tMUo`D$nLCEbCMn$qS&vwk8Ep|-^ zr);_`JH|edY9m)}Rg?*RvEm_nvv1GwEGD}k5t~1${@nve@OkIp2U2|SlZ1X$7fgx| zeoEp0hmh7D&#z$d&kwr2!IR~@qWWzz7-Yqq`VKZA8fS_fX*fyqMlMcMB3XMoldWy) zuecHT>lctnAKnpS)^=k93Pct!6h1kRIh5S%?FO9IK}QT$}p_%ZA7V z$r7c&|6NFLkLMv<`mWnMJWPsc*8FiqAnqS>6R@5VclY724G+`Zw)~UIW6nkb&-5`O z<{^oMyAZk1$tVYY0Xa!xR7IWan|g1sS(8hS>ybJl5c3dumQ(ZaAjF{LWKC|;xzfY< z$u&JCKaFR201-GDNthFn37m{l;Qub9x5x9~WZ!jrqruV&m56x=M1==M(590?+xUr@ zBS_#G-5-d1NRTiW3NCQ6kORMfoFp--l5IBYWPhG7>wQ(fZl03Ab0S-}mioFc_94t$ zC$Vds-+P~kcb#1IoO|Er(%k!U9yj;C93;)X&u8{N5p*3%c;Au>d!KUP7u&7(Z>nhT ze^c*`HcKIfh{p$)mGOi5M}!zxIDWE>A%@QkF+dn%K*B=^m@vdZ3jBW?LySLi=c0x=K4oC^=atel(-6LI_b~$SnGt{phA&C@_$C)d z0Lp=1oVN&YQ$-`dH}&4xHRpm|-+r%U^~SDAo6AIeYuHV~tz(K2yUT9Z_(|s#mV4@& zw7Z-T+Z!ec-#jK4?k-af{30ZG5~C`)YyLa?{>?5#h<*RJt^n}PBE7*P;_sjQRS2^P ziV=y1zfXyu*b5gX8hmD=0fdPLNO&RvCVYhjDf}H4gv2{6e;(gqebenNL&hh~zTBc2 zkhccS?`-e_^Q~<1D_^{AeJ2~d#yOE`#EZ~3PMY`2L%x31WqJRE#-jkd#fiu}C$!q` ztJgqmHj?MOI1e#6q184n&gD5T&f^(VO&~rS1qqw3f(s_JkORNCEza+%$msm8p4;p) z#e+VuJ45Pj_tuGR^rlS!&yHv8dbGOAl@(P&Y?ZqP)(coay56=VB_h|2zj#)Xak+w^ z5GlA99l!*UDtAmI8hEZ=u0`tfomBz5^7mSZlA+I*rai?0Vc;tQmhhj;!uEnBsao}pi2+!9o{L_`t~a>E zBtQUyp$Sz1QH~e#1wzT#rhhDZ))vp``;Y^j|HJ^-Y@8;e%K!i-1}@tmVjQP_Ad|Z4 z)Q?S*HgfOl5P9X><-X4`zLIitRZQswCI)*V(sa3cuI9UtRlZryp-e6cFUKHz(KU8z zQuM}Ib{3y!{9F#C#JWLoQ5JB8Vvj z5SQ45;gDo$qDFsqv$|w_hUjSVK;3oOJPsp4^TT7IpB&i|AtTZVGUl5vImITQ3s2d4 zUHV7O`4xc)mu8z#;L7CdmSQP?f>0`eWt|MSH!?podLqlZ`XU0}v#yJNIhD-0%AEs) zeNRsY=s*I=-c&@$Kzx8iEA%!AbCd;hKp|kXlKcHrm<)h%GMG;U%2mD<+;XH0WX4C> z2&si2y={Y5cAv+HoJY>GFG6zZ&Y6aoAo77XM%!RLsgpK@VL|FXgk6EUFA`j>gm{0l88#1sG*dufUrWvk1(E9y1u3UBgV1yy zA}9(FtvK39nVw4a8L4}Y{Euw7drA>D+=oo%QxW-kQ;=OhOBclaUCOmQ9oSMQv&7cP z#fk{ctd%p98weoRCg<)dcJDwEP`+(FMr6CKYX!m91_q=Q8?AL<4`e3NNYU4=XA_n> zEDwe7Fv`o0%?#?a``kkpB!c*gM)ZlnA6~(f4ne`9NxRJk1R`jY@l4Q`+6imUp#qsP zB^!k)PutZB+Z{eyGP2*zd2qQ3Ka%U$%h1Y(=d|IsA$2LobLJ%?7G_@*^;PP5M#Q z_hZ?QfXw7}sgW%;^v>aRNPDmoo%HMO5y=Ss-v6=}-SfC4Ff+G~RKAo7+zO12k20G7 z@VJ-6JBK7kesppYaPa`lh*!Jr=gZ)gZ+b8J>h600U9UuVMy9R$u{hG@i;SEjIP&)S z+7_Q&G9ve-!}v;5`#8yp3R}Aw<8Wu1;Vy4)TYlpZ$X}6R#FHzShpiw%%tzT-UQ#71aY239b4CG`=syB8 zA!VfUr3Gi!I+*lAV

0yFZ-O{(dA-j|j^ z+h(;q>flU2P|>n+IzlilYq2?vX0of-O-o2)*T_F)-iOTc>sKJby?J8Eg`t-QoLd;4 zyN6qjJ-Ms$oQ*KD_j}fX3=rlP=jL1YOeDNMWn>bs;Es*UN8HAQMY(EAl*0+3}h{1ED-4yRld)$a)T& z5GX<&kRa=E49y_kL|5TRXPoAd3g~hP*VJ(yA!F$S)m-g{*)Wz6-g}wsg|+0DDqp_{ zOvKzo#I~%Z1U+8OxH;E)OAZCGFvBftf%5cdKRWYX2LWt{L>oq;piG}7cbFz548)a~ z*stE!{xQ6PBQP@z(FSR}02tmd!|^d}0tkR~5-xl}2HbWdsyTpjjvi($Sw_AO3$c^244v^!C`~?L{kjECXcsm`^xt_AOnOt?2Et7qz+Qrx7I-ia-UEFiC(?> zLl!k(D#t0@9r%wdl#Z&mesvO)t3%Wc{#P0i%^H z3_xdhAR9azC5E-v#W6aL`GP3PYUHNu_1U3V5R3n9!coWr#;oI z=t$=7$lsFbT6Vg&t_5yESVTUw4ETu1+lM@&+o9nnjc7T1yxWN0ZAcOE@f(dW#$F^H z0}`){-3qNm_dPOcXNQ7}BN0Hl6=xff zYb7gy*U}bobnPb-zqtwoyb!qxIU_U}TG0nzAe{{6+`}s=^Nga0-Lsl}NA~i1&#rQ8 zoQeX$R0QuitfE}<9wL+(&B75tI{6RyCv&)rshM0MJCY6r{*xq{@Sm<(U32;*A+S2l24Y)5YnYqP#;=_fX~yfbJttQQ}{WHZ=M9<@=>$E_B@q9NG+VD4s3vM zV4YnTN~w3H)J^Tfm_6IQd!?R_r*RYA8}hhoU&z|G!NBeA>|m8#`>a0shIInjwOnj8 zLwE?%i>9ec2>bzM3t6Cfq?ldj9}d?RD;63Sdq_qn$w)teBt=iUq*+5)Z8@I5$b4qd zv}L0QnzIP99d%%JIeAaM-WDsa8Y`VZ5K`C(5ruT=JLDZM*W@txklqQ>w>~s09`u6r z?lBnLUjey@(JHiX%1P03%iO-%=b=%njiqGNdK+C+WK1m~)9AQRz1;?~AeycuqxLbI zd-N@4@wvuA#+Tl!whTQiTeu~!fN}L&48B)}tRL8l{Q$!3hE?9x552IiwtOT+gprXF z4~S0Ik-32v6E}*(#4Ql4OxcLb2~v)JxY;X7NaT^(54~|eg%luD4k#De)qNo22t$e> zSSiEq*A%d9qv5-4mn6gYk8>Sbg@BKz!Y13(`pq zu}xF6KzkdatJMD3a{wm50K!JhI!QXh8Q8~+01*=c-6ztLwjJCLh6x>+b3rts3vTvT zcER`!T+sd+TyP}xQ?n=X6f-gMZRbUhmVt|oIYe%?X*J^% zDTz!8XjSdAo-JN0>yoY&t#cnMIsvfZ`d&O*tR*bZJyYe*b|)Cwrx9^*tTY3+nC%u zzxTcO_8;B5y1MqM>RzX6)v8_JkK!dHaGb9ATJmV!pltJLk60P^3&LW|cqTv;Wrsg+ z(LOg64`Ov5tL)`$GG?OsYlAG#B&td~ni=jwoMw}}zu({-{1pr!ZeWna&ka5+xf%*F zIu;rk;DVRoJluV-SutkDG|(Uu*U%Dpdy>mEJWCEqWnMYkPeUb;?Twegb;i$Du22^$eNp5g{(nns3t@|@`%dK0mO?&}6l7AEaX6!q_%oR2 z$*llT;^TPdLn3bMo4e@y2Xv;BY+{jV3-l+DEtQ4mS-#ogk(+nL$v(C)dP6X_?0nM( z0#ovLDE5{Y@&sW!b8*!#btb8msCavkKB-pFEZZEdC%2`fGt@s8X6lEiilg1ROtBZy zVRvkK12IIVZK$=-uYV0HtNxW4DM=$&q!au+&3usmDg>)Uqf0Y|b({h$Ka2GJv%GGi z>5cEIX95?_NL``hCGk(^#I7-(o9=@?C(e!vl%RWjN9*ki<0Jh1+zf&C0GWu@6^1b~ z*m>+x7mDZ+)B(kIHrvhhqz&n?YbuLHo9%b(K~he!-ywjC!dA5XeyAx@us~NxnxmXh z0`Vv(I1Z4KI%gq|c?)gF=DX8=v5cVtqk4|}5bcI1Pbj)H+DJ>D6(gu7aaSCzeI`r# zv_sn$ar22J)Q{GAe{OqaoX-CJi}u<{+|#OnHE2&IOoeWIZA!PU5BHZk$UUYJ#bz|r zO&g|&8LyKJRxPG$5p!@G2Kw!HaUu_)?vG(CEO-Go2~+P+2?VG=I>BLKA;P@6qpL!v zb^q#FqEjzhs&T}dw2H`d7D&%^eS~wW)7z-QiK?q}YY2->rzI`SwL-T1(D><@LWvNW5iTe( zL$K(8GP(JHL>^M)ddOVbUC(o9TeOkJJ+))n^QZE+9X6QjMV&45~j9Nc(s5N+v6eTmAj!PtGYL@v;>MNVS(c z{=Qtt*lR7E-mTV7^+R&-a=1k!~T{h^`Xbn20c*3_AE zGx>g@8mgGA{OE8$pLFL4d>Bs{`HObpbyF`~Q1A{r&e=W9O{Tunj%QL`VW3bHEZljT zERpX2pdLNyA^#=ZY&Lf~7xCwLb)^j4%<6a^j=zL-j59c10m@{hO82yb#@IC+^=`i0CY?}Sj!XGrKu|kkSP3mpXNZf7vRhwB8p1_x= zE)k{9{R|~dElVjkTAjRAnn)AopD2)LOMLe%>9u66Zr z1IlVAV<$%<@KSqa*su%3;|B+x5US?#k-4*lq zPjoIMRVEwE2+qm-;^g3q%a$MwPeYTMgYfb|Gj8oASCqpm?J*U32pv0wE7ga`5Be8T z?F-#et?#mdO#`M``qzA&@0`%C#lnS8s%#}J5lU=Fu>-{7yk0P)5(AyY(Zb=mw|@U z(w#Xj@l{OciF&<-lz0<$#5JxU%NMcxXs}y2=lkeQZ~A!J*HEc@q|AB;;dEb!!*jfG zY<(BavwQ~R4>cT9d0VIB(%0B4Bn-wQdo!W4nH#}$BKkvMmL&e5S zw&}H(q^TzO-ZuFdfu|x1WZ*Tlx^o2gbyS>s*i)8?Lg4j5YA$Me{Dq`+x8y|r5I2zZ zI@&B1=Zv-U;w-L`Z7Y+c;CL6{zus6J~N1^d`o;$k;}3 zUR7riJ{+{k2(`IC{z%s47~0q zT+@^CICPA|^gefMC`218)>HPY;&H(M+VR9DE6-1`IHr=DT2kQ3<0f%Gy#6jaDqk@} zZUMu97Nc8VJefcJLm(h_v+AoxLN+o>FBj-@AO8`t?U~jUJ~}AFjb}_j57I+RI*8m! z^I=U$?TD#}4feBsl*+LoT@wwjH4K#V)QpS2#9);#&Cp7KQ@I-s)QjG*XOQ)Lo2bF(rvQ;`&9QuA~)`#(8Ms!VFm#`Z3b#?EH;rk;X=OiKS*t^hWUFCQvQ z@@7CQV^IeWUFNSOH#;jMJ2NvgD?5OLhf|+P#mvRwr?aV<3xNHz<3zwka|9ur6S&Q9MF$@AV6r4MX z@q5r&F-lSqpp$HNqdo|C6eJYHjkeHup5wDX!=3)zW~rg+3MNe;eI@pj z0{vh3aEmX|!@xiPoihuX4M{rekOsk`I--llTE2{%5AZ&apFlx9L9UsAP|I!m6DfPj zzxEtRBD6K3i3ST&=%hQB3v473uSGGxCj-K=k(+vb=bq=UjScnN2aSuR54^qAPctdc z4!$2%zS)VwXBUkr^xpugtfRnys$5BF70YfPdx}VrxElS(i1CS|cK#}#%7aFG4tDUq zV9KN(eP0s&#iEDXyVK6Iqn2E3sF$sp8qw4gKbntvl-{>(A%k-95B}*Tn(?d0c3XKr z;*k_EHy)WTMqsT(GqvQRL`u4nA}oY8S})b&;1JnX0}%=FOSi4I@VUJds1Jd4JS57L z3jZB$_S8*Gj>JIO`mIVfMYYTpBU5SDD<`)&1n=bvj-leLoGq<<=tsWZWUDAg`HvD~ z!ow9{X`feg0z*J5;DMf4?HjmTx&hX~YovIqx=yN}p{O3$?5dqa1uYvI1Fu+-SH9GI zLo@l5ia|_uDeE*rxga);Ypf8J8p=`!X^2v}c3cJZpcg@Sw=ng}ES{8f+;YPg6GJl3 z@A3_xJ6Ba~@fTj@(YK6f9p(mF^rggPAESsERNDHly-0o5Uy<5wBi067vp5O-?L)-K zB*p6OpLV5!Lu7^D#+wBf!$l;bTfSEnoX0f5Vwe!sBFv;zE+a=H7YA2>P`NH;y^)fu zSQsM?`E|f~PqU(SyHC$*t^Jt|J=<;PBipc`;GgqrwEfKm#0H0@VLPy?P|k6WV)BaW zGLx8>sNXwj%1YnJa>-e^y0qwALodbyI0?;0HwS%ok^bs|6=Wd@vqS&%2?|t9AG!}X zv?zK3{a{%}8c)JMiUeaetV0cTogJ$4|8bx+`k$sP0tSKtl{rG%W$IFE6{&D0)%9Dt zh;c-74R*CY1H5)OU0|vBvY(#WB*81z*2eflr+A{?nZ?X(S>ep>-BryuRIYcAxw==Ql_tb-SRe%S zW-^u=65CpSi)o|*Ow@4bR@$nCDmnBbQERak9iJUJ zraj(Mvk2cNc+2pTy*J;`J!KSGoE3M619VO;8iMB!XpQsH9~X>3YP(VeGom+NcFgmk zfxS}W5sX^D(LCy?h1i>#&f3Av+DDR}bIt$g-7-tgAXJDOL_ywl3L&Ta{`@!WQ=(TqP!DqG@J=aa=7E zFB@K~`#~oeS<}HrR~=j4S^)eyj5Vom@bnuLLcJ$;C~Tf03FS%sMBPLXx@EQYdRl^* z>+y12Ho&f2+XI*NQwXS33b-t8Ql+a#7k|i3MhhIFVVv`ZhK5x zng*UBq8YuMTnpl)eOfX$IhC&#jI)%IkC^k_AEzLE&*bs?OMOT*+E-S`6qwR-2yG~} z*4UsQu>P2Di|veDFn>6zB{S}}D7&_tzshl`gD<6-oipE-NSTtN_9vd6@#k45D~V0s z=I_E0o2jqqV}yX!O#7)C zHToZpnA$_V0NZ(!bz6%Y9yGSI_HqW%KNM0caFpVe6UnLpznQ8Y!oqRobiV0wCirjwhpbQn&c`vTiyF23+U(Su}~J zpH-xeTw?4=s{Vn<@Aew+oy8WJjhMP1RgPd_f&isX2Fr&5zRn%xRHN#EDJ2<;jaz<7 zqy(~Gi#(~6j26(mVH2iT!m0Z2d4<@HDT1vgG9z!@of~OsOOWN>cz3=6J}(1m)F7OW_Stf=Y}I@)b0rg6HStm{g%A-c z?ki^^j1O}AR9-I3N0lZ;s{~l11xRk%p=!yN-c=gxj88P93r||?B3niRKM_{u4#v$+R#Wc*uFx&R&DrZ~tsZF)={p9i?#sla8nSS%i5T*??t9}HXWlfl!9 z>5yZ2s~P26!9B`@FaZ}DT^lQ~WNV3IwWk(aI{0||J)w?PG;xKT1yTz2$UO`D zc-k;ViF}=1hSPFuo>jw84r|>ufbs5z1~%c6!TXi2!5MI;rfu~vTjZG-2dh- zRp1QtjC$KsG(j^Zc)Y~HlTB}H~ z78kXYtxI-UP^y-Zja57hW>q7h3M^o`aN(&W?M!bz$-HwEMp=3p!_Ov`5wM=JQoS5X z0!it(thf9V^?`6D>7Z~PhPqkG9F+y=bF0*EA=5I7Cr!u6x9YCgcSC5aa&^Jxc^Aqp zbnBLEu!|06nEs?X$qOMNbd3ML$G(qjB`0;A@vxDH3D#kKn);2`gQ1eGPD>R~2Iu>PR(4Qxw{y;owPq&@U(tzgQ(K0Is>{W4 z`USO-?h!UOPY{O=S}U(Z7313ZA)3L<)S$=6p@%mT>09`h0lVRx?28*jSe&=alz^Nq ze31`kX)F;HiJQE-3F{QnrL|KtC3_+LgE;=iDDxN=t)vU&7*)S0XJMz=*+rY1#5f3^ zt2a+o)`=oO&)PHhQodSvNMN2%H5~|T1<=r81pex0vV!FJWca?1;3B8IX`5?Wr z{ssRL^lc3b^Rc0>h*u<~$TQP>j4JJXfKj&1cALST^z1z(Dn{tB-YP0 zC4Xpzz1}1bzeB~)$>j)a6h)Mhj+mTk*Oqb3@;Xog&t&!3{9^ftS zm)y;CsF{8va*j6GJQ(v_K$ovhaRqvjUu-f^J?52qk@)H+QFI|5Slv?q7LQ^_ih93Q z=6X%;JAe#(!Ohl=MRmf}?g-^BD@S;(K2l$_#0v zTZ$zs@knBFS^gb0)a3R0Gdl7}NAU6|apOw(nBRiN!z_s1_qvLR=oC{y^V=VEGa~zS z+em0d?ttYd;vsZXxHnL0b3MFM&BgG!fW^hNy>NX5$+sGh=kEmY1_PFf;%HEjW#kACa0^U>@ zAMrtM*ueyCxh3t|^I4ki5j>c>5ZX~+GL|DkcHK6eXh!Tf}RZ~ahGwk!@qnABzHB|O? z;oDQb2;+0DI89zO@YUuMFuH|H?@lAkN#p)tHve3pV z^A*KnpJ>tF1@~IP6qkWi_Nz7ApysqB95?emz$$5_w^f)EDX#Rbv^#R$XV_E%n zxOA&#)!|}b`V_#=Z)Dj~Xpx&mG>d`x!s6d_u%0C6_FP zf>)rCoqbeK;~XfvbiJs=AXagbl=a{rA~Hc#znoO%vHPNtNrt)c-r}m1f|H>C9Yq&& zJ(7r82XJaSpioP^w8W6-TyC+}IJ!W^h?wRljRTQR3@>0U%f=OdXBVxEf4u8leciCZ zMG|g3E$VkCaa?K=yEyk)>8#UD{6an(-%g`=zIiYS+=o2r^V4yk5hqd*oZkwsfjGO9 zQefJBz(Yd9?r7?K_Bl|)&S`krA5{E$8PxY>41QjzIJf1;qQLQ{bjiwX_AI&pnto~E zuk*L;b1rR>C6;RPK1w3aAF)VFv~tnl-#(1Wm$D~{I!mVV)w_2c5vxscqnD}EZAB+H zy$?EuIzK%q7v&d*f^bN8=ySxU9jGHzY^M|&+P;5vO={j6ZAWW4OAZc$TqH|a+pBQEGY_9PqX>n?-+`j8Y`=E6h)#1e-QO64G92-O zf%75(vuy zii3%ggjIDyu$=zU=pZWx~d<|tzAXOy;T`n$Lw=#G>)zU>x z*#x6{$;-az;#4XkwP==-R#XeFwCbLgfcmAV(OmlY!?Y({2yZC`>ZKrf;jN}{)~y!( zD%gop^W1TvmL!}2#+fl0O_3L(m`!Nt4Uk>V=GWC7XAo0g6e#Y<3i(%h#?umJ9YD#U z)@>>X;?TGumBJ0$&1|uX=oAFB;%TwXyejp?;Px{Fucy(F1WQ1hH~WrJ%s5 zDBE*8kT=#5<|c%t&)WoXO?u-vk@-0t%>@K1Vx5rLNYU40SXsagK~os6%W;g}@0Rv( zAv&9|A8O~y-fod>ih37^>k7N4mvj+1j=*u5INg|m{i{A=w40@mZxWc6BW4sWd!ff; zb~;TFDUQmhnAzOPX~VL4vZj14=I9dqffJeGjk;O#RR-7v zb9Uu%hW^pG38Ug~uMMjdoQ}9)k3m7l@;@)3pvrANq`XA}*@?n*d+(Y&TEUPbDbm&u z4wqN+B06}26%>`Y0B0<`sM>rm$wjGFl0<6a++|v{(3n<|ig|ul)s`mT0Q)0_GEAsU zfBR%tV%B*1AAs-394%hLa-BS) zg`80%mfz1qg*6P?e$cEViQs>@r0Q7jMg0Y&IzL>et7ZT;>K>LNJ3Y~%jm+ob@LLl? zC^TJP9U~(YR!Wa+7Myi$gUy*JsiXB?VJz;2CwB z=|cp+RHJB-&&yhT8Xl#HrQ3Tk*D_ki2d zBEyZNn!@?6f8AE@TJxgFI?4p15Smy*TN+uwhG}F%*eTs$RYoNOrnX6cA4b-Zpo|f? zaR|D$U-sxJWH~&nhv7hw>`9sU^lsQJF`k%#Yee42E#vA+okhXA#)XI`OJ|heRK$Mo zlbCT_A3w_ATJNsLY8DZ&o3NuJm%?AXrhkqa1@ZM1YGg$qQJofp$9z<&V@ZECy{+$T!||c zk-J~RmnZjUpE@4^VK8R%NORst^hm5RkUQO57Me~kZ%MY`sLC(mVB**o@2G=wPay_O znnZALL<;Lrfr(2gi<&!{hVbRI3H|le((2#->J6zJ3s3+rb=+7^6?eI(hByJklE({B zYh$!WG6E4ehccv#`YG@>5lv&Tr=`XCtniRNgHj}|S*A4K7e9+;$bAp7hCA-HT`)6P zcAn;T7=aaAV|T6InTJwZ^l4xN`O2wuG$5O!b=tV)KX^|>HhB<#|StWN6FaklA z-vQafurto24R(nf4uUic|H@(kdn`j7GUrO9NpdkX%dF>zjFyN$Fo7UiOt8=b6m!xv zFhhFGD2I~WIazv+!rhVlvBLop#!()E@t;mN4g)$rv$8&!H^NtTUcO-;9UoBjrH7cA zd`gdR?$x`H3}mq0-B(qWrIGAA>40 zGxJ}61R6%**8&=u@Go|S?UAJdCv!r}v%ceFC?iHX#~p$PEIO310z}wTAxBi2@FF!N zY*%h0OmG}T)~T+`z6QXjyLd!_R;7f$H7EzbaL<^6V0NudjQk^BlT`SI>@$EUpr1#^ ziAi?8pWB)$*V5=)R2a>$00)I6T6}uBRVoZ@sAY-pY-Z;s8t$@%NJF7g1(9eRBo4$( zVK;gUMI+599e>I_jwg9b&;m~{J{#C6-?b=DVsO{E$&Lpvl&4JL1Gx52muE~(V{)tf zFsb%A!j1EF&mFneZ(VGgOxjW*T7YD3@7iSd)xPT zsRAv(IW=#dH1}yXY4SlHv+{IuRK~+3*`VMr<#;Gu00~p4RASM>$K}#*A8%)5Kgi!c z=;f$*wko;p(dg@;bS%>C?+J!rZnczjnLGY-vfypBmQvTp#RcsOsq_ujb)(Dmh?m=t z+N85Hiy1#j&bz`FxUxC@0wW99Ekp~<&KRwU&Dj&dHZFq0v?)ZY3%7e!_F zD`uS#)rY8#rw2r*o!yaL{b)Is@Xk6n3Gl045bi1Peo5a;?3aqh)@(i-Z|76}aodut zO|n<_Wtl0XZ>iU1Fn<0q6j+}6?^67F6)o-)j8=DHOQB$Qlx?p-qnn-4UGaWR{hL22 zcDoxhGq4W>i9MJd(Zd7VSk*zm^3T(1REIu$ORtE@S*DM!qBr&an;4jM$CqM7DSWGe zs}=tD8@|HD;`a@TUfG4S=EO!uOyMXskq!w1IMSRMFRb28;?dB>17+L0v#}z*4>#wp zS((}ogvt&6@l;3>NO6TiwWY3)AT6C5VHIy-3;cQQ&k&_dBD*~2c-pwQd_vqq=x_a8 ziWO)M_dN}>>X`AT?mbXek~2{TAo2V#W=7FU16sL@c#DL6+$!*LyBXFb_wYx#SBf~j z;jssZbYr<3@-y#cIZravO6^cIACD${Qj%nCw&MD?9CcN^4Oxw;1tNQy3Tbk+z@f4X za``RbM-u7=PDlAweYSQTkTXJGmsL~qijB1V2Y|gWs}!o4>VW(k%d*+rPk-@g--)2= z$Zi{Q6TJ%GrV0vxR5Of6xE3_7SQUo&2mJaF@xO>y1R_OK{6K!AW&@Y1bGo1<+L=gt zT{K@nq4Q&d{u>^}i^JzD%lkj3&lj=Ds$MQO2Q-o1bfPa74QdRAF}3gC4l=R|uP2v^prP~Hp*c+Nc@b*Yr4S?NJSj2S(1TTx)U*dWF2<9Cg_ zNBKM3QNHk&sY$6Nk!Lm)#Oo17hraCd+UCbP%$qtkZ7YVj0kS{-5)u3}9;?apc2|TQ ziT{SMCZ=`Fb$M*+u^?_Z-X+B!hl8ZzI$J%0FN^%0T9Rt`>A`m2e)WZh3HpCq9zqqW zRXqkItHJg$aV!&Uq&%^hTCdCz$7WIv5`zhz&zA#owiD@o#~hhm75Uc>smqtiw+d~W z{D?SGc*C8;TEO$@7IUBP<^Ft9a8bdFO80q4orVE!5wa8#fadF`6#r2x|7(8C;SHQv zH|>cQ=A5B@WecL1WRv_~v9?evO(HBIpiLU>&fEuv6e{?`1z%<|4>6q<^4sW z+$**w2aEYZvCiDAgMP!}Gw3ORO(meJLk`UIe?FFXw;qGvF6T~g>$&bRw68#2E)1ZN zbKq#q-dBt3hj_~#7iN~e%{iHJL<(g1yL-c|`6i1i2$^@Pel!p7@*FF$jX0k{yuc68 z6BUF`HGd(@G#bfwGncSZ#VxANRd551$wTV?!{5?afH%GL?| zu9-mRbr8y;Ch5_{;pu5^eL|$|uZH-dk)9M^ipV5QmW)dsTtawQ;@L$I4bP@eL}SHq zZRiwOE<0O3O05h+<2!vroEo1t5_1J}yamf6_FqQ)LInL`Y`o=5R~BeHoLF@@$Skh3 zoaw5>{aJdMj47<58on*xxr!10oOmbW&PK21vfnQ4x3YU1QOK3)buF=*LtakiQ%Oth zvWp`sgFT}CCLj+dN<`#OO6iKJ?Htp3+eMZ2^1~%1BiK$Mg32bAo(5N|V)jd?qT6B8 znr;i58GASjx*KI=|8%g+(YHUIcwfIlHb+#SN;9tjjk)&qA&EWZ3QGlCXWA7`jwQ4W zoZO#UflEC0Ag>e{US}W!s5jTiVU{UIUcH+6^GCB@4(BzukxFZuIU%9s87`|?VGPmM zg{FwBol)3T>3W>Xl5r>KYpE$71U3t{qp_Ls9=QR(#t%yU<4Cr}^<8r4P=KVx6XmRe zlwLAlK+M>&UoxMld4Ly3@M&tZ2A^xKL4aqYI*FC2Ig)h~KP~Nf=pw*A_vvzs24f9r zZRIgOMh?hRZ+Bt!N(y>uHssG+tK6_7#n(@uFh;8uNY+?S;gF{$EIwyH+-xund3c&} z``>DlabmOCojGZ)|Y3W#4ATRJj`X6$|!UVnP!4^MYA1g=wwH zsX|tt0q>X?wx8XapQ3BMu-8eVy>p5X;&phrR-XItsUpKp<|y+J-qA5)gsMJ5b0>8~ zBQ1wRXO)}euOz?3_x*sU>RNBnBqgDhzhpw&tM0K-gXyprw~&1wB!mFL+d+wD76k+e z9J(Hho<^@#=R?+iZ($J)Nb@J;n?+=|=e7wUoV}cml0*qN{}Q3bALY3AS#bHb?XKfD zr;7kSTAC!fat?73bFNW1L6h3R3B2|ZWm94Xj!6J{5fd$t24f$ptC$S5Ez@mo`P zQq77UKVc#?&V^ZcTw^t}75<(0u;8D`gdNCUN00mXtUp%uE?RJtjkaKUJS2&bnYjCg z++L02{+*Pmv#FEf!*?)79-hGfBbaCAHkCcTB#>&||0vszQg;Scd8=Ob4Fnp?@^lFh zK;M^A3|7~1?dJy_b4z`|-jITVteI+r4U-_&Uiao)m{pL}UtZ+Ts|hVP{Wk;BYf>Kc z3w?j~dLoB~Z(~T0X+06gkA=XmuL}wI4aXkNC0aa`szcU4mtb`s^RX|u)OQYfCf@(y z98^aH#pqt18eYwckuXtlwQ|;4wjsB6D!n&7IISePN4>R4SejpcXBZ@KvTtb*d07$C zW%WLI3KF2dB*Vp7*XK(Krl|sMOd(ouJLcFxiO3hGvbzm7#8OeU!Be3sGys!B!Oi9!+yZ6u*RQl8Va3_3Mj^3 z8zqxblqq8-ajLf6$Kay^(@@=i_5YEZrj_{RBpk_B6dkGb^GRZ|swQAIYXzT`pX? zV3Q!MIB-T^>z#dkTW4mWJbXyt8t=Y_CBJl~HHPFdRvlX~2WxJPYhRhmfk;_sxk3hwv;Ea@iSCsM+FjYaXR+9qjM6h2#-lUpS+qWKWqU(zDJLNMY5<; zFl>vtrfE18GC)k)dtnn zY=dKH?aFplr4}8wp}?8^8L%wLtnOe~-)9BWrd%6y;>bxioj%0= z6z&%pK9#Tg{v5x~J99_*(+!`N#c>9JJ2H6l1IN1dJAFy5dBAjP$Ndz_#w?dJ#>&N? zISlj~Md;l@cJR*{g3TJYJgYTa59-dVp2k&nz-ad(%#8N~eJV_}v&luz4}t+M_f2iT z(pnIYz=!3_(E6P3Gr5=fm7tUrg&`P-rCb|%cUtz1ZyrcFV+H{AZNb!#i8uR@8^AG;X!b&)ix1T z9@3f^5AUihJ|t2)dH)n4m1`BQ>qouQ|nW2sIt_qhv(qmu&nL;Yz zoGWt=J?*oojcofl76`s8nIG9s=RrUx82|Ll-RDliDT-;o#S{^Zv>>JuZ3gzK4PHLO z;hEvMX~62#{w?}N5v(ijo=r48YdOT`9Ca$*ktNWNMa9GTz#}#1K!*gQ?+5x5_8qy% z?DvG@4?HZW)xI{DKEV>)XD;l#{oyDF6OzPc8V6XD`hgN=EI#_GN=j}y8)5pwllO^% za)0Lf3_4LcpQWWRzUZ`!x4_Du9Z{Eu7dJ2Pm0+O?cdCF#>?0~7xgL3XUImT{<20Ma zGLXIC`9GU~!_kRFB4|iTt;V7eW!JqS{F`Muj)7A*J62Dl$>4{K-rB5*%{fg6L*bmz z1TlAFv#8LTDmq#$j`{Fey7$g0_K!ReN+v9ceXA(RL5Lsp(O8HpmXPJ8zkW!fVe%z; z3V9=P*2^o51lHW3Rn7WTJAN8KviA>-8=qb#eHZ};E=eeHWSBZi=jqQ>E%6)7QOQK%JWiN!|d&QwZTFax;Ekh|WTN>-P9yFAO6&dxS5gSNJZz zTQj09D9|<=O*T9@A7E1TW7ewYPjQ}Vu%%Lk#hslMH-&OkXskH*F}F0jozMQ`yp?xY zQ`h+1rqgH1D_R$c^Qe$a!6AR_X?M+sxE|?1zcMwRI66QO9+HkCO!E~wpJ(-FXil-C z>tNMNCzP|!{xYh0bgWVGC&tv@?BO5S^OoN&8nAk!-_~ln;r=OvP z*(rbb4Uh|KQ6e^R9rx?$z2;-7Xd@@@KaCK2N||e#rHYQ2044T&Wm&^2q~n9Ny`I?O zE=OdqMv2i=?7-q`iQ}YycS5%USvzCm+<_5wQy=MdB!)tOSXlR;iNFtp!H!cx&oT%b zZJ6JI0oPwXpIHqgI*=jPz|+*$0DKka#B?lP6p-|fR*2W`Kots;!%^#x2Z-B|U^bL5 zH=jn4V#DtH#5v->u0e8W!{Tna*`KyOUu^O?ac&ZYXQUU?-wkL~%3UO?UOulc8-y>f z;4iV1wiWk^sd&Ev)U};I7Pyppdzavrncy$FeRz>8=(U(rK%BayS2j;1nesD~ zA&zG(g>0iBIG6JBWnsByY3ufCbb$KaVxma3+uB}UTb`qrq*sNl{1OLmik0`adK-Z<*G_~kgc zw*M_@ASbbVoK0UtjxwD6}437M@vArCI;;(#=rUA@UnxM0!{t{ z2WLDo{|>tY!-?EwIHqFHCP+BaQvLST3f9r0)R{Z_^4C=1U9cz#^CF~>pgDELFhJA@ zT2cx;k0vsh{JXZq#el_Q8Xfqx+l?WmF8 z{gt_Ywz3z|a@CVSX=RYv^@6WwQWvW2r_saONel_Vu+glq8eJ_ z$T!1EJ-C_vn00R2ZFJILQ1-^Nz&80SC*ods3A0Nzt6b$3ha#Cl?!6SLL-0jV$zzku z0KD-=v5OK|m8ZoviQ`2Co|+cb$K!&NQDaStW^)oZsCKJz>*iOmvH_JXm-bTS%;&gg z#+K=G#K;rJxX^Q&&G`iCc;m#dL&1UbMx&drd$q04E{6EZ&ih_pE zuYaJP_>mIuyXJbB+$J67y}8~tJyNU{?eOv|la_qJXZZ820dIeBUSt_%<2y1lw0FKD zGjflb2DzdS#v544Cn}DI*}hIn1VD9Hk*VLbn^$d3tVX+{`Q%J-$S2|t!n1?r;GM@W zdWRT%(|>IK!Uonb)eIBV-zE%)L!ZXsqT*{#Y^>^<1Pk9Q`vTmS@rN|Hh=T4<&1LW! z&Zwf9l3iZypqlG!nRe!W4TuHV{(_PO4l`4C9tj{7y^V7%_2_dUmoG4} zVjU9hxEeB+!fGlOiWSrU^t(?k={h)UZi2-pO)qrWNj_aC{-vnnQssG_oG}Sh-#|S6 zW15fSL!RA!yAGZgsOn?i)Y89nNcNepa%@TMx50Cag^U8A)1<@D*vp6{W_u zg4EVT)KYDY8XcyP>9)*^cLQ0-R~C=Ce(=YNT>hA$G+wHPHV!b5m|p9*zMO^B%1up! z&BM(jFfl&>-#p}JeJ!KvTf99fNrSi@m)oFPGHLE4Xcxn_)tuettBZLOBGPa@Ce6B^ ziI>w7GfFU87SP?{jgQzU$a7zMHE<_LDD++tNPn%M5I1OgP-V$oLATP>TPC*kK;Oa1 zY$VW-MNguK@nS3qww4CYW3=h89!ax4*e~-Xybase>`%}AS?7nT(Zv(v1$G6pz#s?w zT*mqZn{l4RrdifC`xRL=qCs=4qhw3O22#pIONAaPCfRdsY}$@razkZ%R3YHcE8(Uc z%!Q|Q406*|*~QOr7d_TvHVItpcgag891-s5FqZIkNuE{r(lGC@nRY^!|`HU#Z$@TTFw(`jxsr=%|FN!!NC4k*vpCS3iIJBxXwO(C%(p+W&JmX z@NoR1DBKIv8Vc6vz>5_0GHojY@?=bd3{AswpySiq6)ww#=a2eF&r6&siH_oYQZbFV zZqu@3BTCU$4@Ru2ngaqJY#XJLh~o8rDtw$iH)JaRZ~gj+<4X=o;ulK`Y?26-d>tm%WEfczEFdx| zF<{w0`PoF?UP|IJ66bwED^=NiCvRAC2DUK5+Y+|(2!0l!usiiG6AP zj%C=28+Nstmza0!DLau0`o+D`6_injMLFqLWPWMBB_Bz@=EEny6nDLgp;~|1UwGn+ zN>ju`$m*V+`X*tg`LchW!T_0s>l>kpVBv37rTD&Zb;g6FR0dDz6~#0r_D`DG04$Oa6%*x|{c3VSnG_Nc+Bwa%(`*2hP>7F1h$;BR8D>Agf7fd;gRA)s zu_d@`*#!E=x6^En{hNA*+d^5XB(1}gGkJ(y{s8*RAW|PY@lDv#p*Y@=f{lr7AFjd| zUGhtrTUvI(8CSb8C?^!5`7Ri8(CU19+LrfJ(S?M)T5YN+1wScX`gdlup}r~sVz5B| zl!8?}G!*mgYvFO1FA1xkcOM!}ZO{7m&*l%^6BvKhTN%@@PczenvGk^k94&E!U9nI| z`$J5Fo%~pY)Q){-%u}nU&)uayQ9_)y!POu+_|%Gm-OX9&Hjg8zU@l3Au9y*5Hm+5#Gj-)4+R@ zojXIvQ&&2+G~PO-r);7Ko4bWjVcNzVQN63t$IUP4{Bv?z&E}3qk{<1?Od&E*2yQ)M zoc@5SCd-Bie&l9b$lA$l8y93}eEOU-?p6bG$=BuZZtCKcRnhu#bR{5(m%gO=C7%)f zxA-|b_8kI?CU&w;+;30Os&AxB8OY^nis#`?ivOyF?u_kxOzoy4I^E8FjQhcY`4y#` zZ_QXn>q-tzaYXwpTn$CbQ;jt$Dk*cbYz=R~rU9xsl9J9oRelDNMxHgpw&MlR26)U0 zk2Zg9V(4eCB;&4IDp&Z_o3s5!IerWmlq6o`NKVZ;lC(HFvFpLMhCe=E0WZoX3bP?r zRd+3sDz>g7;e?F0tx0|%Y6XgSSkWCijgfE0PvQ=^+sOIbj5SDnL1n3j`X?NZ;w;q& zVKc&1`YB<5&CuMaBl*iiY{s+i=t-G{dRBHxG-x)}QARGtJ;Fq;wUoQR@<}`1sU8`* z%Hq0I3*aMH7Cr{8B%`UU7_S5OUE>)2{yapyPWaY+PsgL68{rtOvP$*vSY?t3_ZQpG zM_l?%8^&8ts^3j&mgn6!mK`_5rdz)_tqzs+#4fYwM#r~BDi{$yILwKOpb*bM3>z_J zDSP4t!3x*x8ViTWh?mO*i7g+i(iJi+akuv!`E&l>b?C|d0J_Y)PKg^+DuXwr0;cqf zsq{{2ff2bm2H5(Luw2{`D({YrXQ6VJvk4AT#R_5%Vk8gk*nt!%y*0b@_6=P8+x;sH zcb9dU7UPubFA`Grba@Lz~&L#=E!`W>@D%iTpzY}x~dEJ?jMWjBz!m};i zZ&a`9HwQ@X2DuE+#2ubuARUP_%TvP+j~nRzd7Sb@_Db zX=#3++7SWlI&~Ckew{i9TP{=9{SpKhn!R_31QRF~j5fbby-qlhm+lwjm(LvnRN&fs zK0eD1i7t4VlMP;QgoAH*^LK`@Zfof&7> zwz3Vw>;ioJlI{l82T*wo#8MTBIV#JRUe3F?Pf6x4$7oY`rlAL zFI;nO$bhkdQo$-Hze#AWZ+z4F9W%~#6KbRf*0T*^$g%D@V<{i2jR@s5>|{Ok7M?O@amy|8F?P+ zMR6^DZ!3`1&5sb*JF@slo0_c_og7kC*FMHBj_{lHm~g#;@paeerz8qqzMT<;Zx3?& zb`PtH_Pry0BK`maWbHU7B~sl-Jk{cgV^OxI;{1{bA2)!m%Z1p3x^DNNH}}9`G3U)% zs9@)51!I}I2MqCBPN!64erS-s6xjf(8PZmzn*VaYzI_XUODnB~11RMB7q zHuzXs3KxNcGH(lW_%8CE4eS9;nlT`{>tHbsUy@EjjrxJGi6|AUf-+d0lHrQeB#dxJ z(uA`V9Otldzd@W@TxgUAGQXfLiznX>2`)IMBA*LQJ~U_Hp%iOh{4P>PXnxzx!!y}x zcJuK345QgD9-iY+BQP;URKGZvp&SaQ?X4`qC5Qe-^_!kyk~ zAPpA=qFCda0@5u8iC!@}8@(YihT}K4w5bf(?AQKq8<6%qr(`sE)1dq;(G9O&k%MdK z^)_&@;gVnQup!FLhrS>yluNH$WdQeyJ%wZ_4k(9mMeSfTS{R7m6^vrInH;2XGdb$7 zi1uX@aaH*hYyb*YqZs57q#-ljr@o7NQsX5zKw4V#KJ#-2O;8zkdq84o5#(&yJ1JG+ zsN3~&s_x2*!S;wuM&RdhX-Q1Qab}4M;*tCiJ8u}XYvjCbsk#3K#BTkeROlFKQ(02| zJ1;n+je+14I~6-Qf8xfqzpqOj!}aRWG2Y4!9Rn0P7R8`rK{}*{Q;nOje>fog>l2*T zSAF$(-HRWN5$Wam!z(N#58LbM9+C5yAk*yQ+!}l26v$T~Ue$%56p=SVUmySX55)iL zA0JJ%ZimNmcgXYJHv_Fa(Y4 zNkMobdy@B#Y-)V+cDjPtQGXzZpGC3I!MWSJR3SA#yh{b}iQ2n#M5Ifj5W6%;qf2w` z)}`9QvZpQ;#BW5QOO>&;r!EDn(WN6IT^gm>r9m5V&h-PqrY=f>*++c=GW%`r!=`RZ zGla~#?E{(JUs{-IO|wI_0FVSJxUBBW7DSu?f_P*bCfV4JXuqH9fHb`%AH}F*pq$u> z>VY(Khq6%PEC;&Hj}W5n=Kj%WUq*}xFBN=(@Lf8S9aH==WGMIc-1iw|Hb1D0#%%6_ z38Zjp1P{m}^$KlAs;L+e*f5230>NNIsaK3h&<@7RZEB7-K4ev1`j$POIfVjQo*za~ zViMJu#m)`Y6cOwTDP(S50y#WBhGM@E&qPD9OKS$HYx9!K`P(Iq0wHix4VI$jVDfR^ z$RNDCYdDbpJb_`zs?)RqvL|!QWc7}8A7(Stlqa{!RW)~R#5dKKB~NMQaxKNf6-{fr_5?6_`im?fMOx zzPBT9kD%N8;m5T!0f=Z<$ef)@`MjPe+tkn6$wg#ux-<4z4b*Irhq&A6YGBCz{7zOh z=kO%oA;LYaR`yMwG5-`Nst?xqX1i~zh&&XZT35aJ z#Ye789dD}zVYr6_v4_-R+t*hvC?LF2_uOvlxO~siOXL*;W=$$*geWb!>es%LULN1QP>yUlr#e)US+a zgHb5yms6&uuxdyvS{I0CT}Xw@9LZ#l<;+z#KUa*_bzCbC761jCQ7lApEay#HR{NWf z|L6ehnuqOy<+}RG6_ML}!OzI}8@Y*$ze4?x%%-PisWsP-I}-90h*xzXC`IIrkQ{)$ z6aVuAu-cnt{A0eyUOge`>7fq~h6$;hepjUS4H*_aPh$X{a|-8Na<)S8cAoi$_TXQlYh{aCwX_}Gmyt&`ysB? zqlp7C!dYDJ$V3K4Ve=I2+E0*(a8($2Pw2#o<`eCs%ntctUMVp1w)xvs39napriIc z>;@4_k=2=g?}uL;AmM&IkIQH8NCHTkTuFFGg589e-5I4irdM<+b16obc1))1(u`=A zMg~T9DM+(NqjvPDv{3C#_Nc@>j2+6vmfoSxXX?-a(GF#q=ui+2AxGVT*fuo^^@;4h zB-8KHUavkoO{qn5gEq*t=f?t32>Bp0VwrV<&CQdri2&DXIT78a#0<;q(c0zbc0+d0 zwrHh7y+Aqf#p)Acrd}>)24aw|$bA>}S5NQ7CT76cK&i~CQGS!qWbZ6~{utW5KF{41 zWRPYvT7$Hb8S$x+Oe}u;I23;I$nY2xiywPXIvKFBhC;EFfpX^RHZRZQy%aw6mWgaJ zkJTrq;)xw?_|1q*_lifB`el7vp!gJ-!!w#t#*QSZi&@`>$^Ak+?2}@@+GAG%DRysR zh?{;wC+W^@`F4xO=PFlTQ*HDDZV&QiK6(URe`L}W5=U4t7qZ~q$D!{?YkrE^W3K~L>7Oviiqgl)8iDj z?`neuJ+U>8d<}?Q;iI;vy#!{{*l^U-a~v>DJ(N4PDKG28aB1e=`vDr9BtTfFh54L?;Tm6Urr=pPh*j*S|)b!sZbr(2m_LJQ5U~l6ysq@ z)@z%r81-19iBvJuO0WwA85b3P6NWQ0P-et8z$~_>ee;m!BJ6nP!r+ErKy=f9QXIY{ zoce{eC&XLGVq}$+j|m3`CDuQE4Oy6EgB4l!31r8Js=H4Dsl}a!X(01U+Sa(+h5#G3 zpkx!NBcnaceG#=IuSG`eye1b5gUv{9uohYUb{}X&rUqKUm?jw{oQ!W)juCtVYUZdm=10 zqbr_*GRc$~D1!&2i3}cgydV}#?Cvb*=$DjC`{0Ke5PQBHrNSRT+ElTqpRBTtM3_o5 ziX9#v7o-mlkBj;bKUIx39`tpS5kSE#i%}vZO{t;!H(}3deQADZ8c2VhS0|IX?fe`` z3(Q5>E(&phi7c7W&6&Dc({}ebU5JGbgt#`3F$j?&D@IbX&9BQx-)uO(Uo(v%vie-rkfom%a2 zEyr?v6XA`gcjUhXAJh5^j&0}d;s$tP2UEZXN%A2PEhz4fFm_V^$v~gv<$!D@>HoXO(g}V8@ z_&^G_YOI~N#iEJ0(iLi2EaEn_v|w9EEiF6(hL)lbB}TdsD(Vg%3U|aewjL_jsb>dr zz*?hHM*x=%wBP(JRF)^@6qsZFE+yA4t1RMdWp2io*r3??iXvf>899MZ#n<_4#8X z(OU(`0AGL`a)GEw#+%Gxs*o)h`b*qmZq zR=o_-q!>q=IGkhMc8Mwrwc~J(-?Smt?jhj~et%3Mc;J4DO7)QQXdYgr4`g$1D7$>) ztGk#{jtwpg%sSq_Sn@LhB|s^zPeD7_V)emie;lhvMvI5E23rL#rGzXaqpRmo57l1X zK@qCG`c01DYIUzhsP;-f2UMG-sM@3q)%MCW3vSfkn0hP;4I4fz7RZ)D+}3)Wu7P#S zPU*<%C-@L}S`mrUEiECCNFNV<&!OO5AuXki7)P{vBRz_A;ZwB4e#j9YvV9ywU1*}a; zV)aY8fs}FU`2(=dEsHifR(ME(^wSNhnfA)#$-~YLNNS(>B}&VhF5ZmW6x12qfhkDh z!}eif6=dNoDpL>e2g54>LVE~hI$TX@aawgAE zX^BtLbv~!W$AA)ya7WUFBhh4o&C_;zK-oG8xEY$e|G{D=cT76W6_Z}Wu^+j_g&ek~ z@eG}nBLpT8Twr1;Io9q2;fmZcQG2})Ga@qsq-_|{B!f3s^&G*60}8E zudYpvcY8>9@oRM%2@jr?!h0$~dEpRo)2-p{Qx0GvuNXB;Qd{G6g%5+s#3QO~b3N{R zq6=|%9Jr{*XDrma0V^3*ChCzqfjaUlo>-x4Xh2jkSSox@APog$-QY5smk@-zMIn!| zNo4&5fOVgU+hqIjerrraAYXxS;bo~AZX)5R#g`*`-5=myvr`h63`Pl@t_0?oqVQFlFeE=4S(MlEglfLB@(5` zIw`*bit16!^HT)n120URo-~-qOCU|T%IY5p1C=)q`4Z*S;q1tlBDNVt)IJs1_gh*! zHiQi?C7rQFwCwjR)rWhW4|^*bh|WRffpk2;#8S+&ArQ8j{wDe*FiqYnXY{RReHsu| zOqO~@?|^m)G3pPoqKzokhNWjN5=WAN_UQF%as$FdD^DUzNan=zs8`p>y$K-K94Y6E z-4x!v`I6qhnCc?nX|YFl=4!|6TX)=EuXc$G$Uib4R(a=1v`(HvwD2+_cmZAzTh=X7ZZ2ejN|~_bc8SVg z0Z~3I7HoiYuxgcehKkC6Mdv*vqv;M~Xb~ZwJBJ7wymN?0SEGzw>T;^qak|cO+Y`vin?NjuCq|`p8uoSVZGHAc zF(OYEKiHd`Dz|0{K7M1W-1>H#Dg(h#-1>H#Dz{$mQ>8%TRJnCaVK5@&R4GVzUGb?> zpmC}UWMZn^`p#+4rpiDj^gRlNe0HBYH&166-)x?KN)Vem@y#2dpNc(d&&j#dhH)Sh zlT{|;&#;Lx+P5@u3!>45l-IUf9@jMg3nX_UQ!zBpZ9B9Ke>kOE5JDi@3mU1)Q7VKqQnj9VF$$#VfyxNpNJKGu(ny-FEY{EAE^Vww zO~^|iOKB9vj8{_r^8jD5ZuLEbi& zefR{3V(y@6D_$CcAs+&{5OL;)kMfnF+jfmk${@{tMD>zP$4=DPNXmnZ42UwzVibrV z`_t12$#cKu&Jb(5yF2!InhlA~JC1>jBW$q{)-^f2u`t{zo>$bqJdOmDv8*=C#y3V~ zrzz{+#y3WZtP?6GJN&a|!bJG?=5A@NS^Q5R`?Gfp0d{T7xOk=5b z&yy+kg6(B@3x^BjC6J8WjqjpLVEEMog4kQCBwSpX1Ce(j%^ko}xM@Pd8wL(5r`&f> zUPwgwQawn;!0Glp5@OqT^XH__>6&17Qp(*{#es|=A!Q3@6!G|=-7T0=5WH8$+RKFI z!fh8_e5!9OS8i_`%yA{J+bkvuixSw5)i%`IcB1l4)x`LuZ08!ORyN=Qv~>8iuOF#xx*qSS^ZfRv%Ouc)5t&L##@HQpy_ zyTqH7Gm(A?B#}e8ejscjN>K+%dF8@!lh8x4+Pj%b$DUY~AVVhuA@1tI&w{qA5qXw7 z{6(gr7-n5tlD6Zp-Nwa+I}8(2SJ1IioUkN#vbI62C^a=I{86OD>7l`|xyh$_;}WN* zE!mmV(?Y<8VsiC4aVm$>KJCIa8VEQ=so+#^iX=u^Xok^qwS9Gr6bTkS2DT(F`8+ZY z@($jfdAJA_^P?puicjcVafo1MiBhq6kTw?&*3W^#HU{(HBUX=79}gZ&t;dZp7F(-b zWH`=!uYiIL6bn|Ja&)QJ9Ruzr=!d;iY=5~`e_llnFl;%g&!1Nj$E__MoKZTZE`UHB=Tzh-&8?God5LU})Oz28O0pCARWtXg;*m&loOdsN0H;5haMa zic?LiId@_l(!-vLEgaB(KV-4@=yfET8%xalqb5W1l_3w6Wic36LAr43fadPTzp_0NP%wgG!7NBaB2j%z2RC6q?4f2pR9ly&g8c;b z?Ky5Xry%R72FGZ)Dw)x`734U_A$Z=G+4ZEk?ExPGVdSFrbAErV=ih1bAt%(!FiCtR zWRkGP=WySp$To>_CLm916uO2f|cA)=gzX z^MdWl@rgN3GP^A6trTX5pe!l7k_HOm8+6QZgn|nc3r;~gIuzB%9Cs7*!@jXhi&?w- z{MK3uso#AdV@UL|9BZ%HB)br^ALuWP+MoLiHHafw3*Q>CG5X_bIqjXHq+loA#?-LW zhj9r0G@Ca1#(_|6pi>48`hAdyo4pCvh)>=iwDl z@Nv*s0;>l%3eYiBpLA>#_0K1S@|47~l;iI#TyhwGZU`uHU|sNV={q9z{3TsW=9cIh zkm=r$Cv+EEm4mJWiS8TnfG+m^q)SHtsk|dk=pL4LDCjzn=)NJ3=&obG0myXk$P>DI z9s3PHqWgwCq1*SNQ*7NC*?vc!D8#K$5Ox;69sm;zgkWwQeLX@R1^K;kw6!04@-L%& z?3&mrNmEQNJ{219IC9Py01*dHCSZ^pjpE~9@K~)*%ZDMB_c>s)xT`Ta*D1 z7stU&VhFW8IvwQ}^?V>pSOPqNBZ_Q=!PH8&`*jyq-2Ju-Jf9R2JfCtDbuxzCeisC= zD|d~7!vr>WxO#zg?}_3|kfr5HAyjFWgxm~(@`fT0y_XU&nEK8lJSgRdM3yttu;0-z zi;}(?RuFl9*|Ksb*YR8Gd{I0PV+kaws99PxB=aGI`TODzzVS~ z0A|`^d1G>0EVs;LTm0d%Xbb2To9_bf#zm2t*4pD!(l&cCS)vZq>U88W8QnEUYdtU_ z8rN(ZMe`p~ZF=6|M1<;YZloy=yPX+F(*Vp6+3k=-d_)>=CxHZe&AoA$>ox=G@UTXZIV&s4J6#waQQFhiv3o-otUeG~g|h6qLx8v^xtNJI^zt0|ViL}COQe?N`~ zP-jE%V;LYrZy!>8=$8REERQwvNlH(9dNv6#NSb2F{ZU8Y1pN# zon4LgCBS&_BEe>PzDJbfoi=HWbN7eQ>$M{AF9A0$9p-yR?6+kORYAwbE= zKl!5JlEdkIv_({G&ux*ofF+AgI@0yf9)dx8?Ub@#4#eL z-{+QD^g=V5;RoHJ8N1!H42E_)Y)Z}FEfq#=hf+oCzcBmoh zr%IuPWE(tS1=hp*wd69E93_+O766yD(C%uboo9x?1d0WtARV2F+G(KN#Qd;pPP;l2 z8g36Z&Gqj&Zp#8-{~i`O8|%kv&p_q%gH_M0AGq&M-72fwjHtV;7EBVlRqVD^)-9Z! zhsbf>AKj7=*DaO`-6Cx&0qcjJu!Ybq@<81x3$1G}v$)$P$m&C6NwvyCGajmyAn@R& zg3X2gAY8IvXsAQ8Mj`*vMZ>n#+ilx=ZbPbqN5>6qJ%%f~-yf}76J+D-~sJ^6}8&v3f>0JH{*ybu-xCIqp- zonW-cy3OA&0W5AO3Ke@+B^Z}Do{{lDJe^4{Wt>apc6WC5V+0l>@~A@>%LNc29H+Ho zDx$oeZ^4}BJ(yDn?_&hzdETyFXnPpTtnmoZLc}a&MC09}5JTsKw1(JwmNMLoGAg)FXkj3MKw431BGN|+oh4#9rK$)4MOB+CX-3W=v^gdt z#-KFar{x%wjBFJMN_kXD&_=X%)Q^tPHs*^D0;~whIh{`7#Wjm=30^&lC<9QP60vp` z{u893gi(8le&Z!DAYc`x9(6`zWElD#$W)(p#Im7FJ8w9m1Kq4kNJNRUOLxomn7Y)> z)zqbdpi83^yA-seOHqGxDZ)+Jr9I;K(4`UvR);QiR@tNcjSfYT)S)089g4c6`{Z@b zeL%qIREO@vBxlQ^%}rAsItITAnPdJgm8^V2WUwxd=fF4DRLVwo!TBzwE`5l$u2^dj zwe1!ROCiEID2INrdMY7Wm;uatR!Rh@JBhdvD$w3@oOB>u0Z1O-Z z=o(q$Cofz{nPs?O5J+?lR{cOWUU?x4n+K6|d1M1QBogA?he9#ZNE)M&wR6C-g;R`f z9`=swK<(P++t{194%F7&l3XTvb#pDvwWbZ%TdXy>tO_AZ7P%XMF-@uOjB$t5(ZG;; zATITtcPJ_KPK(3Ic;z8{$? zbLwXs84G=9?V&t&JMrNKx+bsw`bDV67wCkHWF^XCJ?=KSBnccY4Ll<3o`%qW$?dnz zD$hAw(=ZQ)Av0vQl{Z3c%v=C64m=(+G7Yw2eIr?p86p%OOHH-6UUu48)dq9;c^6zC zw{+dXsI+rz-bRbnM}rt3TfU6@V&%^-F0`$CSblVW!{vHZ-ME<8LV+)0E!i5k>251; zjayzIof~BztE}4zYimr)X}c5QCV-{5Q6S~8_E>%NIGUJ|;f7!^I+5+BZSrjFC_o_G zrCbOGrq4Iq&N+Zh-f!mUw%@klkD7D%E;E_~BqVs!0E7fjUb|#-PF~kUdro)zWMtf# zw|W`6`)~(Npxe%z$jnd^N76W|iBMB(x!ocRWU8u-cZ%&+oFYfQh9Zc*4xK8mu06aJ zC(yX6DKgyn5yY-5(|AjWU5krS96FLJa35?GmYUI)DuI((StPS!8QGHOGY1wV=hC>z zt)8eZ@z9wR$A>==?9`(auPp^_Y9^`=4ePwe1kRm_Sg32`JtmZD%$xwijTf7smW>yi z;7cGBY&7Qe0;`R=4A7;GH?0%2+a>!zl# zebg`VkQ6M^*g?TgPuma*c3P&`q+q9S0Fr{8+!H{-P%ISejOP4m>!PVi`VW;6SU1VtbgG_|}5BvDS~3m5Ey;$vf&6Q7M51W`#ADY2bYPFyB^f1Z3XfRFwz1zS0RU&yR?ULlH zo*q8BT^c2he3>|G6m&C{uV<|H zB3FmVy8Q&Jj|kYyvR1_MQOj{>NXv3hCux~YMqz0#YVihC9NGeq<-=}-d6^^%qe@Y{ zP%mZS?aIH!rcA=yG#ZICm4qBzq-qUF4YM+-qm3%LyUF9B2%B!Pq7&?Qh_Im)BLMxgB3b{GYLdBCnY#%55L#s1<*_;+l@~5N*7+Swwr1cz5sF#M!hjcWY{2j0-ROEA|#;m zw+$M+>*7>HfxTXKk*rOc-%})-cviem>`L1n zz+)OZRM`y6up7eZdWsFzd3sB3%_Qx2kUX&TxHj7FDbDS>S5#rYS;b*Nd-=DV5vQ5d z?|Q|ocC1h&pVclvHsLX=1>lcyqD;(cPBo@AuQ;YPZyA`svGVXnP{Bw$cppp-OQt&h zYWtCvg~M*Agv2aU@Km#!TDn29>ZH#J;Nf+-^GGE0XEe%gpXJ{Y_ZLH5ZcA^QE>?aU z*&D_AB7Xa->qSyG8_`$HQ(kS%WZudt#=838f;0OatXQhu_-$9kvc~S}S4$eZ>)~tC z*j?{cBvuGeW35cmSfiRW)+$aKYb^_n9awpMS5G#%lGxuS%;Ur`=#K}z<%OjL&qdE` z=6d18`1D>lF$)x=3O>s4(^m@I>tA)%OZsJvqI&TsatW+hCcR6Xq$}5pbtdKVHqP)R zxq#O#89KgEnKE=_|5MVW3Zj^&{dY*mzMq-5uOeLIBDF-+(9}GeqNtf{6XTXPVUF>h z7Nl=v$IY$EJ2wA%s*&~*U#P#osW+$oXWDZZjl35?TH5@3%Z9vOHS;;%-&Xe=u$)1V z0+#BU06akLWjKR?DrXQ>%ozkNiy35K<>9p<%PGq>Pi~@#$9ZPWL#kScP-tWgmft$j z&$Mex_L3))ozacDn3FNuWWT3KG!44pAP_(mdSVdZGFP<2iMlNCJ7i_wPqp(%yAn$h zyPR{NEd7kvLT$wVF<<^^QTcQ>AI|*xp5fdqG)isb>v1wMHXof}R z#_u{>N&>)^@)_3?v8HP4Fp3_r(#C=5zo~v}SDlY$b&P@MRqWUyi&gW@%Vk_U^h(;^ zQ>BO7Ik*COjEfDDtkO`zRVi3X={H^!_abQ!Se$HdW<{p-;QUPcbh#+x&Mn?is+rBQ zz_sPAz5v-Czu0WQpA5SWr&jkx6wl$7|A@s(G`L<`979Xo;jaO3^#{ z6?G=XsW2=}Q@qjfdxK?*N$o=9a53qkh0&&3H(1bU76E9EmkHL4XySc?jU2)WY5s70 z`EURFF?pQXvYq;C6XW`V=Nkf3#AN z%f=~X@f-&NNOX)Ko8}qJh@WPqQZ|z*;bJnk*Jpd_5{x2%Wjqu>W`04;n0yH&{}|A< z4b?kqxmeR$Gi3>~J5ud0flA9w%m~#!DL9w9X6b94$dotr(?#tsfn@fN zHf98Tpjhx~D+1*4Scqj4A=;{DLNsJkN9JQPCRJN4zf+5?Rax?uZhn$*(TzbSY)BRk zUUd!O;0@DPNXIh&TjxlCk0J{Y5yFUQkD`$Faqo$;>VZsIsdgY};Z#Q~pHyZAHoTrY zB`!0Flejj!LY4YKLR6pLm*!xT$Hn)O2is;lhD>;;{s&@tW@4~yhhLfIVA~EeR5;eQ z!%Z2EwavCGX{-_9SWBgRtOa3L3)US&jV;UwxInSs)RoDlG1oU+=X`~#`pk$$AxpxN z$>0s>sn%3A8%Hu0M>N$M+uLU{#pCuuekF}h>zU#K-7k*4t#Ir$z56*LrYR^E+62;x zv8W%2wJ{glJj^IaZ=X6*zoPn0&tlT_kPz_UrGixuev{B-@7M<5J0_KF@o_vwX8pWr zoB~nemEGUHr-&U%LNEFfSdw|M{9jq-a*t|Xv&_AHN#+A0GG`&m zoRotpRvujD2jc&NO($z<b34NQY&Jv{5uOp8~0@iD2nBVPkj z>#&lpmZ;M8T&K{r`>Ml*TyD>vc_tB{08o2?$csipD&~Y68~D=52nc8dSFDr>YnsYw@!) z0_%((qb=)a8Kw>=`!%q5N7l#gRMK56Rd23od7i~NlaW7@>>Nqvgd{g8`!E)k*c{p; zQIoZ!u3gVUa_xsdw}D;|U|3A}g&EzeeY31s!40u=(y7$$#wh7p)lNo-@3MQ8yN=%d zydk>bU@?wfQcgmR+JQt1Q7jk*X>d9vL3;>2jc`ZOjI%T!no)VfHNa?L_n7yL%r9t* zMSOiH85)uqt+4jcoQgY0QF--?N`Y+djgDfQAA|>UQC7(xo#ZLMFzA=!*&5k*8L-|h*|%gW;FLp4qH>69OYSEi<~&czwZX$q%A4ox zi|RK&@?;A)H~f=!0SZo|6r>T9A+e1|5H~^p(OtyeE_D?y_r8}g8_2ngSiNv#dSqt^bh9;0JI6j%SJ zG5(HBYDG*QS+l=>K>nC;=HcrHcDM(I7k`lY}vs-6!ZjoU-knbS4}K z2;Y%EBy79nEQ&iarN`WK-;l2#kpF1Hrb_=r!tFNEpKvI@A(Mn9Dcwle9$k7zW(Z4g zY#mk*N4|bQraEtj*ZGEwlhEHn{%M2!4~P9>e*IKcARzqL$iu4YZQThZ2>%r_IsIa4 zh;=6xQ#ooMg+9ZM=~6k42mP?bfY<9P z`3?D%nn{&mleH`T6gK&W{7%gy)qlsDDeZs%n*XLEN6l}D)%<56j(zhG$BqS2@>f&^ zs(F9<<`H{5JWGh3i@jKChwH!FJ;QW#*UP6z=-FG`0%(Y%TvIUjOD`7T2&DJb0vuBz zIlSBkx~oMhvhLUaU)!~0Nv`VJevG0fA=<{JYme+FQbrr;fQRUN2*( z5kd$dKnQ_GIHMoj1q6*iF>h$H0LQivw?@MHgy46msv^9s$#JkA!BzXM&BPbl^3(?; z7h3G2ffPicuvo!y0SOmIsZpo}QEF|R&qV-zj#BJB5Po?Vd+k7+Lo4O&1mXI44^v{^ zgu9v${Zo=271V@!O44oY3#qr?*ga(-WIY;$ zBlt2RBlyzFjP7kM&>bo;A}v`rkz*lhk}_{tun<{^rKPkq+BZt8j)%EoH`M1LMHpoz zBPsv`hM}}hH&gW;X?FC;Vg+YR7-vOK1j0BgdLR(SnS}_4aYlsW%u8XMIc2H^YWHzQ z9wTDyU@^9p%9L%uE_KchQ^l(Gg#pV#2@?j9?fH#O=SH7Zn8^sT#*O5kEjg0O2aXdU zKaZ3}*B~zT#j)o>xg}ZjV?^dKU1(DX%0;uhb|NQkG_cr-b7E3HEei1E)t?A5RGp1gH&lh9i498tfhJnOHn!T&$Z9q)08&6>eMoyzIo1Iis zH~|>3msWzNlT>4qtY#v8+LHN~Mrv-T5sj>Y|3tDLpe~6jBg&tG$#74IFp8L>+UY-0 zR6E=y%8F6M6jnrrw01`MLtLQj!eQ-1@wob&Ee zJ91?XL<0F3{5*O}0u8mDu`_oc(AwWjY3*78$}VHn9e|s~V=EtZ!-hC(^~3D9+_|q$ zW24Yd>BOxj_S|L*6>@tAtjuCX2k!om%z+u1NdM|NiY0YA-Y}uETe72PHA0jz&DOU9 zB&tJ?$ctWnC}kEsP)>}NhL?|47>94|TJss?0por|pCOg!Y1qAP-M0v~??RpjF_BEU zd-T4;LL?)EihYwU!4e09BsJjx8so(n490IlMrUQ8M@^57aBP&-O$7Wc3>Oc&2Cx!z zO<5u6n!p}>m0Qp?k%gdZmf=YUYVxy5d~-WZeIy1y00S_G$UGB+q&}Lb06$(Lckf7F znX_zUc>EBN`uwpY=daw?n}+!sI zlrr-ZC?^ITpVJlx_o8~!n zx+4s^uWm3%rnr6bbqrYgAhGKY)A%P#v}QYe)p3>VP-Gd1##91GXQNw|&V^|30% z5-*am+hXLVVMpD@LI{YqvEYHsFZfVvwX?2*%b!FlyejlVohK6if*zfa(X@dPDRG}* z)N~w?Zb9N13}1;oJsY>;;hu1R`mo7X4@`G%p8%g!$z{^ja?G7s(rb z^1xHoa;0|Gwc#>gKrEIQ0_!Jky+Ejfq&jKX1vM^KM(jt*u4*DsQ#rg+s9 z7ugF4E)`P2wr~Qb3bx^PAQkMI<;tXB8!r-5!2r2}u@n`Il&N4F52J5lw!Vo$B@aSM zPR%TqO1Fg&pBFXcQHYgf|CNtDM6NbOYApnO-3vJxzd-eXOevaD%^OwD{8OW%tRx)g zO?LgN<&w@nRAY&h^S)WYmm^oNOIGG_weA?dWo->H;rDOJn|ur>$3M|q@*v^AwUXbC zBz?u)Nu-g9kCo`p-_C^sWYWsx_sgsJ&kt?8CrbNSV9&IqY5#l&f#7uDSv7y}7kPf6 z#yEFJ4 z<)5F_`#>dXUlPw8zkdN)wKIQjOKP`jfPA!?d(O&lX0iNiH5NGP{r?_p{RsN#5g{-y;Wg5gUNHojR4Edcqz7wKjJZcP~$;H`NdkXe>g zG1naAld&V_B}lw$bTp`l?r2<)G}u3>Ln2NBzv*ZIp`!s3=4hZ2I2z;v|2HPBJbq7( z#(Ud7Ah2ED_NzJcf+MOS@>^Ji2EjlCB@;;YMf{UA+;b;^-{^ivOhcT6s*u+LC38OT z1^6V1xhW#E_vU>-W>-&-U~2COM^r=Pw*>A$gJ2(mk~K*=9lTljU4owaZ)gA!C>cqp z60s5}8M(m!jY%ty-;DHO&2blOfs=Ev2EM7ZQrqN+qq-gwv(CIwkNjDIeFgi ztn>Ac?p>?8s&>~Z?%s7>JqqA@5-7`U)uBBK{5N7R~ zCN#!q{Z8TPl=|_16`r#>FW5R_ItDX%@Wk)pAe;UqP-B*j+eVHn4>Oz&g?QZykPeI7 z5SYu@`u<4P0I1{`Qq@RJ=;RpPzyCa)Qg6JK8=qT!g~v$uI>bl*s{?4eX}eONiW6z_ z*VseD_&gWO6qWisRVI*1e9EuFuX-3O%#1%6hA5;D&(`zxZLPizB?ssH&mms|%f3&f z{qFUbdAiRgXx3HT*NmM4n(!+J)x$Zvi0UK?>0``s@35LHzE1wT;`cnX_yTLmx=Xfe zFs*4yQ|hUU7i&Shs$j)u^z7*US>!KH?9>-z8VfJr6SfI|uZ@Q%fz>2CQYQ;j)ubEr z>>c(0f~Dxx#hi3pb20-Y~2byW7oX3XO; z^4V&(h9}Jx{A6qTBXN;=fGVb;2FJRykHP)#6x_twKm6=jO~d{?l0fq~h>-Cnu#n%_ zn;;)MK6&%Udt0wTdWD8p`MtmnPGj@W)3j{4qu?q%EBcL;G_r_8Hnn;diT)@53wu6} zpcBdt@k-E#^^yH<0GsU6S8~8wPy5`l3zQ+G>A6~_rGHYKE8rb^7Z~vL9e{<$&sXKr zk1Kx)<=)C}Z7oscbENt^1}0b%ZNa;C+zbQWLh8Lljle_WYQ8b%Lcfj5HYN<3{`ZXbW(GdshRK%pJJD&Sj&e#L6oo>$?Z+GLN6boX*KBMXbPNYo9J|Sw>^15sl;8TFn(Nhw8!N~9lL~DCUW}_am4Ej_Hf$CXVM>+{A`U|Tpb`C=*S>S&m?EhTDGEpj3x13 zKB3q{4gS#QVCUdZ?rPcEmBwI~8lzehV3bk_mPsai%x*VdngMom#_W{hY7bYju+jRa zp_qBHFz|NmlvbtCx5&ISEP0kyxyQJD@90BM>U$WAzo2O=2s?7;R<}<-R4<@;sA9Y5 zY6YllO`eHIRqzunlLBVy@b$9N2)P-Jh<*ZVAmWe;8s!#B2wm@ZAvvgogZ}V|Rj|^d zf%lKG2Bj)fuUMM&sgif_b&2UvMabjPa1+m9<6Q;8WC*LotEXgXWFFL%qWE5<3A$n% z2R+cm3IBrtQ%ms0o(P^*-?x%I%i~kneM^@>9#SeR#{M_|!s1rZ{F&`~j11(D+agY} zdsBE!dsh) z-v(}!8lfVc&%!FnL{EVUHJhLOkp47*3{7xW6`vOo`zw(C6cd8Pp9t}N`j|h4eeBO{ zA;tbwM8sXU@yN4rZsbJ0Z0~qp;0gHLg)6bp!CNPxuQzx7Jv;pkcoNOE%#+mkUGD+@ zq9DlQX?NS5StDUEm(~tvlYGmu^X5IAezU@P%QLKU?di} zjTv+9;Hi9<>Jssxy|sz^ZS?tdygbn{2vBvF1~NHgbt6cTBd+8I$VtPCNEP_WpG)P` z%PoIwjK+|P)p`fuOEqUk&1;TsKk{dUsoVVFOq_b@YOq+tb+z|w1wu|)n(EiWGS;m? z3Uo#lq_&R#dvOXmWWN6v0_&pxYWwjAm?Vr~9$kE=T7 zwQ124#~xwQSsbladw~B9HR(2yNhEnIta1({an)^zH_>H(wdTdcC0O$;z!F^ZV{klx zCiXn>`DDga-WVsF3O(WW8uGxq-#*~5kF9e}8O(b?an+-@B}l4BeE)mQ+Cg9mSdG|n zEv_5WK?T{!g@ma{(cNQEfb`zUY%w$_DRdq&D3=tovSOluRSwr7;_=hN$+%Q((CL4b zNU=^3QxqcB@49wh$DU*Mtgb=66q{nao8E$Wh-wEAw9EMwk#$4I{rp{k;dsT)))(tR zG!S!b#9!GX$KT)9OULaARc6aRFT~kkeR944tL#0wONBUTHy4Rjw}v1A7tZ4`x!guz z;Ni?}W2=o&P6=;QAr4-PiCcGjV_keH_xQHq+ZD5Ovx**>W-Rgs)ekKmiV_(?iWid` zGZrz$k#7CayB~!FN z_Pi469jNLh`axT)SLN3ZwhLpC`p0jj1y;QYgfajOUg`ZmtD;vze86xNg1{rkVF{Dm zDMyv|51tcsa~#7ySQ_1pKMRV6fA{r9AoXLH1}c75ItGgccpj3_4i7X7$ewgG`^RFq z8Smg4SkA$xGV8$AA%Q?{B@8k#NB&HaJszFIWP1%dGM!2qC(Crm3<6hjw+6~)w7nRQ+NM_3z?$2;}0L!#%9*DUN-`s^Xg5Zc<=UPlexr*_uWGfo*{ zH|aT{&@^w8OeX7oz!o&-y(xqw+5-n;Q%t)vz)K!+MP+h?7*fvfO%M+Q?%Fo`$QBK3 zLZE$WApaXbMZJ%ly&7hmM0D&KxM*}E{)@Y}bo&FMsWQpTVh&A#lB*FW*5yJ^Qcm32Q z=(_qyqGczxgSVfK^G+d5`0b9QYfYB=Rs@KYCmchWo$<%wnmre-8YR_sQ6vm%kKAZY zt%(n)pi9LpxhUwby7xNeC%^?Jx#GNGQY z(0^6(T7jOB8e9qSYAd|C)mqj`Hjk~6>UIg^P~=+@REz9!Vo7ibY|L5|<{g4u+aZ-T ztBSgoDlBy$41nTFJI4;!13@%!{`R9-7r((CfxIVGYi^>DbsWB#e~~dUazrHY&|Xa; zroQy<;s=DpaVM~6p4f9J#kww{79ADNJ#3!-lU@np%L~N>ra^c~4tqagz=)uHQ1rMeJK9iPGK;Ai z8YAWL^l^-$A*C3ePoN7D<}%8n^j-J7;@U-%FUA5MG{+TRWfXvbZydf)75tKG{$?`h z35jTi@+b;qUdUh3A1VA6GfL0yl0V4}{0)^;dp3{1`;TE z7$&~g*ji0GA#}q`m@0mpt zO^DkiUX7r0Rx=w3N?V4{@;!sxwPPItU^$$0bHqC3AsFE{wFR#8AQFljTokC*e*w!I=4=?wB0 zS#j8r(!j%^kVZTCd&e;r=~KdFI_cIYI109xGMO5-@)G{#YjjE-TxRR#qM#bO&{L7T z+Sq-E(8>mRAeOi0Dd&%*eqq@QxXwkqG+tBmR{Ad$q57{nEI5F!62w2hj z<2pvkA|m%w&fZBgA_eMrGD78#?47Bj7EK|tMSF#(m+T2Yv96?%tnzv~C|t{IoMSU% zu7MMlSzelM`OdnaBwI;{y&56S1e#HwApI8*o`|Q}UPNSi{3yi26OwTh0NLfMjskja zJ3x3`7Kvs?BT^b_@x`Y@lE&YJQIZCNeb*;LrOos@SWe&tSL?q1PY;Y+qyJVaY%$R+T+5EIdnN^OpP=99LvWT%m}E#`v2o3L>A}@ z74vL*Vq*E}DK+Z(AO%uAc`LVFKKZT+B>G2#m6N%lZ_iCQx(W*|i4|v?p81p7wz2l^ z#3T|T9RwZwe+!FXkYz6SL+cHxB59e*uX_jG zu=~mMCLSl2J(l#NYBp~g(Q*V$qtDyO%?Tgdi9F+B`_LeKZ5rI-NTFBr++4sbUbBbM zt&dkb6PiPFs3AF9HAhF#CBthe+vM;XF_F^l?;FTAo~AHx6L*O=lw)w?90JFD6OuHe zCH`8cBCJhBXTWlzLQpOANBxj}KlVVT9>t3IW_QF*;6+H^BNQ{`PwY$JK@vR?tWWkv z%D==Plrsw)`L;^RV@_L|C7_0}b7?wltCO39jLu&WDILEb97!9#aXk+?(t#a)owZ_( z4}l@Cz$ZJM;_70i-xWR?+8k^eMe~Oc-hX>KT)bnSb~*+|EP)YK;z@h5OmDm;w@CD4 zkv+&(vng2fjWH&6pxK=1xKsC#P}$kU03yw!<1z?)!qj&;4yTFj*kWp7k<_{p`nE%2 z$Z4@+wSQ~pE<%qcs!Pk4Pq*|ac3h7L6f)Dk&K`hh*hr`2* zPpuTLZ{AbY!RCJY6VddY8b;gl##*%kS2x*~RMt~nKSw#VR;lhZ$A5k1k3!nXRVSn$ zc;Et<-}Eh<)q#W^%`{G7VxS9iCd!BYJ}{8b>e$kj6tI2t<3T-Oay>s?2PeVJ+N33% z2ol{_w-8HoRTaI6Q$0qG;C;h!pu|44m`ZiYvpA@-)3dnzjQHD~ij4y%NLB(K#6y0N z`5|61J+p6ojSG-~H!ALt_SBH%FFS;->!n!Bj;eL}BOT0MLPt;-l{%JRy8=K3y?pWM ziE-DI3&gvw5A}Y8ODGW{uCN`>tU&}L#q+r%u3t~42Rx;}c|$9jRm!BB)u1T9x4Kr5$g#0ZRzl^xQ|iqellkA?d2LoH59|4UeHM zD4-jQ678+(z8Ff+>t+b9>5@;C%)dL$p1$Mq=!0hc5bQ zB);DGl%lyoPh}A2(Y(yy&6@{={&AX~IA%>e!bPREY))R3h}WqMD{U4*gC*UO7}#w6 zqte|LG`bdGA+Fd6JMNkn#g-|OQ0q+F24W?mHwF@FrW%%2R1s?~R0=5Pqg<0)yvVyp zQaSYJm89K1EZy>8kZcn0M}!o7k`Nb9=r-xynSh@YUDqDx1>wR;SR{6WAML>uvg##~ zT4-og9RZDAxFWe>S>BPb!*f*z)KHJQ;fIlWhaPdp6j@XAKcGok7W#w?vwLfAAy2^- zMWj~lBg5VUlil#zOiVt}5QfPyHts|68z>(~wfK6a@64f0y;5OM!SPj)4X`JD{yB-F z{{Fz?pXY}vg8hl?ztQzNG#cPVXmUKhNi-8ZB28{|b>8`fvLm7~WXfCRXqbJ{ zuZ6#CApso_vYk#ROwJR8PJx?sysr(z7(yuufEeIYl6c= ztkWDPZK1;y{|@z2FWQSMx{E&I6Sg`yg@w9gbY3_Q}pPO3vS&AVA zyX#t*RLtl^fKW+SeUfLE()(Y*(=76^(Z%lyt$2u)&(Ha5rkV4uz;{W;UAtk#(V>uH zRmL*m9T@P3FV?f*S}7guvG8vDhhQ+d3nENwlFvIyN~CjaEQRb^5GM@xN?n!EL1o(m zo#@!|egB4>&Xe2_BgKStG+1S}d7(RX-wQkw=7;=N5|Cxp)I!d3BLzTu>+T$c?~g(S zj2b1Tr{0WhuKE%CKe>c&3FRCg;wNRs@h@vb4UObb9BQSt;qD+T1jEQIa}rmP^Y#4w86*BGe{;>(?;cL#V1^CaVJ`us~9Q)VNHivok{; z_yn!39yy7>BEP$nJC5Zs@w-mU(>Bt zSTBJCz*0W;J>0P?VV)Tvf_>soLJUl4rBd-UWr?Y67C`*g2)XD%dQ=DH-+2DSJTrR4 zby0v3*+pnQ3V!6KO5V%8eX;=7n2wzD!v9uWg9><3WIn#vqls=@Sf}zt3&X1WGR#6& zo16)%XNjOR)eRE8kAAWxZ<4pW)~*t%n7k6&)U-}Zlw-kYy%)a1!^~-rbcDuM@1xw) zb>pxpwG7E#Y1b*@$8m-=k9Woa+XU4ZeqYy*2F(A24PanQ?Eua$PNqh-|4H`7Rxqs0 zgp7p$3B0@v5>EE64&P4^6$VjLH%k*!WeH&hRZj=g|1X9?g+bNH$j;fp$jQ{s#FL+& zLGeGyg^-2qI}c?BIa7e8k+8jo4&!%_la-mCm64H=nU#=@i(QXF+0@zI)yc%vnUIy` ze{cUUUqvHxQw9-xJD2ZlzGGPbi{a=0f4Z@MhySl`VjeCMDlSGYrr-J*F@E1nj0l++ zM1%;L`2TnPzcd{Ge;RR18y8b2263D3WTK`f_5f1`SyMZ67Yjlbb|ywne*XVk6&~4( zJri+sUA1J(c?LjRF!>RY+p}$XP>4+jwFQTP`dDhrj96-pk9P$AVa%BHjv0iSq-XtK z2v1tpacG62QH7L|gf zZv6iK@6R2Af2aHYH6i|mOfz}j?-BoYTA#S&?njt&ewE%5g*qDWuQ-aZ^a_B0&(%q= zhJ89?$H#lVZ7z>lz?~m^AbCgv-m}AMP@oOD`9~g$jYOYYH?Cc6p__gGr7Ljd`S|;G zYhK@QwUj-Q|DNddqYwROiYKta{Ul|ml{Uk!h759=C`1MZ_}DBX4mHi;Kdy-COIYf< zC>bxCG4arR-7KA9=dk<{fFPH?{CWX5|e%umC#qQP^~R&-U0dOD{G;hEAF}B<}DDjjql)T zFAfjTX_gO38F6PAkXK4&O~So13dH7s?Q=<+fij4!Gx;h)!>vws!%aV8L{1mA)PC@X za!%(rgo;_wv!LW`3MYFNNG>-zQfD4^U)*btlR6i_=@!rOeO)N>sb)oy7AQ9ns;NXc z?!bBrQ)*bk7XwBcRmZTMbOWC{Y%$g{&ET!kYKazF^(w=kgt~<(ca&qYgC4+ct0&&L zlC>E9-6<~{FOR{mq%Fao-h&0hQ?yzyo7gz)gR8z8Yx1luPPtbNIV#^Z1CA$!d|#SG zeE-Bep3YKx>$QS@Xld8Rb!()p=yT@P>mHM%wToiPUBwx<%N0wHC%>K`Cs~bv4Nb z$`&+Y9zCEOqJ_WjPuU~@fA%AamdjWv#xH|>>KL= zwG40hNA3IRBYY7w;{~{%fHS3V!|-i!ONWF}lRL>x@@V^&d4@xHuiW#|=|_*Bd{?9s z0wM?jFL7tQ`E>?{#FN|m2KOUVJL1T3D?#XhFRt%{dxHf422%Vmc~d`wwe!9H!7;kzSc)CA+vh8`)Hc2>$T2e zjiNk)t~?a!>gS<~YmEq0A_Plnvm>?B%sp#u4G*cOQ{8kWU2u*Ps9UB1HS99}(8A#j zs&45gg(GlS--y`sEG_!Jr3#`TZ<6rn%)?$$J*Abiwl;8`H6Yuz)ct-WdJh|V>Mfpp z`y{GeIZa=4!_T9PZ@9AVI+%Mnd4I=F zi@ zNboFnkgt83<1>srhtBvs@UT>rN}4kI@;JPE;a!eS%r>3u|3$_H^j&{X2IQH9*4l9) z-)AhrzW>?)Lu;qLn8-K6vJO@NtXDSX1iU{fx1w^<7v3GMYEqB4wyCTQ%QQG|(oKZA zINz%d=dd|yN5SwW4prElAIYxbS~PO9SsE}X&)Ad3kcCO04W~>amf*LTx$eUc7qQ*5 zs|Ty}22!HuaX(Dq{I`F$oMCzw1aff=pWNe@#!=anM#vWUvkt!qr#@Gk+t+ArrwQ4@ z>0uv_xaO&-=U~cnl+!J3rW>#>*Xm9(WLhco!fA(>WM-i<9jC3gWpD3r4jv#^X}%9i zTt`mA16oUDxgaL(;wdB8+(Kv=aW9$WGVa^ZI{!8-cF*RQP5(vZX-7)g?f+vLaco6T z77?{du8ieOo?%OuJj71z-)PR3jnG$V*SKSpT2LMKqADE94o*TsH^x2LtN9BsTJI{a zQ^ID151$@2kGXUTBg;fEMu^$m%5C{7VX{Ol64xQGE#9H$)u)5n13j8B8*rSo%x%-q zF(YN&l0t0Z+}~TG4DdVa=iWkkwq1o~2=@6GW@S9ufFUmaO zmi_Z=menHn{G|nAtwQ27n=Y(0fGs)IG}pln2N?s8FVIB$o!pn^m$ROOB|v;9F9lbg zkeuD>Ei$ByM2>)>(*!T2ZkHzHu)ed+CCNw+&hl!i6jXbJ&-?~lKPW0O0>|F<@zKyxXX`g4>EL-03muQ#@rb9ch%2~dyeo-NuS(L+LuBdPL z6C2^JQGJ9BB!(2!ZD%@g7SwNNy9B5hTy__~K|{3fOH<4swHcSpBI!F3`AD1bo>?@I z+dN3P3Cs1nZGqq%qO07PeNV$K-L8W91Si!PI1R3@kP?=#lTa z*&%;|VrJf+8vMwyE2jCPq7mV(q(CFM-nB#K3V&K>sb zu=p9U#P&D=nujhA!2Xe8j3zeZiFMFKTQDTwI2CTv(7RlXPh}#giQ&_6I zy6*a#g*QU1^Y&2OId^To6tLPEr^Hc`rg#8bJd*f}8EH~HG!%1rm5ge1Z-Z2gUWRtY zse6zEU(%U)z)fs)*C+EBWT214}x$NRbyzn~F03|2J zS}{vdVV?>5i^nhbyYDJ=P-RE0OP9f@wQVrW|mp-09i)`@( zT`sB(>!xGJ-Th}jfg8t@2K6PT=Mx3vP6!{BLfYyOL$(6l2$;$mX-OM(LGyTq!U=Ok;hOEae7C>4AY z_4yfE!&+sdU)p#`dq7?%boafnK2@U@&-Ewd^6hY;|CzyXH4Dy z`y}h|+RV;GWMAZq7G~NFTEjw(U}3U+i(r;chgQcEph0i}*vIK403iXP>32E`;^UM; z72-WbJEUiqc*M?8HB$Q&(WCU^FRCd%{km~v*px4b-iQNjh)H{cqd(rIK|3@7&z0t4 zirylH$sYI?Lt`FJ1mUz^BhpCXIij%qk3ZuA|B>J_+(aX00MAdPl+4{r4+(v@dgDE+uX* z?cB(E${}7D0!no$ffM47mrgF(@&?0FZ*ksj`4b<9OXhjX(zcT}4>RjMbcQXAKsTe~ zL4im-Z7Wlul4ux|X#@POuQWQv-gy%>?BX^NY^UkH88X+-mC@_62nb^2K}!z%I>K(- zyDhrex&-*h1F12^Yl9xk_kK?@PxfLE`SZ2wayRib+BUrkY}oz^;79#9E7NqN>~M40 zwbL~<=gWJj)ajwkQfm{k!*nF%8cn#pndLsSP?C{#k52}5unRh{N8|N01}spiq*T~n zN_AG6UwbSIHw!Gwf7AQuw5hf?vR~QR#VaJbS{l;A$THR#(uGGvgn=y%m0tsXq~U5m zNj95RMw#>MTsbEjJ8w^*o5DV>;*No674fCtwLp3LUaWd%&y9(B2reYfvHkLVB@G2# zse{@VJ_;9(UvC^_SGvQCbtE`BaI#r7jkhO_M9&KSIEwwQdi)BLYK==p&y8~_LtXd4Uj4@lC5<1Q;O3xEtyc<-JAj{R6V<;6;SjU-M#8SpQinkT{puIf zvnGmpQiqIG`Su*(YD};YE7Pghfny&{{eKoBM1>!pP?GxtwKlYByQx(Bq0(v+)z6VH!VAHT zgJD~l&T@n}gj4cp%--#BdHwn6;PCTjOpA5+8+;&{0nSH4HYKWe(&LC275LRA@<6nq=iR0YHJs6puD;5t0$<99^=NNYkxq^XG9HSG$lo%i!0i-csi7m zx?UdO$BdIr5KAp?p{n2Ytt>QI&#~VnkAlEz^{E$beHSK(_29VRfi# zr;7IuwN|~t$k^8}B!^m@&o^B5mrbFl*h;q$6>s9B8t+4i^`S!+-i}T3P7otQ#qui8 zGpfa!xJbSBsKLHJB~@;jZOx_>KhDu16e5ygOQNKMTDc(~o8D(dLBvL9W_)MJoRpHT z5kdLF`Ve?Fv@1aJr`M@W*OyplC>jmI2BawLV`WCa#qM8h^&^!G)~f;;xIEl88vWMb zE2-=h^M}zjBH;Cv)Wop8!90so)pJmxgTu?fE z^ny3gXvOGB&0mb8R-iN1b-W=L#=s$YdaRwmI=H`TV(Ia-N6-@>w@*@+9Ku>QNz^gZ z&|PR7T&iSmEHEOUDDC-5;)qa#SfpQ&UwCLO=SJ+TQ5lbj$whvOrk?*X0rNgu=sCnZ zW+a&}xTGWj^C32(YS$9!ytwt1QjoNAB>A4lB`(*9r@I|mhL+usS2DfD<xSyF*O= z9LX#v{~0wipp^2{$H8l8Wz53*+sd6@t2gU zPn^!2sjJo+b(*C~9rWaJYExZ7IT4bxTp9r1NvVu9$nZSna<8TJnkfC~~cZ zL_VY&mxCZYc@fh5Mv!mqemq5xooK->FAwG`Z{Z3{l=gQK?)z;C0xoe?Q%B9#&hv@; zg@%-|Rf}tK1wYqxtc*bk4@Qc{uU`??|IoArul2fW5`%=<1ry<461NE<54tg|`3wH?DPx87JrE$D*A-j0a z!)I76!zR;8>UQdCq8UN>lEU<2T6`E<3Q5AVsJWH%7WE_mC9|C(3Ca8U*utEI@X(cB zn%E)^yh!6&7_KrI-Q_f$MFyjDgYQ6Fv9Z{$3+}Yy0VoW7yURi{o7B)6uN)St_tZ4E{yzu%WsI44DMl&RL zT#w@zd-k7UQVh=hG2Z)8JY=a}`^~WO?Km+^woAPz+Ns);AZ5Z-*T%MP)sXUS5pcEJ zbPj4rZ`fy8c9jRYwW!1YEOY*W2|KTDPP@{>%GLlfp*;0QvfRRD2jkyp*Vdew5DsX~ zy8b=wNu6I{iqYSyf4>XFXEK{&)qW@TgBulLM$Xb&Us=w!;6{j-Z`gi>mo#FjP1OfJ zqFmfCQ+6YF^4k#U5fGmkq9^7iuzupIGCUd=d2{LwM-0y=Z~||c%{01xv+5ox#DDSA zi}d&JpJ#x9wqS;qpOea(42=`Pwkm`UN30R*fqKfSSNi!#x6-rVeWw4uDBo{Shv-6M z`(<#7yf)y>OikLKaN}Z0N;m$r=Z)i}=banUDoLssWn01V>$S0T@2}<`q!aiMk%;Bo zp}W62$&T!`>m}SK8?bnF<6bYU z5Gq<%(*br0jWkh69a@3s5H-nh>2|`>mbI1*&K5l((P$*xzRO&bQ zb!_l*eK6CWoRKKlWUv0W+_{T0OkzBipA&!oP9(JIeSG?k=2K6Hy?Z<&7=$(VxGwXR zIAY($;*0EwCKP$)*%w$$p5 zB^I71%}3>qU3VBpW)0&03t_74jE#?u1}ArC8Q9w)J_CDjx_!?308 zrXUy+SZ&jZ2q#(*GWzE2M#%QDq|2aIN3@d45G$z)e zsoCBM2@AXY4-Xd?IY+$gk&~Y0xDL@zIENQOUO^L8ckDy)WDLH@2%s?xGnDYy7+MdH znqxxrt{y%hCHd~T%b-Cz92{k2jBa^Vuk-m%wmh5wcR8cuX2VKe6E)a*IHZheL7ZKx zlY(Kma|V7R8AS6uK-ibkwUMAv3f)QQYQBWCc{d7;XC-+7kPZ?Y zF3Amt{bEXu?E+M!74^!-!$*|(juE+T!9kN$W#=S%Ex?i?@O#~?*jyZVMiuLJeS><7nI()FQQWuQRoviH zc{Q2LA!{{Bf^7{^KWM>39rkGl zlEmYI{qBzwuf}V6@H%qPSND8ohO0{q>PTK^I_-O&HMf?59R;!*3a5thFIW*!mpMTZ zNs@r@g0H3#(EtcPgRHruq~Q+?(&^i)cqTR-lec^Oqq@9xYr)MJb^z~`j~Kba-^9?~ zi&KUTI#}jg^m#x>^E&j!=?u0pa6N35x{?@@I7jnB7kYSOu-JzfT|m`JfS9(qc~(97 z{#VEaFfu4%PPy{+y-WOc)O;SL0v?826o1U+@!YG*wK` zL}B))VL|v;a~-JJC`_93i$&t#M80dTwe^LAr?!2BXQo(yb9)i-C$q(Ryv%2$OM_`7 zK!Gn&eZ63;TOD*g6m7qXFj@djqj_H0@Is7=ZsVG3G+J4kxwlolgp?Rhkcr9^B~`xA z4jS^3hTGCyXkUz~&zuT9l1$niv;tmQSWmkH_iNQ?PMQ#9m-_|B6%Fe%(+v5{Dr2LF zCX=BUt|3-WWKT()2-yo?GC3&UfEW+>-10WCbzV0wN~pl8M{=sX>yk5bl~H*RxVh+* zbL7mmPx^1e|EvAuEB@c^KzEftAmP{yi?}vFlL98UDRtO|&hn1TV7aC%JOs zR4U4oDx>fBre|f{eb!1FB&}rzU6KdLAYmx(%$a6yXl)w64 zs&LoWPo|$Z`(5tu<#x&N<)^upuZ=02`$*RZK(~>{ZEOx4#GV5ET^5J%@1g6M#@avLvZr_P zZ)nk>2fy%P$%F;)KUKftMMA?}y`STCCVdS-Prf_4-D9I_w#PUFAX)z8%CH;4UDAyv zB1$^TXR$PG#opAhxj$8*QhPPs^Rbfh9LPM%h;SJ3TJ$Dj6Z9x804-xsS{rhV_A=?M?lw2h`9%RN%0{ zNkXc$b^q83HrMp3Wlv{@ifW}}x|Y({pJ{?No^*rImm?mpl+5m_hu86vHD4=Xvq(B9 zmOvXQ_*ZRj0Q{@d;Ih32A@9WICAKl7WAq2vZD{D}9gZ)vqscc$LY=1wP;VhG6|rcU zc&iLQi(^n-%9NZ7&vmD>IgpXv>t1Bi#fanYwl#CJvPrTu!_d4%s_VXvvma3AVvXIY zV^NP`-;02uOX2D7$${j<{sbXUBVCs;n4Talv?}#+89J9b0}kHG(MO@Vb*CM-z>8-h zl7~l}=KIrH&!ylb4kN(M!KUm1wuxqcw5~m^WL6ks=h->tMijE6^>}6Y?^#);S^d-M zy20KS4WYq0S{f)HvH`)uWJlK#>;Y)z6(4)%~dV;%ED{*e~U}G+bQ6vkYT1q?HFR>Nt z`nWX`7I`h@>?^U_71%~lsNYtjOLm+F8`^{&+N)_dvCVuZhE+X>Zs&hWTIl5B+^E>S z(`737Yh$*xHQQf?mZBN56%@$hfbeVm8yz`0t1c+#Yp6`2Or%du2E1tv4gw zUAFZ$YPzOUZndb(CCO1D>HCdo%4aiEUr{%#WsSGiexs>=C&|}xn8u(!*hFEt);>w^ zOHHBN*J<#}T)DmK*Guun+?;`&o;Xa_3jQML>yQ;O-_8uAH4GM5WQU zSsNoB=Og_(JB8%Uv{mBoQF@ut#UuQr{6q>D#=xdhQE==%vd;j?FR-WLy)ah)-?*H$ z1TPg~YP@u7zX-A)#%O|S;?beo>Tq8u%OFi6o+sjUhm%u(e2tjf(CLR)yccO4tSRYH zu@bm5-`}RoK5a1>^|*-OC*2NYddLx%|N6@WR9xk&o7n<}kF)^!R}T!c+IE9A4vef* zdCirqwbO5$NIfO+QHHr*TMrz!a?5HvTpz+*9)xmH|II@BuTvTMp!-j;kN#M5Cfo!{ zgzTTH+n^+Gm%=`Mqa@*)e>4!X_Ln*8?(?z=JM93&L-wJ_V1DkFTaA%^T#u6Ud&{<# zoEQ6?YV;E6g|tPWHBT|h{MPz`u$>^`;!N_cY7`yz&$9UIb`{?L(c$0j`#ycvH=+`K zKd;1q{BEyV!VAwgwv(D)3ZugMc0xE9VwOe6xr(?*r%o~x``k7#JPNN!Xe)!+jPPg5 zKTIYr*oYBt0%`zwTSY1FAeD?Qk!IW`uoj=d_cF%_Qi6{k;M~e5qQ3*Ijg-;u3T3gF z_~0y-a9?K@m~Oko8{wN|LSN=6F1v1CAL>}JEZy7uFeimzOnt3$KPez`03KO!H1F)Y zwST2NS<@wZtF5th9J_{gPR?epkk-5(-2^QV=ze<=AlgLKNwkYE4|W{jvp=rxx=p(;OjNK~$!{MU{R|I1M zy^QX=rR~y)fLRD=z4*H1Mr5;d|I(O@U-!O^6{omsg1ftGf)samiWG`F z#UW^LcXxMb@nCJy;uLrHmbPy`&-eHKIWub}bJm%abN0RWzAs($U8)lI+t3O%e8c`- z;}-BV{SObiu6N`o+5-h}F5z>19_obQMOEooPX%DO2DOiQcktZYAU@7BsyS0{s5y`S zDu|__o(2(_Eq?g>8M~k4Y+S@N5rl*~ceG56$ z%`OnDLWF;&AQs%o_{P<7?Nfz0hb(q1l_}(d3oLkaU1AsViV4bCd~Do*Pe55Cc=<4i ze-|Z;rv!gjx;p26|J%r+Q21!_TZG^s28pQi{z)_qUA1dmw=;UE07ZN7sX&r9iDeXVd{AsYKW0vRUZ#DxK$F zhrdse_ji1=H%+2E0sse}aq`9Au>9dcSrkW~)$XcNF4-5QC8;n0G?I-|AQ8RkZFfoD zGnMLk>Qs^+I6A=|WKF@vWR~w<&V%e3%H@l39>k}}t>VF|{wm#jh>ii(v| z?+nIu2tVrE;2DyC59ehKGq)*+ym{HD#xkDNyE)UQS^Q@hMsgKmxF0DFM9K6sq<Xd{8rB#Ju1)}0;EDGlr50jRYRv94;z-B5+2!2cqh7H=e*IN3F?A< z()t{h#fBpmb(qpQM#swW+60Xm^iiOQ%=pKZso;s6Z}xacm5iffVb0f1u| z>zG3{SM`;(6puwW-i&Oo8KWzdD%|w2Y<)dp^oL}VpeGERxCQT)@1)ekEJMoF-?;~5?D~|qt{4i!HHgY zpqypDyQ>fyvva4jkjq?g6FF021-eBJO8uS29W82yZT%B*41W}rL}*#L2oo1$L_+y= z!vpCXXu>vEFG28TbBP-@G0^r#sVECwhB*4Af36@H7V<;iD zP)uSRJgO+sem!mSo_(9$daYQSJ7VS*(n+TCN9Ox@Ont69r9ly5!oq&=CccyM{ue*{ zl8BqHF;_ukslOfH-@Iw5*JM*%R(@dwFO{tnMu(;Qsuh7ud5LcMVB^`fpBS)p2cH@6 zrX0VLLAgOQK4g4jDSSkJi9e~D{#@gbM|5TbV(W|W5l6LoZG0ay|AzsTQ@7XF(_+g% zIpOc0K#|$I@-&yw2tRm*YmL zi@dr9t}Pqi&Ub~X^*98WBYh0a)djYjlnLm3V-3Tf0DOqmIT>8D3cg66ys&a^k$H3b zZDmBLp~U;ct$ywwv{qZoD8QjXQs-5V5J>1sRG#m6g)VOh2UDZsU0=~}GyFSty?TGP zT9sdRP-^TSzrxg5Cz-ADM!tbAm~A{6xY;|t;ZvKE<i-~&hXz8&dhK;F1%Cnnwb zcV76vQ-Folg|m6@*Ccm*)!!=f?>URYOQCVdtRyfX3mMThM#`zHA!_Bp`5MHZakq9^ zIbU2?)BY;hInxI;&^Zxx)i#*drSKBWkP}lo=yw%}Ajg(3m*3U{->%MkDRnkB$@%$o z2}5}bp8qe;*>O~SkzmD9K4PfHXP+LSmViy>Dt|6jx-aN4(Wtlmjf0(?h_U-%lWq}(e$q!fwz5TZBimd6c{G6bz5BtRYJ=p$BS_rHNEM1>Z=<5j3&>CR6$Q;^vjz{T}< zLy-0RhaUStw-!~o`E!u2o4C(N9Amt2>Mb2T>1d7}FXkwe0Qm*C;(b!9hW|PCUF8Q^ z0EQ%kjkrXH8qlQ$|4}rje!;!U&%Ac?YYC0huuvqf%~Q?-6%FqdQlQ) zHacv^=lOlF^AWbAvHf=G4`FWEJI@?rK$iM^%UTv$X2l~(TGk6zOJ)a-1?9Gac^k7D zCd11(`?z_m1x; z`a;e}PnDzkLGCTq13$eB6(&4o{|7C%-AP&JyvDC1D6@JOIx&aV>*{7f&s&BKLCH}e zqy~ZgAp5BC?(hy!DUc3#QXwf}UJ9$6dikrn?Tx~;xt&^h{7fo=zVq&JDcIR!#ov)c zlE9U%;3#rKv8!?IE)T(8EuTZs29xd}2hqL$KQ*G@g*%|9zE^)ge6r&Z`NP^L(p02FBH7WW zMUV|8zcgKBQ+h#Y*h;p9MkyI~XP^|fNx07KLS2S7NJ^8$i^K0Hf}sfap%_g^E#QBP zZ%C3czc0I%FJUN+hPuvjI22=_Ji;}wrIPqNk%wu$dehdgBbZy(8hLEgNn1b5ev{&( zu&nfX0qb&Bri}g8EttMCEmO4(WV@U%@gB|vv|4yxDNJ>wi8Y!;NNL7s^2* zF4?#%hs>x2z&R2CE&a{lpf152wBz2heDJN7vxisWKY|T)2 zZ+J!Cs-Bm^hS+X+#bbG__Y{zEUC6j_Ayhy~;OT2{_ed2)mh?eHV!71w`l7|rXbr7A zCP8w(oycVQwWFBJWcggM#Jk}gK<{nff20&|LEm1E>iSyN0cZR|PZW2{LRdC?$-NTx zEho1+OZ1Ft!FZHqqXBCGeJM_r?1N6rsuIMemxH+Adpi4w@r-I3LYM?MMhMG2$?^j(_X#8l$HA}e6g8W!(+YVBfAFrc0H<(zOG#xOJf7}y8 zN&YPm2>jT^|8s;c!E`a?zNzWYYafTyd1OF08Kf!Pi{SB@sh^FhG> z{d*%{a<7>zZGK@*5AaGLV*^(o8^JVJ#;%vh7*=kER{E({LQRE_4)3|q)yuHn9c*kW zLfYBrVq^b@5gV3j{^XPxUP+ETz(^FY60_BnjWCzFlX8ODC0}|_a7$%KEVgw_mlP+T zg7moAJ#zF-97G4I9|O<7bmwK$KEB%r*RBmbayK+Uulg)tD5X;7k{&JHwhOs`k)N>i ze&79(!`)@s8twwQm_F^T5jAD$zY*{LpoSyvL8f{QdTu|>Ln*gJON3(qe{%5>A$F)5 z`858A1uQ)_9IrEt>&Sb&^ko;m=qiZGqPuBXFhYV8qW+@-T6mqaol3{QX+9%A`mA0! zwfq|k)@v_ul=h5D{E5Nzw(Vh%-@%QeCPq1*PpI-y2%_C6eqbl6Dcl_u- ztoeX0=Ovq9wNrB@JA>G zF=zaHi6jM~nLiSVQtB+$mq!k+P*GR5JzbXx9r({02_pzx;b#?#_l*4hqm@Dt@StgE zW6wxH5Lwr}m2#7cb?R2f_oq|tCN;Mfv*33xU7GY!wRWuHr<{J5V>Oav(JF)w?c~iD z(3UYxQ+%v;O8|WD63J3WuPbgVwl7HPeG?i_`Su;6;zk$yxJcSktvE5cb4ivLUOA(K z9=Gj^@serl+QP+IA^8+oBxR7xOn60~VCz;%UlF)as?yNja6T{SDN(CoV5t4*s936@ zrBa}>cD|_n$>)^9Ku@Krky5C5wdO2S)PALA(&c0ki*H%(R5vxVTu%}z*ot3+XBfOl zUh2^n=v?QBK;tyNyF8$6#N}H|E5y`zuFL06Tjg}`r8Rz=%FAkWHTrBasAhDpRCk+p%n0-;l(8Ug6fDm=Ys+Bv(raWdbOWgJ)OBqH zlQAP_N}`!3cXQxBw;R-~zw26_%cN^Nn&wG&2P&RUXFlz-JlNTAxTyp~g6tGeSHA!s zuy(Z_Sd|OP*)6JR&~sPzvN_yEJ4KkLQ*FvsEffFZK%?H0qdX?HQ#ng^IzgaM#!LDB zy!W%|o}O7OyO1fiI9@kwUkK2n{m0|Gd!)rdae|88=ox|^>;kqD?w=ey*9+Yknpq*~ z<3_PAYd5+Ntxez5?f&^6-JW=8#$~>Nb#E@I+m0^ZMe5}Js-I(8 zU#nfe;cz+)W72X04V~t=DnTRWbCN>MVC^BY2~oY%v0-19oMY(s?47hh?GU;w!SP{D zGkssp-?d+JIkc!e z^zX?GmqX%vb@Y!ryM!)6y+QAjxdvMvGllcn30N1Mczc{=12U^(e;xg{q@NdDVM=m_ z=6KAU=G_c-18=_*wyS1u-!auixo+gRgQhi@QJ~p+Ekv;_ z^7hq#-Yo`;xuFH`X)$Lz4wP&7sU3D6t19RuP=n`0eiL~D7e*TzHD?>Aw3dRlU2^?Y zH2ir_(Sc)gA#*LOxYcz@H(qgn3{sA5(%Hnun-SUKYhy+i6#yc=4lS9N5O9~;S<^2Q z^9mhG%6U`>zKpg0m^NK0c23Eou-3mh_lysSYhfD#=UbE8w7&auqJ%<2aBL8L5B)#0 z)X4>?)!hr1Eg^bq(E$A?wH4eaf|p;2Ck*{Bb_*&@otxV!T*G~o2`YXXgtti&!2Vz9 z`VSgluO;zYO*9U79Yzk6$Sauqa20jW{5u(2Zkej7xN|+be}<4bc7kR%7mv`-^aX5y zX|1JSaO?ME3qbhF{EX!T42jXe@8HF6s&&E!J4 ztVrYr&Z3#HE2t#~HMVV~d~zEuQTZ1anU$9TV(RlPPy2X2qR-dD5)2AJH}P`sQ=^5z zp($S8<_r&g>VEF(+KJyS0RNo+=XAdlV%eaAj{Z;bwz;-rvknP3{3*sO*Mf|HRy_Cg zlZ|VyQFa}1>Slf0kOr94z`1Zy8M!VEeJSZ(gBTs(@G12vm0^p)>qxo}|D-g)&FBA| z?r)Qs=#U)p*b~~YiUGOvD zvo?Q?D;Y)R=XN>^X}ZrkcNwRjt!C37Kl{&qYJk~X5V;4 zD~PGeyF|=GO$kXDkkfTt?$Gph%S2I7(0E(9$y@!tTEs`Sb-$OlP8-G($D+3mv-A1a zLy{}8WXLG-a#?g5@~%*(y|Wqk6KQPpWWVnWI$p-ffkE$j971}-QH1+s%$^cUZV+e^ zEfask*o3DdqUws$gTUEYUgav?l@xKrdw6f>QS+|wLTXV`JCwY2BgCOT8gnOm6+^>) zoSN73QCM8GU3#Hws_Ej}OyQ}(Q-zEOLDQI0mMr53<)upPKht!{N_O<@t)`feIT3;w z9vao=gH^v;1gMX#z(0CEJg0quSN*_0todxds3=e0Kiu?sRrDPpM&VHf=?FvRU zLUNYoD3S-=1?3yj1mM2>ggSZ_=0GmhHc3-OZ}sXAa;QNR$%~@%#v6&O^s~?p{Rskc zRE`yfN$qkJabc%|^DD5pP<$f7Hq9#07#D*pwRZh#r&ID)7NV10wj6+4m{*7W^*|?k zPVC*e<7|*^P$g7S1C8~w{}J6}<4qUu_YzeEvfvw@VPbbZS%4GaNnD+_D4uPSZc8`F zkd>AkvdPnRvj%8NPWc6)M0Nj0!goiBsE$HhjOh)L0A#T}0R_Izw4HySj) zkQ;I7xd#CwOjW)DER5e?3Z$lekPE!%07|y!^%oTcJc%+!b{_q-%veU3)h#9ia_0Pm9R{i{4=bz|d zjkvL^D5NB$AB)4JY`MS*bvqx@CP%F6R@;g2 zWz1jhJthsZ@_k%9+|iWzl1-@PH$NBIr%J-if~9E821*%h}ktXN_0 z^&M=oOFr#F&POes{0I0n`Hg93DG|{sv`{_COevo!ap(9ul0uONAIf)Z&CG10p3uBm z`m*EzBsH9(lQ>2m8ghk&G7_6|r3Pv-%bxJZtuT%Sqq#!f9blWMF=}h@;cG@XdDm%h zAE4tyJjTG;R@Xt}3OCo6ZeoM&j!0PiUM{ixu0qB$aAB6;~kQbJ4k@lBT3b!e`aZmgSYOx`z? z5@c53kI)Q_YJepz7V zPe!eAUc=VpMG55BaFS_e%4M~ZqeRkCR=M6&sAmuX4Oi7;vQoklH7Q@@`5*IaVv2Qh zC2aIhW-I;lfv!m2dc-ej&U)(yIN=kvz?Kr@HhWZjY&3a~ACfTTnZV@w;{rpiWZxwtdpk!sWLBo0k9Ul*;w82;HLNqo;hSBH~t+a$e zNp|0ua`|^3@bskon7*&&1FpzxS7b=vmZK?H)&1T10K@siK0oI{4a!D>F=!iiyHT+} z+n?RM4lhwW`JwOSwWZVB^x>J`Dd{NQ;)@cS@Hr-fx$Ua`{;mg4*5OmlD0Fk0$3vFT zsY&6Jz*C_#QRAH9v=GOToqZRE$2&9%*afD=e@8m^96U~VI3RYPl>s!GD(0~1JE0Z1 z6oL+c0@Zj1k3khGtI6nUvg2gO z6e!q)j?;g!9a}(nhWXPB2~P*~q>X8IOLD|-DN~p;-TR4aGktw#B`m6ss9%?+k$z#OPre-m$y zc=H>m+nTm@X;4tWKSUO@TJ2*>0;PW?w{brUj56iogte6BBbmF9IUPGTv)i6R^*`TB zC*|D6vo5GJ%!do^e`EolM-Di(s3r1^59v<+wl~r7>6_RRT)TN^i)hjeauXe(A0wrc ze`P9xJzX4^mpu{n*_0D_EWU#P$kpkG~(Fu2N%pfEezcr9KJ_}ReRPfneIwmwgy&`qDqw_sMv!^&CcRJhUW0jxX zdWdK5VCa{7!J3DL1>2%_sr`s987HzQb5!YzPO%4@JA;n!cELI=RE`YT9W2V*EHv%S zK#F0lg#1dr3Lde($|1v+%4J@2)sk3Yd_L(UK^>cHn+8q)LW6{;Y`l899Sqd~8}fo2 zMGksi!TV^9q!L)BeyAn^Q7SOZbtf)^l%c7%Z6&hrltI!?ZC!OqqbH*j-YUrdRq_8{ zKJ)Cp6$BcmzroG)^}a!fA6~}p_IPVMw|+c1>QTN_av+hHmV;>}+7_lLM#?ZBU6Ss? ztZXm{-H^urFEq@_Ye;m=IfPk}ipCmcDBy}Ht&a&D-7~% zBP$Hj;nOJ&+9IJt`hkGkC}lKO4``#Q-GjpN#P8fv=NwGwUCuOw!=oEMg5~_KFH!Ak zXJE$x#JdCiXMX;Sz@ZLcWXM^bUr?XUTl8}#>zZ8JssveQ4@IqYVLA&%$8DSw2w-BR zSz+eR7!_-{sV|q(@hm=*87^(`^)N1Ov?e9$-pz<*D#!Cg6@l$PhG`dpnPS4YW%dJjQu;eUGqx}0cm|vNKE*-fM=ZSL;eb|N6NT*b3 z%mzdvHCTk&f>0cCc>yU{*#8R*!5JN-3?_yujCJMx-V8dWqZFyER#0Z`gs+g%W8DLA zg#Ow_9EbvTi>9+CK`+m#DB>_vK~}JH)gq~*?olB*6QPgSpHOanyAXb_Ae{1$?6!vk z{sAWUuuYo^J=lTS+L5t{w^d0#J5N=U3udKeEl z<5q6g&H7U3afVvoKC8xzKt_^b;Zjx7j&yoeQFMr>kEPYbX;nwGE*Dyu3ybBXnR1@- z*9S#NurctygE%oaVhE5iP1^Y+H*zZ|9Xa zQ%n6ecwF}>2}&-U)kTOglFurvV?POxBFmBgbB*2p(maFY`fy}r)f~J};YY5E_?y}t z-rhiVf;-H)6=QwC9Ra+;%r(Ss4zsovG;fI(DWqm?{hsQ3t>8E5ozDhhLIiN?7!T@V zyPp6z=iLQHEqYRYa8)HP6(ad`0rx)$x z;MV@O3w?u9>n}HogbA7L{)M3|L1a-rp_Fueg$vASdUfZHl!*yC0CA2BI)#i-4oXIP zc9^1j{^78leip<8iH@*R+oKDQ-i73YyZ=P5P)Eku#Gh>t-lr1worye84%@3wjjD_zCOt%3?O81+f2_aRyHe#zU3MdMz4iIg zKv%=UfocY{yV0v9onNlV6ytNzjdY*;Rk4;Vfv%6mPT*3UZTRLy7~|nVolESqoq8o4 z)5Vgq`dyu?Mh+ge4ZY7onGjLi#ltPm&LfO%nar)439RaTH^%&CUOEdW z!UF3T*D&R6DKwkv_{Po|l?q&Q3vgpYYB}o#v8%UP=+KPItw1xj3iMoI-q{!@WVAlK zWb_oaK8<_Vv-;zpptc8*pdHIq>TQwqp?+KNrB=#yF6rM$j((Oe*3-fjKi;ySeGPCp0Wk2BioZSjp8;JAP{=(!LeTEr0o3KRtL4{qU? zy3aSB)eCWE+9CN}4ca|ikD>34c^UXVSQ@v~-e#Kwtm*|WchC+(%$&Ng=%|pmxclb$ zY4j@ll{s+QC|OMkjMS4)@3NiI^uPShwu5hovCUXBs=lZoN9|+yux9i8ig_c{fF*$~|GeqAdmgn6P$zr0M;Q^9)bb~1r1~5*4{+|}- zY@jv;L~(rCPLXD!g52J~X2s`~MYddPZLQhwsZW3jU3m(q+=2u2$>`iiUY+Lm|0l#j zuX#5yknwle;k3!h;2t~@q+#E39cRVo+Kx~46A$=>cw+*KaN4AoQ&a+I=^S3)D9scU z3_hlQVK(6)|F3BB-&#`KHSq}pwuz7M_fPLzpSq>Y(6JDA#BXCFaT|L9apLn86Mony z|AP_-628^@Wx&wCfh|en8~_r-n1EO@5*F@?&&y|j6HdXVg`eyd!~G__nNo-Ui+$hX z1N7fcbC>eHSTF|S&Swyf{*vom5+43v@$!Y&VS)EsbLFq>o(oIErVY^Z!w1Z?&hTBJ_ub&e{^gzsUa8iz2CjMVj~*n4YnsnPY@`i>X3cu)5w3I z$=|vEv{Ur%C~q|+w%Z$10`dIPwBklmVaHtg?dv`ZBQ(S0kCh4U?!1b1lH4D^+tgJFNxF(nKO|s)fi_H(GYJ`X%GqLnI21E;mzd`vHog2NUlC8#Rg3Z<#W zw8mq~L@b{(Q;)yu1_OGU8m#?#AbSZ-;_mr3Z&;G}C8@>=5r^F?waFYx)jEFmX{F+> z8K&$bZLmh;j6TJ@jqM|EBrRW~k z8ac8C@#?2!WV&|MRkW^Q@4W6!6DYV+O2LQ*c*0Df2e%*7$7yJjQjd*3$pUMY#;(7l zf$w%$a8`p@R6I~+gfzkb?}hJUxIq}QU=fU`ekw)jQ24alXJt zce`IJbu%wcaOoo$aVpS>C0U_{pBd-)*v;G;9?!MfCiG%`Z1a6HQk0x1C9DS3`#W@tNozi}xmYh>z zV~ZU5?O<29<;Z7PVFCjgBk$P|nB23RK9w&MwSh%M~QLX-+WlUDFa zoXETr%NrGzyiyEvrVd3s_TyCfFyyQy=hr{FJU~y~r08zT5)uoZaMp6N=xY*w`L3YPg}^&2J8K3ixu8L7)LM5RVRI?5xLcG2Abi_dmOom5s*vLbxSI8?m% zT39CC=8^obf;m)luMLTyjT5E1!f=JvXU zmB<_2bZF8ZIjP(R8?u@&j*Ib5sw1!l)TpU@jbeZ zqVXp4cN_KcX@^ptpa&MBjYalWszBE0Ig>(2s^cOK zW8K~ogkx(jYDAMfC??xKwOE1|Zq$f2*d+<0PL#hXKzRPU$Gl6ESAvjnUX`5Xu;;{^ z`K>Mda*K`%WT9ICSiYCR(m~#&pcnb~{dK5s?kKVagn;nnCt-~AcI4F9KJmwapY&R~ zGz695H6E>yM`Ai!h<1&BXLJOgxrg?J-vtC`e;UKO)IAR?sFf&6c8Z8>JGM8zM`s7* z>Wg&ZS5ZUK%Z2u!M07+th(GqC=%%2|8R+C-=0{%(B%j6#yD4D8)N@nNx(&L445Ycq zmHeC1xU!VQSLCEKa*C_1>|W^)-zU`4ZZ63WjSAnPW@aVZ3MdV~iDF?Tqhlpg)fxil zV2+cPURwVxpsRF;T;s2|#AyP78|wb@A&lK)Bz!qO+#^+$kaaa9_!3kn$X<;0z*2ws z9~T)g={|NE|G)6-KOJmPYV*EnyqNJePNZELSEH5j6z{4V;VkPa@%VOK4io+Q49u@+UntivFeWf2|VlpXnL-kEQG_F@H&Rj_071Fa( zvwtGr*UECShG*C_*%At2Qd(r+)E>TjU^#wE1B01EV-)N^$&T=wj2eG@FKV3BkHEsv z^;r15Kpz*w84I{|F_ zF3;3phxRB!J_8pvC?Msd`B-M`6v5}|)omW3;Hhn{KXzg*f>91YXreJS2Ca@*pF|_e1qko@oL&{4ty>45#o{2l|X@q@mi+ zyvE{4stQM)B>4!F-R0M}%J??{93PJ}oP zb2f+47?w^BWE`x){(ejrC1H6#OQ_%E<1Uf*1q>{y_+=b`fLJFx;ue!5yr)B}aWrafi*a#>ktG6dPkIZ|6W0LAwQ zM0HHHDkO21ytvV8s_rzjg_^1mI>vEf;Cfq8MF3fMKm3QkHqK_bkOSynM zV@%mc|Bfqa^1`EleFRx4F@(Ls-$RlYcDJIPQKGwSseMD54+J;}@>2>P`BUCINV&6- zOZOPD{l_Bd){e_qCjx)sG+2V@zV3`07oiC&(Om5gJ(PFqY?>14#9}$H2Q3sjxWh%K zJ4Z%|0~!y5-$1(J)SMz|m2tpuzqj1D)H`_519^tvK}Dad>Yf6DA{o|8u|6y^V zruiLexgZ04?{7~fO6AV!YGjMWd{%%MZqy|FAijH%;2qlnI}cS+CgzG_WFz^`7u25u zZRx}5yI!0=G9xxpNJBp0|Dvq0dP5^1@kydCweg1)|*sJ9UwYgyk!hKakhzvE!6E52{7 z^H~&hurx<(o<{b6=TC(VVOxVMncc(@Nmfu4@h0HhP}*a*HinFG!0;4+t3w0UZkpjd zE+l^ZmAtLG*CGGDHP|!YuVUYSA)cyLEZ?Z$9oa71KJC}Lzkk2jk^2g7kJ zU5hg=n|={%<{PU!T5Q4;a?iXV-X=HVTRpt|M+)n$9`!(rw_}qYrtF=EE4oRjsMZm$ zafXI*Dg)SSojp(zi~7Njy%G-sb6+lC7%^)3;B!dY93cc{RL-X#soXm7mwtKw(O+>R zX?zx#WnpqCsS$TYD?5jPWgo)tJA+UX$_v=n^TH`>`SnX)o(2z6@^WvZuPsUEGbzi8 z=n`OgV4QbJH})D*+=Zt6YbIKOj=Y5bC)t^0wTi{*k`HX{W7(&qb;(6{ggco>gmQ;R zd3mWTjx>L`5}}!Cc?^&6TAvBMF%Ori3q?J_1K9}Z%tXB$SYgjAXfI-?d)%Kvo#xK# zJM=>j;$sVD4+?#tAh?d+P+TFmpo5))hgY(C{BpM>%BHo;&4jN@`G7~met$GPyh+tZpj!W=glA*QP*z0 z=km-3wypP(&RDrEof*7Kg1yZ>#-_;{i<276?U$_hz+IFtT?%=F{@)h+KhnBbpu<$( z@%;74iwyyg|LARxF|x1`OyLfliAli;d{DI^xzH?wu@%g}2y*+vT z*)IXhE?>pMycEDX*1zF+vIeV2FH&;bwaV-q0%KUAdm7Ozq<*Z~1(8$le031`7+${8 zmJq4lYpvoPKbzb7E5*~AJsxI5Z~G^xH;mh=!OK0=WsDQcYJlGPZ3m5K2e*Hbg&wE> zWv!bSQsS6zq`iT%+P9}4i@B_KBUm}{?06S}pvt3f?(Tj(l}51Z*8$=VH<+!k(=60o zRiWD_wA2lBsW>RG$~ojiQ<@4N^nUHk49+h)2Ni0N`)hL`oe2lfJYd~ z;LU>}){OsZXaAjyefV^Ee-9K zho1{)(<^$;?{*Pm{v$nVK%z5J`xSLg5$$%|b*v-~P-jBrGgS2O5AZW@SBoH7<*vlI zW%ho5W!;(qT!*0cm24y;c%&{9ti%_9jYQoD>zcm4VjTFO zki2;_8HF70j-J+zVsaW&y`+>7#G6+>>5@g%wGlBcqfu;FKnMZUGPyZotE4^c<{#;GYUuRIhAywPQ~{t>VDopqe>}EX%$NP z0Cf~~eV7eON{_dY*)KugoHefMw;o}gnwK#bN+0)5kWa?ke~D8`%r}zpFMnH!)%Dij zYa~T&zoLYnWC=$-g+dEG4qj#Ev459CyhE{)(_BBZQT&k!J4eJqoD}Pp(BqXm5Eb)dq&p7pFa{+2{jn3ne0~4muTd9F;L1G z+k?pOxlW}jEY8D<;$xi1M~jqb>%RL~yfA9p=MWn8Y#+7FwC-G@c(*nAEOu-N6=LTc z)_vh?E45v_<>+BCnr;TN8vWilj87t_)5W%niwkQ_kIML8vUi0oa7lZoB$khhrurA- zoq2VXDi=z*b>!&(lFXbCMYyu|_a$MP=7=I1PS3b(5E|#7`Wu_|5`{31DExUFzwXHG zL@C{=gi@v=5LEb`dN(QKm`U?^5P*C96@&s!;X=@YufyLr>4!T0?|kxc(vJ{i&6BMZ zX0I-v3t@z)OUm2G%U(0FgY)j1&6N;PAek zS>_1hIFrDtAe@#Fyy?cDgPDk(XI27Fbp>*u{C@W-y7iD1N+1P086bz_!69~aeTZS?Z|p$aNZ>wXX2Dtj&p?Q~i1Y92wk{S$+zOP1@R^ zS2t8ZFaFSnMp?&y(^r)m8^D!;a17WD+aRUzc>)Em$fK0S;+&v=;Q?NfCF1|FvOTx+ zdkq0IlwM#-=V`3ZuY+_ubvxzWtYvL>9b^NVx4mZ9!~L0P0S;%?CvPU7;*C@yV%tUM z;U-=5CM#nOwpkqflQiTkzwHUc1-5Dt8O)r75n|&N#dIIYUYp~LeH45&mORu%m+tW% znBT{txD0vRe?80OyyPR2?G(7E?u>gAkW0a;HI2|h{J1O@18Z6KXQ)Q`GOoD0f%V-Z zRK6$K?-5qKqNeOH8|wYx`f#2d<6R?oC5luvaWEoFYK!L57)7J*QQ6h1ox39N=%j`J z^tZ2v+E>L~YJw+GCK9KmT2)^vgC(IazCB_TKfXp4xmC-quH&6F1`>_Oo`X&t5hWFv z0-Sl}utgsS+#;Wu7KDCuhPtXK$_S||1{n7}8&~Nr%$J+-(lg9P1LoNHVy=+SbW%sq!guOBd&u_GjANe8&-CDeq!Ji6+sct^AfPY4f) znzIx9Esq;IB657Ls4p-}goomOFnF*MFsSrFzCT2>91Q`vca;z-N;1+*Mi61%u-SA* zhdlNASkJi!JtJ<9LSS_Gm!77i;!5R#WMiT>*11N4l}%fFJnm;MSK9V>+S?2oOdiRb z&^vKv88|xcMLCR8obLnb68iA>{4Oug?oT158B<+Z#lPs9321!Y_W9whuG-!-^eo5@ z{GKX^S6&-oCytnI{7f}UMCrVu*QSxfzJz9WWmiHz7vF83DEfTyWbKtRNwJ-7msQ@% z(vy=rqMxp%RfqNcuxfinbafG16iMob>rnFURqAK=TDx7*5*43^OnpvM`gaZbg~UjN zEKEwW#s!eIlj4oh*JkIp$zJRfG;Wd)4_EGHnHZM;E<^NsW@wprySk@dhiU^4lUY5K zmAfi=gOwJ8kutk0kC!1UW>d8$s24WGJ(3@J&6uF!<*qI1Uh(ZUDD|`f!z6NDFl6uP z+og*@&a|#*Ort($T|H-t<8k>8Oca{d^cAXZ+VtG9-U=fFz`r@Yp3VbuefvKWftcuS zIRo?>Z|OSy!u3cO)&{p+2mVVVTy;^p)yL(iDjUKmaor++Iep6d!Tai|#^5nv{0w5| z{4k5dg5^jxkaBkFdmk2LhL`-6=SvK1d;ueZLuP><@W-#T0bZ5Fn-Hx~Z<}wha`izP zb9c67FV+~=7A8h$uCg81Rwk_~CJANFKsuo|d ziJ$d>LMFDqHLHX%uopacqkYhQSBg= zse^PEun5kclh13be_hmW02f!VC_qxUBIA0;kk_8Zm*wAx-~QdrzOu$R={~ONjZy1n zv7J#!A~+Eb&!@ySq3ZgTG(*E|8z|K*SL}1cNswML5Dj*7e_`LfHH>AY!Y034CK)%s zbX(PW$PVQeXTzH{6KT|DR#;7OZ^&@?Y=~SXPysSe9lnrcCi+3}gYW(I7YOQdYK>y# zD0PD#z1O!q)8fRhwGYTfu9dOb1y*~;?8l{M4-6=S@zC_b&}D)L4wM|kj0Bfg)<%b{L_mxIOLxYpY6 zb!^4T_oEGG;ZZ93j|A#D;b}(8Gr*2$5kuAg$JRH7XA(5+MjP8sp4hf++u7L3#&%at>Z_-^x@xZJp02LB3uZuemI+91y6cUSQ>~%ucS5JCKtH|x@9KTrYbk*lMA;$&O3=Qidw9&9yvFX zu{gd_)ic?3vU5ZMjSf073xQKUVjjLV<-O;Qx*MR>m(9MBR+U+^Hij(1lqvU6a>(`3 z%FPHkmf{~*_{dqrsX;KPhit+%`g#{D z;vVBu#Ui71;<~+U8YAJ+wz!BUw^AltF&#mZ;>wDL71kRlT8anrle69f0aGzSj4eX@ z27ya*-xQyN&0J|;hsY{KU!5_wo>!(oV z62JZ`+-_RkmTYVL+c$_St56yaX_n~`e(kF{4LDg}CeJ%Rm)6t?5OgOi8R}^a)Be=BX7-CV0X%&HCr6*_@^;8{pLlH#lDN&Pa zxer{?E)qt_SZ#z1J|V5=?rKbb+81^wO)iG9WWN_1L+84BKx}e&akWQ%BJxno*g^_T zR`arkwusmIl77is`X_yIlvITWd0oXi{?qgdw=>1LGLi%W8k z-iVjFEQ1Z=;bEt?%l#~bWbkMa(gQ&){-4d~G$euTA0Lw8D;?vVahWDRIGHpvdO80N zXwyx4=%AynJEZf$xG1UdHt))Y6jNY1sQ>BoaBXzNiVLm#sH9uI>$&-Z}j-S~k%yMU8&K4L5{bwO|{RNM+Spvz*N)ne7go8cwMLZKN zvR4$DKafATq%YZOkUY8_j@asTXz*o%N83GRBOJ9uR4V?~jW)}R% zKatwYla4NP9JHf&yU7QBKcr3^A51r_V=&uW@r$V*cxG=$lJ0Jz`$`o|KHs`S(t%`9 zx80x3SV#6k>r+@$axY|HmBzxuQRQ+MQ)Nc3ZYD=KUzjP5=%4pbH}CpMp0TkjbS7+j zX2&GWN7f3NI6vKTNxX54GpBvOOr{m_GtQn+B~((Fie|AgEt+Y5>RP9>aO7iFHP69V zUeEJ!Q+=!@Md`9$Z}q%lYd?L}Yw`VnzFUX8d3YGS8tT1ZRN@%m_@EDWYL zKu3xJUxcctK?`dBjSrw{P6$gpYuv^xf_ub{sNQxwWl7tl216n4>I;@sfOT?KMk(Ui-$ zDAr%R$Q)n$iyULGeFrmBW(G#W7N2+ynS1C88Wborz`usi^Wptb~3M2f_&*D_JH-Ro0#f}Iz7irYfTKbLbanX#Akpu&AKlNu-WD`lYtd^(A z*?rn>Hja9jb6(rDlZCt0zgS7bVcp!P16_8!eeC z*In@S$aB4V=jR|@2A%N`=-(HjG;UE$E=1_HY=E?X>C0zVTRh}GDSNjJOKEjzS!sdk zi(y`)!^6B?o^3jgW?=El@+MIn2n(T3k?7NJom(IAG7J;us_gjOzljWiw$j;;VTQ&} zHL5*hoe}WP=H6*oo?hQT*ff`1!6A4o`5-?R1%$@4n3JzES0?E!;*Cj4d0F zJ?j;_bi{~ZN?;#fBwrb&>_1q&6R@G&Nq<=oS?M$61&8(FA!Zii)GMI1l^GFV7NkH+HYd=DJXn;yDCFzpFyzu!J?m+U)CtOBKM z;CKP)l4u<)aaYULziyTKz0_b7Z)h?tT?}S{8o6#r7PL#?(&$WyC6-Aj`cUZiOjI<~Plt3Tlt)J)7yiMKzJq z?njIuiSyfhrtrDil1H!WLobr`g?NtBN@w-t2xFD7v9R_?D3$!J9Z8pnzD^DHUYvx+ zaT;gh*oCssRq6So(77dsmgzAPr|0j{yonBzeESf)shfS#$@cpqUcdVb0$I62Tl{~O z-?ol}3*4NjHIwA`H{cn>7g$-O+6z#53xM)rBYAPZ?SV_-lS_#5LccwFUzphrCcDUE zIF=!oCpnjwCS>PTk8?jlt4;cY{&XU%xKk#>#eYhD6&5PSMm8ac_llJg^+skBo9Re) z{z5i1bFk5$?nG#L$d?+68Q+;-uP&Z_?-o0+>rC+l6M8&E?HD9aT1PzSt+49&+oT(- z0rmEm6?f11=DnP)euF@mn&LVAz{71q(CVGKO@3yCdnAP(9_Sp^sOs#fkZmq5m%KfC zKT14(Y1s(=OVpHil}2J(so?Gf4u>##Pk?kVYiim+Eb1)dM#t96WVT`8&)l#N2AYXk z6*fcS>H(aOO^iOOKv8(OZ3J65-Sm@$SSudy@EZzOrcWgch|&}58Rr#EJTBd+ZOZZ= zyC~m6HyG&=ZFX&&?21i)g`>1E#J<=1V2$vZ`i%3RQ- z+?i;^4&93jU%aAYnkHY~H8n5EtBwQxAgT=m_L9#?9Tno%8B5!1mpvS}+KsPdDVtfNf1kOx*Q zlWgRq=cX1@DzDpWn952}TDFt4 zUMgVs!QX#-S$O8w)!aOr4JD+-as*c72b;@2&OInvQ%-y)81b0GUyBe4Kd3rnITWWJpBKYPN-}*P zMZg&DL9rb!d8ht~Jct(3rveA@q!kczdHTB!FC3+NqBh$l^ucLMz_fD08YIA%CtYK! zgfV8IE7}&Hx?Pa$Z1i zSrD3f5A2Gqg_=_?!@@E$Anv%W^MS`g-xs-~L6yqGu>L~s7qNR%SI3Jkd;42)_p>Wr zzUD?I9vA0&fqH-P?OG0xF6Ja?+3R16dUe$jwLs~VUVr1R*4!H^nv#J@P;pBfaoLlX zXk6o&rZ9<-;(4vzL44dzSy=l=P@8Raym1^6+y_`3>(wI2z_zU#WoI55 z&~?2?B$|MQ5&KY-V^1&tw{d1Q>_~cLk%+6ddOer7X5rSFwA>GO7h|;NBQ@KjgjTVW zXh+T9{g#g?RoUApQ?s%eHGu~({km|dfM!lJJLL83iNoD^7a6Wr^f_GGi(L^0j6DeA zd>4!qyXgX^E(=pHl+vHqFUbu2%}v?&bnd03MR*=p&Qrx{Hx0B~Zu?NQFkGiPm;tMM zs}+Bc<8U|1E^QrBV!el!r`$ctFZk!F?*wmujuPp?$n%L6VuN@?z}!qxnY9R9?jug=vRk- z;q6kuMb6`>TNEFcfi1j}UX6oIc=IDo++Z)tW6p@6sKIDcE#{$+=Vq`zjiA4Et90|- z;o}BU=2{q1qH%_0$Zg|@EGG1@mvl-RlOhNDmznKQ?Oj$p-%MZRXcRTl?XeuJ)YiB32df=sYZ-PE*oGL0RF9_9V>-`}y*oJMMJ`}V`7qeq~ z84dBnbE7-l}{D1b!*{krF_waGCHd(tXDjyeq0ZuBnfLj8MZuA7W`A_FzfLt8Zs*o1owk1pEeMXM@&*w zm%(GOzMPlX#<_BS{dCFlHX3IasYG1~2v*sHC^qItMLAH2%L9A0EUV?R`5G+bTI@UX z3JAw)+OTI=h>st?VCixR2M>9wSJ3^U1x5+F7{jtoJj#C6Gr=9lft(ZT(Ey zF!uNX!hf=w$3hTe6x$Z^FqzdA7?IH}KBJIO zO2i^Iz|mp85S!z7)7mnvt+>ZV-d0`B6hvlupbloafpDz{(hpuqtJ)j}nz7WW&yloh zLv5Wz+i{t)w0IIC^TKaO4B;CpJG@C)s8ibQ>IW?2x=?G3)MTWb`|6^Ouc~s%Rvu#d zs$1fHe#3R;rbM2CHi;7&ekO8?Nqd__b73&7&^%6r3tydu?{S+MFy+?shd~RJu!!a7 zPA23cVcj$3TI8d%-`=hGs0iUlxBN{uKn%kt*Sds~OsZ$!Gfr)f$(?2pC}bb(Ym~sp zy*i8K;?Nd5l6cRElO*U5)AyN7MG~M`mQD~fcg2l^r(((U+ycK_RF~oXCyYhg z_B1BF4;_r^!CNGq-ivOmoiYoMr+2BIs~WTSHkrIAcyJaUNIFvr@&Xe}`hJu$I!Z zFRn=yT82u8KOIH-^Y)^q@(*)SuGRgX?~9QoSOvR%mUAVQNvrP<7rr3{1Qd#+*nVt5 zmIPZ+3KHQ06cV+l>AM$zz=VRLhp9i5oQ|u;GrfCERg~t*kz4teQy5gHicN%q(@O>3T3I#SAW+CoK zMR8N$sf0ljh@qWzi@e=aj-!}Z(7WVA+qg6Su`yMDVh1>tkf$KPL6D?5gcoq{r`Wkc zID-0)CcZmI9>T{t+L1+sc(iU$t5P0@#C%k>NMd-@14@}-GEaQxH>1Slv={D~jjwnN zy(lh0ymB{Ns|vE7qe!lQiX(;6M}0E3Cd~OKVNZjy_=*w5KOAu}>0 z&rc?rT+*E%6%wYPDt(2Zre4JFuYE`xT;?#smXP{Gid(npOyv&6rPqO%JohFwj?`ME zCP4?i*rvHf$k2u8u<-m&%cgCij@p&OOQ)zsliddoYtGfaL|of8$448{biYZQYip?HPq z*#O0uTZ=k57?p$hFX&xnNq`M5=`*Q%!MQsS06+sQ4ktH&4p?bJaZ*BXEHUe zS%aFek?r1sbQj@d1>FjXZD=Y&<$l0@F;*4$7t@S?$2oPFD($g?HNfyRIN?E4^m(mcUL}e*$t6mR@rL zLZGYH@0t_Xav!#giWNi)|GM6^X-5Y5DR_ATV&z)aXx0i`uL_5kCeVdkUvCl-XiITH z9&rRNGh>49eZ2eF66Bb3dLW&2^-3U8>k)S%aYd$jK)4ct4b!)(tl~&)(9xk2U*@}q zP^2%a+Psn51>pD?JdwGKGOWyh!-y&( zt`0angmFtHmTrJ6ACq@>Ld)fAV;;A{kKf@o;aEpQiRy8-q&&@9!GS9q)beBF3i|Etl}mABzH#jb3_pgRLbQTLL1 z|5@)ixhPT^bq0F6Yw4Eu*Y4Ct=pq!J6I7^sQ__0F6jIRPAbdj?Ci74?m890552D$4 zD|KFv2^J;I;efGfUh@;ijJvR^B%?dh25UkdtS5x?4<6{UiG3%4%?e14^emYilT^%x zj))RWiu!v~G&)cja2k=?2ufzEFWz#*#KtQqjP6o$&#Kjk-LHsCt=PGJEV@LjZcKY< zhdf!BtKyBF)xPbyS}L{QMD9t`R8kZe6Z4B~Z88o7QEwJ+{_cv8*mbA$l&fQ7-^VIo zVh;8NTXT^CwsNbq6dqDcw~u91GMSHSnptOinis<;)S=~6o)7|7elFyDc@~im&=Cqo zx|ojV!X{ccX;&>3P}nZJ6&5&}F%SgA42AK_AC{N7=y1WG9VcE8jYIFfFW7&{Ngel? z4ZL&~a4fM%aJw9jAuplPWBil1>aH$+=+44jqm|Fq{ZlR}du&Hb1nr#?m%|Bl_oG6l zq>f7}jBDz6rjm7W;KJ%-n_#dgJuCZ1HuPb@c%`Q4$!(>kq;cBfK7JVTV^2IsHNicf z;k_}?H_s7$&b*4In9HYFojtMZ={|PeeT49awE>IJtzuxV_SZ}1lliL*Q_fqF~Og5qI) z8)6!hN&^&CZra1XWcP%2ljvEe3xK2>>u}M|wx|rx<9r)G8|ioQy{1@YExnnVKT8|# z#Ju;+$@56usJ9CC?Gas)Ay9@{oaa{KGSI4ILjXCUgtkrjE1}sv6?1sY&JY31gl1REwdstt$6jK9#&%#Ek9%4FrYK9;`V$Eb0Q zRT0-LWvQSr7H^Qe1R>;HP7{V1zh+&BJ)TMq3m&K0Y{>^r@E znM%yzUfJpQ*JtB7jrSj9-cu0vcP8j3C}cb5Pgp-SgRBnm|KyzP7_yzgzF1^B6C=^-#=|+87j0Qrg`j$l-bWq|GiUD^6HJ4V2~_6Y%F|vC=t3;HDI^8wi04*09vmR!bfmj_y%y#Y zov!Yrn{FzcQolzF8&Um_(%_4pnd1;t(rEfNO+3#}*S|yk3iW7ye16=?e7=@BIv~f~ zhC#(!4Rf{Y&3H@5?NBqsuv9d?BUteXyU^*ozsjRQGZg7LcYTf~ochRb3Jefr$kxV& zdP=Lm1d~#H#8VA+-bQa055aWxLG%vJa1&1c-MS@jD<8-oOR|Op$#`bhzw>!3; zW}v;(Ff3c`K6MJIrSX&O);q`Q)?-Y^37!`$grdp`dRL3K0s*Sg+naAxD>YxponURy zonM=#^|xIj5NPm}2Re@tQE-|`J7-)mM4zONBTZ$KsC4J{gEy)Nh9CjrEKc`M||Gc$l1*k`4LY# zoNOExRPT~o=nY4qYZ3I((g}B+Hg>15mgh738_d}F_tm^ zZu+pyw7o1L$=7Nd?~P82MRXV*rrx}4dd5+f0^w1y&359VU7JOGI*A&lQB5aOo8?gC z%bfreEi;lOB+RDav6W4=HBAo=vV#Ps_S&5HYL>Cp`08c*3R z5F5s2C2d6M3oelNCN=#3O(lK)>8_C8M%;W@3f$^9@wCD#z1r+`oNO6!dH@uQ?!~UG zFYZ*`uFUi52B&lacY)YlK|aSEqp?R8T4jC8pHNQ9LY20_xrBE6w^+}1kg)NaUO!5> zpSB%8ur1m^OibZ^_RoM}7Y(gRjX$^POoL$)y^i!~2k5GfgZWr(^SYZJdjb>nw`ly; zVjb?^24?Z98}lTBzyxSe4r=kfXd-`+m_+21M+98o^@xLhfbRSP8@W{;YVmVcvl<~fD-?~ z@{}Wv4F~6Jbmn$x=?LAD)b-mYC&2O4WJw<5)U{9Fd#T;m-=6`VL9}0U;EwQQIplaF z@x;!2q;)X!L)fXtJIGmDad?AM)C}SP=iIvS0fC}{=ZO3eh{ZF4jTdzlTK0c=g-e7f zUsS7tCy_WvArMV7stNjS>T{}GJau19%;IRbi5zJ}f|1aI34_q-)@;TiG33N#k#P^4 zkt~q$mlZGzqt=y@iJ9r27kw-*%fCf`5UL8-RI`8=(hN3djtO@`v!5)Cyf;l^XhUl( zi&zGcP}KIS2q`1a7zkLV>t{74JCl?7>!FzALMy?G5Y^9l5 za<8M9c?GX2d^b)+1H8`l))F*3;X+@1!y%kYN6@>H{nq*MLa)^)Klz5ycRgw7fQpTd z$wgxRsg6yA>KU4Bo*$!tm=+-GmV_oGce1^hhXgbz z@fPVkkveHbg=SpkGz`-bPb*IW)!EED18_+l&b}t`{~(2<_9GI`SU!Z9F*p(x zPlp*(@DPqeH4c!QpRrSriFgfa40xh?92(Q6#VPU}I-QZD&&~^fvL6~4pHUD9Z2rMA zAFjl^E({SVV1cNpluy2l#AsD5HJdb|#C~D|H%=ZVmvO*C36qTrHA7uaJSzocBs1Vq z58NPxvaCyMc;$xj{_XZ9O5**KMlsN;QAT+bxJ=#uo#$J80lLc`&-pHK(rq07O#Q2- z1m*3;nqW&Zn&Npocl!^Lwt4p{2(m( z0ec@ykhw&Bql%XxM;=7^Z%qH%MV zTE?B>LH}B5E%bu_wGo@VIIq&pua?hAsy=m0LeA@+zQuX5`d1|*`W@nu%4)gDnwP$f z+XS{Zg@BES*sR)gx5&qW=nc?ePwiKlX$!(0Pfq@H&cr zuY3Ur+t=rAD&3s}KMcc?TZU_x1Zw5D55gF=52$DuEwdOK%alRzIvrd)i=$)aI(4Pc zKWI|!npV(y6abKZ3!rBbTYgM*oh~FQU@_g<5;Mrc$>lAwsZGaHJ+V=Pd44%Q7xb2% z*n@>Nvp02iaWXTq`w!({Yz@oCPRvC7AA*mMQPRo5_1E`RRFzT8%+1QgOhri;^IgOFpBe#y|JOI}@ACil zP29spQq{%C#q7I(Moix)6C+|~Mp0p6W`X}6|CfjTKf?c)N5ab1#mtFO!uFd@%*@2W z)QnNi%-+Jql9-i~nVVfe;D1fwk+a-8RY%_)N4}bG*a(E=BT$jLJuxo_0k`j{vg$Be zm%xZ=#Z$L`ej@OR5vDofc?x;d&x{n=JKA*4K_*v07gtXCXJ|O(U2Ri;Tz~l5|Mg7d z_o4VTc6ToL)sNM)W~SX{__b60{+eI^^&wdQb?N`r+LLbhwO0M{YUuZ|W+*QE9)DM! z53K*Xf2t$*` zH9)ualq$sk=uIV6?zGvVHD&FNo#-EOC0OS{xp07HtrxbC{hi~xP`Nxv)t zh{d~&U)$Lrw(LK*8aVYmf4)8#_fB=Ul|S(G`vSN5Z+F`7>~%Afg?3)MSLncqRawSO z15%!plhI!_c5G&hkxiEvyu}Y6d>N2v4Rw9nTSF;8GUh|NYBdd|uw1Qr-+NxKUOTUj z#fANzs;lQqUAt30*TZ*!eIH(>sF%JuBh-`Ep9ZT8{qZL9Al>-n23Sp;#X6~^)}&K% z6_g>M?2$WY)_Z%2_SBN&l75Ufn8@9Ds3bQ*F;0N}^$AFSuzzja$f^;VDLOlL6Hc(H zvBqdJe`fFXy(<#G^5%;)6k30lVPb`PG4If>l&CWg@k}$ClnZrAOyK^OO>kQ^R09Kb zV`hwY`VcR&Nn9=6Nk^W7#qHKEDPtjBsKO*!ffG+kOU+`F_2gcqPjx(!dgzoY^nT|q z^r~3Jpbn9l2~raWOgfT1f)(nC+7Ocqd(9nE7G1y3#FCXdMfm?BpSwp zKFp3vR88QQLM$bP8E* zH6dG0sYH(`E+RQY+Capt;Dp#9U44QA6*EIUh3;7weTR#%&mqkwV;@OC*5NP*6A-(w zAL}ddVQ~hr^9_TmMWi%q)enN1VC z(s#A5oP{6gQXj>{^UpC(#%zf#83Yv z!{FTGUT!27|FltJrrGL^pz-*uOpxfp4KHRAyuowzBA2r!dno ztTze$kH7s~C(*?Qs^V%5V#%o&nMRibV0L2Sg7MF>s#(k-uD4_xwO9KouS*u$m2E*E zY}}gl;TzGADeVpsuIq$Z7*ijna7wI}GxwAL2E-Q~0kLG=E2y#-wV>q?5DVR8A4~5GrQvj?hyp!lhk+oT^wmRDr!h%Wt++ZS zlpz;d61W@r+3f?@C?o+P#qY(iw3BX_Qt9T(gVEpxWTz#l+g@!e17t0Gf&E1?qY8}N z_yLP)e9r)nB9t#8w`h`XlKz8I={qR~(*%IW#b#U~p|6Fm2R`#(5%w@v3823s4=hGP z_{Zwiy_+_A4rpq~kQ8nQjyRsGg6U7`%A5yI%cZtX{g>RYhGon^d<99p=pVN=G=x zWRhJ0CVW)6fz@LSPYivkQhW2n!z9)TXIg!QJDoOiZi2vy~QeJXv5>Oc_%o_U&3@vNVm_sI7ze<|KFb>`sJmA-o zPrad5U@Vn33Dmu1HQf#W>J+ZEa3=Lrp3vVIVXW$;Gz7DgojW=b8Gb2!e3*Kpjg}{D zi%L~=`DZY`DP(?aHHq?5-CIo9787Omw^N%@7V5EsqRW6uRp(Mc_v~M^jSgsg2WjD^6;aN^qV@PE)cMWwf-Z;RJ;-#=Y@b z+)UdFddq3y*pN<|z1VZ)&uJ+^_F98aL0(OeNqQ-T)9nSE0UNLkz^vZGtVzZ_$>gIH z6`nMHbiy7KQqOlC-O?f?>3>6OcO%SzaH#5}c@B0$kU$dj1~l6{C-<=nAl?6xM?9Z(&R1e) zVoHPDM;axeD=XrT@-D#4a&9a}`6Z1j+o&ebXjDH^_tJn)%LNqcY~3|}tZj9-P;-i{;Ky(z1)={ashzWSH~;0lMs1noJp?)2L?2%*c(>N2WT< zr(+sz!GvG`Ott=NF>YEJnc-zE8OD6cc6_K7XPnpM!N%G=w`J#^fBU-0{F znwUvP7UP&pW(24M|MBn2+S)K9(VX>7Z}J@P>MOKn`k-oKwLhEw?w5kMRC2NWD!kvz zVI+f;)w}lly+Xdo$M+R6eRhUp8Z~80=>klbi3MHM*HJYsV&1~9 zhufG5RM^Q02S2O1O-AC`N%30FTB6$@Nr{lsan=FZ74fNb1sp{XX*rN0AgWa z3`RSVbU=Tqexq6nm50JrMgvwfl+|+5g?Oe=&zh3y1@NP1TFsZ_a6qS%8t%1Ji7Q}y zpHM4~>+W|hxG*=i$|+ZJ{RFEahgaoey3Pzlk{A>kFbi7;gdSaFot3xUxGjO1zDo{dSzQA2UhvI3kig^YN!h zmAsf{$1MKHd=sBqiGm8S++rBc;m{ExVN0SL*_E2aZ>SLv3!?~I=yQCO!=G`RQMuNO z7{x5A`xoo3Sltzx)qVWmbhwIXHbP??jbwsYu$P>eyo7lm;cwMccTO?>ogQOX(y;pdVCs&T{2JIP zU2uwnOCR+^1G^GqG!Kl^QH1;FFgYE@txm2wbj^P5f{c7+2@$?t2BTHja#cwcA;K9pK+5`!AP1gbjtec!}ZF1D4uE8LvseYY14k=0&b)D@NA@3gf{YR}e*?TbfA3#77=-__B4q z1+8Ol3qLXTf!^ntC1nzQZ<*Rw@sEnxBON67HwFl`P)__J`<}=o5m&9_>`$_$c|aT1uD zHeyXlz_5r>Mf);evzj^rx&)@0gf7vV3Uu49k`nKA`RNe&v2PM0h=5k&Bq9AP4#{jw z+$8H|lqee$?Z4zbdyfAKXg8SX{#(0t%aFx1yqL-&VDfXOyw|S`H^?eU4+`GF{SYvX z%iEu5Ukomvxu1l6kK4mrnMv~C=cvysmstwF&mxCD*o72b!{C2A__thHG48%!OutrS z+H}r{B#){n{NR3f-qVOXxIMOWL{J1cTiGzc$|jKxy;!%(TNwHN&~Ej1LlDmsa5Vb;AUlXX z?xT95tlJeDnd4v+^D2auUJ97B-w7eh`T_Sc1N9$=fr_MV{bbpl-$AaBS8SO1gWjh8mI3!lXt%9>&htd>G-oJb>xla` zs;GSwgP2^IHes#TN=-FL83ZK^bkmi4Q~v~P6=HJ|2rHj$ls#URW(F=e#Or{{NbppN z?&98j6~j8d=((0eGL1DwHnQ`RL8pD>m0(*)mt~h0vg840G-cvFUR04rc5^bF{rA== z$4Xw%4IfbTJx9t1ZBfYk=6|&K1G7wDfKB9NT5J(|zH%R`qF!G66nP?|n%oqGz|CHs zCBz|=m5V!Gb;{EP7ibR;{7`4Ax@3afxC?-ZdRP&3Gbx-vtlHBdP--8qv<1?KIctFDj0mgzX|>-ZjD zqGXQvB#VtET5hYSPTPYQybMoB7$zL3Qi#q%GOT-3fSC-7Ft$%`#A>y-Ews!?7Jj1dCy-lhnk_3xd)ya|o6lO4;6c|oRlRkp zr%@@JnfQId{?*|;yXA&>UKVZ=Qs_xs^ZM|E%H1^M?JT@u38c!wuNouRE)uLGLC? z+etA+iy(-JF>Zht?sCG)e*m9cWX8LXVD3I4LATeXALedWTdz9AA7O(bTTf}ovMH&K z+<8b|NlTrJ$*ffcEu@_JsH>QYbD;2c0^lCWBBBFu(J_l1Bo>#sG!#?2kv&|>oPZMD zAtHD)sL&4f0m?75#94fpa2!HBT9+=bRIAD&NF!eBQm~bTL-SdMMtnF3OPhb+dSd^= zF;S8nc?cZr1FE*lmd~-31 z76P+D5W@&zvEtZQFLOHoR`ru8VMpNjl2;p z+O@p@Mg4JUIvN@7)XtNiHoz*{u&bOevuQO2M9Q@|=wkBPKr(>;)=@&{N1JOcAoe2T zUJU}X5?aCL^x^~2Z>NHKvYZgNAU=^QU3@>D|EEikUc zRx2Uk4}9%yFN%Z-NFa)*;Xm#c17XZXG=FO=)dhp!f)r*0lK%+{_-%cyk5?x7CPGBKj{Ugo+0PX6Xqhgx$Y6ee23t*0|uD+?5%N zEH>d)qtohRn|l)Y-_s=kD3im!biIYsA$l4f{rsYCh3-_6RRYjZryMm=Xs8mvKm}o% z1hOqs#@(y%mf9%N8RS+Gl#}rSXaMXO$pMJkglVKa+6hpe1L=p?>5M`1NJ8vW+JvoH zX&V%noqIGO!AhlN<4GRPP>9MUt!M;#^Begk>w?9e?HVy48Ydb6)b@7Eh?uTCxJtvT z&XY%K$ZaNYRNAmLH@cUzM4F3bxX#OV!e}%5GYl4EVIs;TG$^;S)y6c>#cfliP5gc! zZYhIE8+2FWLriew~|P$u4wv4s|IKn+a_&)h^(-=I+}qN zGZGcy-$3{Vfvh-uw&35W2x20@FPP(}ZmXI=LKF=2;btDfUdL;zkxmmBmMF!Z8r-y3qR5`9ue~&?Zo1S37|v z{I~qi%23t35pqU64)Z(lt1SzAQNpB7Ips|!43_S>Mzm~WU0~QS1YlnZ_0G@zE-Uu} zZ`eMvQ2WZ#Xq*5VJv~(9OFBzM;z62m;RHv)+c&+3XUD5i4T(n2(EglK7&-8_PCnLz7ll#cHn^pnZ*L`NdFPvBjUj_K+=S$WIFyg+eALLM4}rr3zwP77X*^CeH%8w!``Db4B@SXo z*TQt}2h|%ypQ4dAn(~X->M#Y$JK){~h!r-e=Sddtv7Uzok*J;RZ2&az`7Eg#|B>8# z;28^Thap?|l#(#wu`yC17`fll@h1SAs8A8h6xi63+U3u@E<>`cp9YH+(=q{lR_=|@ z0t?|YECpz9X-t7W^Ix=Z>ORIL?E9zfj*)fy^298~XqrVJh|qZDEAU|hd~%Q5zi$t( zIFix=%LExxSfY5Nx5ERyh#Tx)N9i-WzJlJ7KoY}VC#dEh{HjT5)l@k<$Sgseq&66Yc6U2}XBc{G=Z$Xxhy?Ae|(0x}DT|dEjeFA7| z_>=;fjXMYt0R0lIHQk<6Bnfdo-Ws{|m@m8ml!N4w-e3|i^9T&85 zaiHkYx)p%`7*OyRd?ZLcAx=U3!D!T>$Zu890*?cw?vp#(fqKrRS&y8D>=Gr?UVy(h zhxJ|^&CSfm+Yd ztdH&yQu_p}zfi~E8_KH&>c0d0!Sb@odVU+x?=iS%iVmKqGqXXh|xHZfj2w_NZ=x8#v(#$ zW4}ga5gtDKsCh;y<8;`jJTqiK!e9K-jx_SqpZKlGifl}vqeJNXewSpDsEIgBEmLpM zqOfYjCo}qSY4G>W#N1s}>PVilU@;qK3)?U|Nt#UKq{3d&l>Y~sKxMx#pI9rl^!z~0 z0BG#M3+3x4Dv~aK8j7`>h`!?f2MN=b(-Dna zNGSJLzI;NSZaC}!hw~|q|M}f@f!GTfapdRp#{%N^iE4L;rrPVl%j*34iG(<(EH!g; zgo`;{KtaM&=KzBYkdT+1zDd&A{J_@2OZsH<{0M}XRHQ;^ut|O92v2Am&e0_0@{@r9 z*kPQkY<_4)PZHpKp&`Memx|^(U9!lk!6IA7GB~gkWQjmOQ)|jZZl_y=EQ!?*kCJg7 zC=A3;!z5YY6ivv2NHiM7f-#T|X`p&aquo3FLNoxE2m=Xeu=Xiw^mo+l_x~+58uN(8 z=5Y)gEkebSB$~}mw^DwBoO{;4S5yw@QSArqsO6)``J&?(s)|q0ormSA+G=ww^czA$e)o49v z;P=>!wq7*WzaX(5`U;`EHl$LO->bgF#Fxm9MQ64VDO*FKOZC_NluHE!Fi%Q=u1^i^ z?x_eOaZh<5$-MoH_-Kw|r`k#TNGYG52FA({ySy$n@cQd%V8VsuEd&HUQ>m&q@(UuL zCM2~7bO=2XscmeaauKQ{NraZ_o*U>0zj;*Ep+^HzNU8#IdZ1pAeryAymbf0mxCotk z4l%Xow0(mSks*>~cp(z82+}Wsj&rD=$OL0e4qtD3=%oPi1aweoW%Wm}G9v#9y=+B7 zxO-AeLF7iIP)tEqf4R#PGb64DQ4ES1q@kItp7LQ6Lo@;RdUWENc3`N2&q-ZuN}t;hICrV%O?ksp^O1P)K+@~@p90TRo9^}m$_|Lb08LE7DN=XZ3|ZO zoD8<&q3Jv6A8c{k?X6k8YBK}tUeMqVL>o+B;PnaJDF2}4-!{6E(q6aWe7to)N_PYf zx*DBWVIG2M2^k)^kp+zRS(5J%A|jAMw3(4GJe+G{OMwDham3I2I4CR%QhhJ`ate< zf2_IPmV(==8$iE*1>zwC1f0F6`*oZsriE6nW-Tj-zERiE$;gD^`hYo!$LEOKQovEI`kyABG z&vb5e{g}kD&b6x)ey4RV0%U?TnbPD~dfUE5l#s2h3fH;cA-S?2_S=?DGLZ8>!`NzR=4p}N$%5D8IG(BS3LLw#^7A71>f9K9StA zSkHcsEVjM(Bf?6CNuq!RB~7i*jr-^3?y zEbo>7STEUC4`T1d97``9T7e>q#WD{yq%o05{6zzoG7id0XI|~_3V#IdJtjUaRTWsh z|4$bUR*WC*`7t(6hHTAvv+fkcJv^8ek*7nYFNk%fwy(7_1$zX64`{1`T+AjZK@f*W zSozkXA9QW|*>t?vmQqGc$cj|=0bSj;Ymw6xF-vD*n7wEJa@ow23I8$`Gyf9doV}{C zau+!_=*MOBIg6Qg)rcMdz@_0KK^_Dv|jvJx@<$;jwQVU`U zC?75Q!2xRO1dsE??zcaQnihyO$u_ zmJk7;1Gb>JxA+Ga6cT}v$d(Mow4k^UU$k>Ufos+2T3yH%?$@3W`dSD~XbkPJzy#v# zMZ>HFY+Z8pvC!Ivn&nmad*c!VKe!UmMe}AC#@R|hSJoGD+cuHu#FvIm52gxYVeA*T zWVXd!Hj#`@$MR59A7!gDuEo70hTFoNI1~@FVV;Y^!bR zOCh}eL~H8XH>TV|-GPT>u{&-FlY;2-w-V*l+|sI z-F9e%4e6e@`iVU3ZA1}d;z+$s&+8L;M7aCb{%UWc2_Tnf3JXiOIQSiTNY}PatdJ45 zn%dhpCvLz)vO3ESJqOKmSMmdyB5VP6TZ&3*Zpt$FKpqY-i(gwJ%y;ATk4LWgsK?tk zVMf_}eS=KVwS6-L2>0#}KYRd>h>oI5WU;OG0X!TQ7C1wkCk6pSX$f&J>;rkIAT|o3 zA6>f4nV`~3Dwj{nKo;fyVO5)FrbSh@YZK`t0odB(1DNXR#ZN!zYV%BUE1R+%o<#hK zOifnQ)n@MBiM@FAq%7!93<^tVDzSvVLo*#03tMh7t-eM6Jz~QaOZwL*^iakg9NhKk z9~lXnpu>eOBk)-GATZ_K;sLG^nzB5rZfu#xo~tcu-T!Ou8JpKDzZa}V5r)EC+5Ana zPvl`^Y;C;xDdM}u{R($V4mz)Uc7-|haF1J#Z~G4H4S3WVcWSfQu%+7J@3BHd({_7h zB8d4#X(Rk(+)-Y`v);8MWS_{C1+c`KJbOma$(tO>HAK)cX{qqdJZ7{Qn#B)-JOTM`Kxb%z_orwvF?YMxxbl;ot82cLjvAP zGCMB9WCriZqk+>k5d*;p+rzN{2I`7@sMRC(pCjPK4Fg6w#17i#TJ+!I`%t?-ARVEF zO^+jb{9SY0uaE4lFR6d8Ytm*x5Q*J=tBfHYCS%`_M=8R^Qz`W|0^0yKVH8HOs-Ed$ ztVXu5HHN|9O`aShXT&C(g?UB3XGAj8i!{@no@1I5K#zC6JW!xl_(Intd;CM{LKh6!c{7O;`wq zc6Gv{le$S{ontJRMF<0t4E z`9#NPG9lPoXJ=`M^(gw0pWBfus*kh^3u$0!!9w2$e6c&|@#nMwUo{^me6GxeHTsqJ z|1CEzB-WXlT6_-{3ZA9v3Cu}kkaJ`3GtwNGFM$wrY1UsjMp%(Z@QGkh1xpKA z=q~M^9|hHXg_^}ju<_6!(Rw|9#NCRFSoN<@=wYZer+Hc?E7FI+*m;dPPfRM+TezlC z=o@2}=M^7q+y}va^5;N^{_&Ko-1pVzQ%D~ZW2jzerw?ZggpdY$HHF3_W~iaPt0QDMdo*&*2+T)uz=MS2&)a+ zq}`7P%gH#KP`f?q`-<5h#?I=yK{4@Rpm^te+7=zBn%6Lx8}%>P$ui*eI3K?WZ?)vL zpeENQ{fTVwzd|0aRxP){EdB3fNn(P4(~DjfzC@UzEw3=P7;)IoPjZGdVn&5DIC4W1 z+MC%0YuSc56%vCfi4>vaNG;5#vpu!&X7CXc)YJYVkVMG#X1>{jq;26^Id%p^>3erq z9FbMpHX`BLd0=HlzVtP~EYAeP>BT@*vb8XzG3G4dIec>XVCLAx(laW9-O8?}EsmM8 zOC$-%V|3_M0$~(<^^gbyfpWaQv+Lp3n)4EN3uYo)GC$~{x1l6QDeOFh+|0QMGOG{f zlz58l14>nL7K%mGJ(R&>bn+$D!54@vQXPtq40D|{A)(YX$7%-a(Qn^0Cv9zf<&Rv_ zVe3(S)a7UNm=cacsX_1q;-f%fy7cKf<(7MX4i})IXKXdo*6Ym+kx$HaM4ol}$UOA# z(mK#*k=6lmEX=kkH#afQpqNvkRPoJXr^3T-hkEj(gy76hOzGhoAVHI7Gw;w7o4lL8 zmsJLBJ~IpCE0BKZ^mf@mlp^v$=nKN#xyQS-+dWnD=UE-Lpl7C}RQH7UVxIL}?XbRWS9Q3UX!cwn; z_1cAq$6MNlQ>As+o)ZMKPu(?mFOUaC>!H*6h=|7<#hPA3l}Wz@l2~f4A4qjcJ`tPT zg?DbO{bFpjtIJaD7b2c>VoaW;7e!>{uYe@6bRCK{zrHI-H@DDHz2s9nA$gf70QoHo znp~*be3=MW-ENG^Ujb1*EEa5lbg*ibqbok-^H8W}(!hiW7047BxfgVx;=twsIMOM9|=!Lxg;y z@=?TdrjXrzS$b?Kx>XZ5RhKVXQJ4G0H~9Mg|PGAhHqRfn-seipfr?mDRrJMyU{zc&i{5p&{wMVADJy zXK^j{V!gPtMAE%zv?SHf;Vx~I$X6gQfy9l=#f(={{)eM`-IjmkIPbb57h0~pK-#He z3cc|+WZa9FM|PGy-2^2FmN~va@Ty{CGmsZfE9PQ$7)p?B#qOs%@*xn9XsY;euqmM1 za_vIuwhg4&kEs4){#jkdXmVW?75^5oF(=Nl_eSo$}Zj2&jgH9+HtLbtdBo zTZ{u7!8#pxisu!z%k!!aHDR|yuw`9o4o{o+9QjH}nNTrV;h!xNCIT|+ZYQMV8()#{ zc4Q^Kc?GmSm#Gv|={Oy!-5wFkwc8ojBL0G{WhFUB#y63dKr(g(F}0Lzp{oZ3vF$+; zuCC01te0`HZ~#lKh#7$Jih;w*Dfiv3X$nO7Qawn;z$qv{63=e&oYXm+2J9!LyxFWc zkTE2ryy>VH@%W&X3zU?H3eAn$>xAYaY!_X8YHTc5Ztthfadp^EnG`B|{jglNsS9aG z9+I&$nyG!qs^(^H9LcjM{m3#VGDW7lgrhaxyp@pF(b;)!77u&oEILmKsf#XMb@(?1 zte7}iYQ+>l$}4xZSUuI9O$@~D_)6M-SY~RFehDNSO1XX@Y$8fg2T8eb;LoCgAzQvWS?E*Xo-pH2Awkw5m=Te6_W>PbMj#Q92jh4 zFb_Ur_ON~au~a#3gfZDR-?LtBw(k{Cuz_O1s#A_G^}1ug-30xxcdD%~*QN`xNQnjV zkj&LiMs+p(C*{TjOZS(R^jdH_2HH&Q*}ETsok7 z>R&XnaK!;eG6MI-icubAX3?YuJjO5bqo&5M9!1LIjzF}w-)3DwWE*l|yZc03)A3b} zktF$bpP56(fv_V`EP4y1qeoGH^g7y@RAXT5p)fWZjkb!iBWpJ6VqNu+ih0i=)BQG` zYd*}e&NlDx!H409H2BHEcUaIewGYS)8Bgn@E%WS{8C!;|iqsTR<*+A0;jz?IbL+66 z`pq*Anegf(hy&B}S&}z`8{uL?!98*@jR%6cK1$IW3EC_3Pf^BLM{?C>G3uG$az$hdHK7 zg_3sbQLJll17;wP2=BJ@pA-lPtOM?`PqwOTv>;3%witiz)6MO}6r;y5@k)D+o6jjo z8P(tz9akkYdbffc=Qsq<`!wtK{0C)yFaRSLwV(6rYu*1&ix1hMUIruam5@oonxDgU zmm=pd+~twIGR)N%B8hov-6hbu4y9HGP<%+#)e;HMYVI7Fj%%M6{L)$qY21Av zV@UMzrm4)yC99kJ4~!Q^?a$+dn#7U3h2NU7F~;LsJvB)*E7-}fF*WS;VH|=#&8LmN zaUc}zq%oj|os1Gg5m0+5%fa;pbPQZ19rJvZp;)_V?{T+slJ_!19$oiI-|wC1)Us|xiv z^7Re!Gc~&;Tk~5*0zo~W$dA_i+0R?`18M*Augc)sJc0LL{(PVIu-iy$JKUWUL^e1D ziP)%Ya8}7V@u+kl*coB56R|db5K9mb3rlXN{`MG;zpJH)hY$~Y#V8;IigPi$0VxsQ zb@wFd*6^|<6+{a&0xnQ2IJI~;r13D|48prcKYypHsDT$-GULfNb|YOz?C!h7`{u_f z{UtI1Jgb!w3(9iqL;_NmMk(|S38Vi|c}9?F%2K-@!wJf}pF4TDjumrTWk+!9ejlgw zK$W`zR-EdP2}KaUurDFFCMOj;_-=vhYggH{Y`6(tf%GY)sLKG?T%3myljbqq7>Y z>>O4$^p-crkUD)CFeO2uP^6Ntwp@kVy%9S?+ zfl*NYkk4G-^ur$xqebcGkYf9=ix*fFw#Ja8>;1gl^&`lFH?mCGujZU(u$=wi*=?83 zfavgKsj!_So%up|&k;89A*ZWV-tfwg{>E9CDWP`_3}&I@+|LvlJsOl`-u0AflE^_ibA>~dK)i*I#y#k^w_k$@VD;fKC9G8k%SL)Vv#gKdf zA}=}&Q4BUcNW<>4`pkx36mjQl&UKTt^J8$`+Uh4fr=;3=hbd&(I91`BRab#?+TS$z zo#~e6>5@@()%~$drVjVdJ{vJ@(M5P3G0U79Yiri?O>fkixA6J}H17kkLX#Eky3d+w zx~P=$c%_O$_I`4bo+IH%vpl&~ufus;-G7x$;f($G)N$*8M)6@EhKH zBhWtFS0hMW+pXAA2g+8vk5?VSYsc+Me*S($biu)59KoQRq{`Y8;w?<2oK;c=t5Y(x z9mzDv9Z5##LTq$Kg`Z}mE8RraUp~egkg1Wf_{b)e1N>u(n|i zs|PQU4up67q1es~ADr->28lXvbw?-!!UO5HRnm?SDYNM)Ft zYsbl1Fkv}8-azA`^V)bH{I%l{tUu&ABB~WE6`=&urhY~BBR3M3CP2 zY!2$*@YD{PC>CO{YCwWhlz}ivmGVN(A7Y+U_G&3pX?Cghe8D7{c3g;kMt@45sQ_GpkSykn`1waZyJd{__%+(~)!goL^^}Hn4koO z*VaH$SUn}iCK6$qe-vXL97$Jyk%84$T#d^n*0|#dd;khwYr0Xl9EmU`78|}dLI2E- zVzCQft{Dsmaf$4HJ?r;}d}E@W4|X2MOET~YZ< zAPMY66EgxfP%K!rJa&lV*_I*;*&VRI6XA{rT3LC=X>cNqXO38`;YceNU~uxFOXmX zg@R2>T7)DXif>mhSXR%H;`aL&k@cL|z@|X$kooV_;su8~@XbZIJw8goLbh5?gt>kW z#MbUfIP2~{{)^ICaqom6;#~{k&$3p_4b6ymC`+ltB#acaRT0WI)DPr%zEBClZ@yO( zNPj<#n1sNLmn<;efWdG2aKYNMfp)Djdm3iz>Z6xLSL!~Hh7BMVYPeesfDVe&{@hC@QTK>ZvoM6@`j# z83nSq%RAMY;&JFq_;GNKg(L%T>zT}jcv1+2SC2F{wO{JtvDX*J9T(uz!Ropt#1)0b zpi872e5L9G@vF{I>cp$EBzz+J7PX&vXqGL^h_QiU!RpB;Y;O{p>m6To{tk0e_ub>l=fT%b=Td`%pNldEDHPOgeWJc0NKWvMWnARTN)?ZN2d&FQsTWEC+4 zGfjrH=Mn3Wmt}-zu}>T=3Nn_Vn#Ines6;9<)F}4q8>>m~Jo8Jr^DHXm9th+fCnYI& z&<@6;{$Mp{Cyb5?lQB&_D{VwV}j5k!5&c^r6MF6OpN^a{41# z{H7ewgKuQK?EcnJMjmGeMQb+Cvj}9zg;H$aC$c@yKHwNBo9~qd(mX;u)#BzmqtTk? z8<>Hto@@ziFDnkX!Axt5sFU6WjGa&MU_mE1tc~6vW20-P(f;aDj zsX6zpRDV=1kn(uXHLB*qn$jz2#cecvGa^KIK8Y`Og~$*VG)kOu;9T@4h9K~ z&|@lfwrz zEuk{v%MKR9_$B2e)TkZ^Tj+&?QP2gWQxYt;nZzM(Kr%OSTzo6JW`9{SwYDao6v+Hs zCTHFktlUj_hGZredVJoR1shUP`GR{59Vv3BZc9$H00`I`VL>)}cX~kiLdIbh$i(<4 za<9Rjy;dN(eR~=o_RT)y@cALnbMs9Z%u9lJjEaOe+*v}T^Pm8W^*7yNquil==D7)g zV2BOiK)9$)rNHNgEBJ_@)P$mx({9hoB3*HlG+9`6!y@yrdLH^KxLDs|8sre(1r$2) zGP{h3>4g`1h3?Fa9rJCp02jS*P6H$5d9N%bQl0zW zz@Hkncv44b*n-DILc;)shDEWE21tj*u%B@g^dDVEDklUahAa+|#TV`f$o>r(J60ft zY+vt={C7#lPEUwyXb18F**skTiTp8P+2sK8^$jvfc-O0fGZ_noej-zZ56{{SGKVYe zC-R8!rf21{{sm%P^@%*x0a^5A!hwMB9eGH&9XGlT1la+YRM{Xqs_Y*tF?uBgtmhm! zz(0{ml?cm`)%xojc`HjPJ-p!hdZkOzdP5`03F1IseJzM0Z) zCiy$$!)|}~(WCa%TPhe4rh-k8ImuSNC9eHI9^^l0Ewhe3k)O!Sqi~sxC};C>e&W|R z$dA-as>r~seYX+De9KSdXKEg){u|azY5$wo{MU*cHGd#h^B-kP>P-=nWb6rpzj>Pk z_V&IM(Twl1VNIA2i#NQY*#55P?jNltAUKHjc1~nM%1scGk0FRfg7t2QV-G~GhLD}1 zT&U{dhfLV6kBGWoRiA&%EzAhGK(XMo`o7`zetdK;gn?bmK>E`hpG?GV{EGHA&)N&d zk#QNq>7KCv!WA7eb@!@?P;$<-*qq+0N=*e8pm#xP_YzRLV`$WJ{K!0gG^e^R5}7%78$w-tn~?3rRYUxQw+PM9m3O_?k?!GP>p*rr@sVW1JA+;n4h{mV z+{A}$yNB#mvil9t-b5LtBH3|47*|;3%7LJPGu_ZOEE|Y)Km0c(uFEd;eGpgob64?A ze|(kp6`!EYZgzc%w!(l zoWnw^-Nc&6tcUMnQGV;eLE>Gr9=<(>(YGEPeO1%`M?_Txg{V!W8(gKzf#BW0D0ccj zS5QWd_A9E#t7B|o28;=m3PwTsLq2nTV`qVXhf(3d-zh3PjS3pGBNjmf&-(=rICUJx zQ<{VBQ{#!V%9Ks|t-})~!gP{s<_T2;*`M#i372{u+}b^-CXm(k%BEzs`ni#i%<2aS z13~U`$g27wktD0?NmL=M%Jq&*D+4Aj6iV^}>5xuT4+Kq|8nBDsk&%(MjC?H|A<*>& z`@Z0UnZO(}17hQ9`51dkj1Y)Est?2xTcTKT=OIWVbvq5&@}~2pa!$XE36u#&LH9#G zbL!(Kr+)_zOv)xjZqt&U?W#@Yz9AnvSeVV!%g_^7%&H)w=a}p0Ce!NPz*kj&Rluz0MNiGK396% z;A(xIbIH+Q$7!>&RSpjzg|ih3;c#)db#k*gCqli(^Fl1)Bh)P;dUBAZUUbk%JH%7c zY-utr@ADYsUOj2`h2>5h3l^lE=}2;PXacOyYZwA(1}KM^S$P0An@2_;^#qubD?hX+ z94M!H;B#tG>|x8?p$nEPXzv~HGLsb@IteHJb0ibq-#&l2V0gsyMMKInp7g%u<$+^x z0R*9|uUbOnMPEM^bGx2MyW+C`V8fgE!PuPVP&QAx3#2{2@;vHZ)%#8+@IoHHz!YTK z-L2;l)Ie6x=ZwxlPC3T|Gru`xyn$f@2f#XOjX?xWcFLJ6R9+d|ZBJ9ZgKkyxk;hE& zyMm^~RqQId04j|cr1goE$CtrH8E!nFrr0XPH@D5rXM%_gDI#OS$}>S+h1xh9#C{Lk z_lfLt)0Ym9$mSu^pPvN68qC5>#&`FBh8gT8^3=NB_Z-BnK@Wsz1amhnmPvxPItFYk$+*(hmJ z^wVpOqwWR!_$0gxyY`PpjMQznB?ofMdtp+jv?Gle5dIq2yd#GPfu^dm*Kc!G`|yJN zV>0$EU9@KNOYSfn1o5c54+p7g38OCV)MV|b%d&uT?VS!5I-=jEl=z13oe;8jBr?*5 z$bnXN90O@2A=BTKl;e|8SAC0ZK*YI?Vi?0gItjMj)}FbIC>G3u^h7>-$gJu==GGd2dqu4?h z4x|^{5)$<+HBar_5d7x;t?Yd^EwpfuE6RV#A3MN*Z zRSz!KcPtac0vxL!aL4*`L{u|Sh%48iOchJDgHJXvfb|@9{scm}7?hhIN{ZT>pKpj3 z@TP)lBuz6%_4{c#%^Y|NA*PwR z+ELfycTi@;(`+HI{q%cfC{#g8A9QTD+^wW!7t(VFrr+AdED;(;y400aH{=!V3&b{e zlZq{jWI@FizeX@r>{?^AQNQT^tbesZj70dPXi#^?P z=ye7Te0>5B(xFhsuDk((1BW8-zy!r;r#Ia0&;b`8RouCFO|fwo4qkBj6In#d_ajqv zB7b((*fpbyJ0XL)>Zxc48ZP7X$GnH%VxrpVyK(xsw=7xO`Q{7ZV)`2I9PvlZ8?Op+ zpi2y^frk9Ij%!>%R8-UsrFp#_0eWwkxBTnZotI}vx8(R*KQsSTS9)_qG%}KC=t1}JAb@yWyV>ypFx&TH8Kkk0Dso>~adqp&rd8Oqk(p=wZ~AUe@bACD?jsVby4PMt zXqeNGWM&Cpl$ijM@j;Qj1d&bWz4%IsK+WHWFq~ot!|HsIc{VEpay!RRMwa1;>ZIuM=RtZq`9LY@A!R>0O~o1vd>dCKV(= z74$Npf<`pNVdfkig{qln-G9q1d*z7fYpdo-Odq^bL7d+U5F&QhM_wO zbkPmn1;`Fw7`gyp=un1+4peFBP%$kXniiIBWapU(t_7TrXIFx2c`cb7Q%Z1@WF&+} z)qS2MwN*E0=33U(3r!yFpTPc-azG|WQz10a!}+`nC4rl*}?!)QqWa8^c< zuumWh3x;}W;=Ex~ylt9On3!`Qn6YC!39x;g%-ycR?xelDK1Y_c*G`rt?Il3%^)jKo zPBpaGD-P}TriJ#7>^u~~Wfo4gSTgqGg;ob1dii>dRfzFgZpiD^bA54QxS}skSOpjT zMfIrTZ=Xug719oONp~!j%8~TUe^bp+Ak+**p=MrbXqmTahP7)6BjI)WLcXM#@r7ia zRI{B0OW6n>#=qx5yiTMvc*J+nG&k^nKGjnhESx<4l<*DlTFOba58Wwmc zFx5=UorsZeo^b6ZM#7obCq`sgJha6w7#x6g@cRXE<8Ky4fGs=z0!RpedYb^ZC@ceu zLaMMRtQZ!BO$&=Mvhzp;P0se!67yk`yQ}IsH6IEQi8fJ=LS)cp0o49aHEqqFl~8Sy zD+VDUfx*-;2d0R0?Gy|N`K{{ud`L*NtkylZ!)Zbu1VUn7WU8e{Ud>1~dkQT)q+wUK z4m1>qmR&2k>;MX|+Cpr>(-*t1%xp38q~2orv#Gbw_ozar&juZjjntmUls%8~;*tmt zSq0$FSVbAs37YHw zz=vGCt?DD&m$LqrV6@BMRGHG3Voy`0hE%M7AhH#X4n$@zUgd^ZzISSg-P)aiHab)S zxXac3t>@A(rciMk$J8Ts`fa2FpdQK*#lGgIZ5R&|)$CYli|-@V?B;}FUJ*bx3sb#^ zdq?*BCBQHh37~qm@MSPNGeVDw00!oZWnxU&QH8{wmzh$E^PQLctuBa`IWrf~GPm;k zXel@$h%U6*_G&L1#BdJ4-k?GW^#6-gsd-*4l?d$$X3w;KQu&;^r=0Z8o>NHLY{zGk z+S%zD#(NR~L*zxGUz}+4oL3ue#vsyXy-?+?iWFIH)n1gC>dIQjRTqKiOMA;A=7TEc zv*h^z70*lv)egBOC9;!osbYJ;Gigzilge3%+IwHK4mHj3N;*Wqb;t^^FOA|WX^__$ zD%9YJx(cD)0j%mTyi%D}Z-PrySN%BEyTa{0*YrEhZYUDGIZ^76*E@(uTK2jr(bP6+ z>$_cu;cq}+{Q{&->|(ZU(Y-*N@4v|GvM(0MGcu_ASnvodkaObFipzXk-LeH_twH-7 z`3ht|fuIzT4?wMSXBhhwbF}CK`R62-7`r1saAU_eJuXkz%ahnU_Wz0aeK=X_ z3uXKP{S%iiw2MW(fY~pZ5j{Mde3^LOlVp&uav6MkvdWRKgpl3c!a0KAxzXLO%@)Yr zw%zXJLkkTNlQSYxNtAjCv~7uW@DovyMunXg5_mBqAK2hyJdV zq{IV9L}Dl`qkXvYC}8Bc@m=^$PU^ zZHhRmcYv>6vP_+L=^HXGe!m6Dmgl!euwNF>%_P;ulXU?2(~!}X@(9AQ4_&$7Nf7kq zf)i%!%K_28WTEIxl4fV7+R>Sdr!Yrn`uVohmy6%E5q-IMYGm|fMyxMUD)pt4rii0* z12phZa#t=BhwDh?xEVQ(_dIpu(NSW}&ymR49;OJf%;x7C2y_qZ2ZR0yp#Ty<6=3yu zU13Z8O+)YPvkb_tA&@9W#d5;IQPl0g=I1C_WTQC))r}K9tHy(o(Y%Zp4=5IFg7jTB zbDd(F4rvaQ_~_H4KBQtVL8e~8(~tyKnnARG^+QI~?*&KqI0n3RSu%n6=whjutUx;R zbTh(i;6pAYY_>{Z{48#a3@Ojgy;Bs6KVJ^vExHj-S=ICO!wL^4Xnn!{Jl;FzX9Fe< z+<8-k$S_0&u%it8V)rE68LA!Ycb9%W<@wV&-$Bak$IvzdlH58RN7pey5C?E26UZLf zgp#3op=22`C5uv2vY;JYMg76&{`8qw^4V0i5}yW4s>4cE1v2p>3EQ&jk&D!p)sGqR zi|W_ZOgNKOA4u2-g;@L!7)-T-Smr&w8sJRW8@%`d*I*Kd63OH@ zz*6@?u`D%ZP^_p4iWQBqS$#|eyRa$8 zu5tQU8B|S$m~#&5vH2T&1a^P4la2cTw&xGr!C*@vJBc1hdwyhyjcb0+n{C1KA_Ugt zy{XC^cr}F@bF6e_U1N?lxo>KU65{H?QmG4^G>22F9S9oue4@G**!}`Z0_xfZri$H# z1V2S%O8ekz3AAHzqXRhr^NFGmMlHyOz@ugY_7+MiV&gifR-ad2QFEn}kH%%hIH+kl z29q=ygJkBUx%dHJ0Q>PcYZY9}*`7W3hy!yYc0c@Hn?QD7H_o(WM_3ZTK@XY?-hlo2 zYv821`NOs(vT@PvUv$5SiC^^R*OIY}<+i74R#$_WwytWG2O`sES~76=JpVf|$IjwO zF$plgjanU{Lumemly(ebmjPA|p@jH2V=)XxQVyP?b^_-*lqr53HprG7+_JihU-b~} z%ZM>iQY6h71?jtVrn<#rkRj0sO2WgE6IXdPE>C{=%dMmWWM;f>Tk_=$>Hc={3}CrE+Oug zuvC)&l12!)Lj6F>T+BjUwyUm)?;5f{zu1mK-_(&xLcfs5uf37VK1MkKgwQXlwnU=P zFC+!>Oz2w#@=WGiat=-Afk5V43M{I~NjdX`+JWpdVOS9EevMZk%@0e@2&?&HDg=6R zzf@QsKzn}u5X-E(36iR*y33ZR*5`NJ2FHcF7eE#d3(Ux1VXVx7!Z@Qh?gLongH>`T zwOrSb21z?+)&SPH>yO>xgSI&Em4P}ebYwa_X;O4jzMxo9OL0LWZm!CF{k8i+7y=+- z3;tyrin*JRNSi0Y>JtlCcnv(w1yp2Q`eF!3drxkO2oZalhr;Ww-Ta~rua`Vhcfa{L zQLUc5IMcM%ui7G!_4)Nf91vH{i+k%p%AD?MTZ-Q-M4%#(Iyk%mR%EK0^-#l_s+qWJ znnX@L<{ff#Ai1O$Vv`fKe?8wP9c_&a%~kC+?F^$iaHL>?N}m@^bC~fP?nVingG14Q z*eEyyKx!j@NbL65Qf*3GnzFOJTH(Rt%SERGTr$6VcB z)vEVqrMjzM?GG|z$6Fa8Rx zTDjp2vXL+2Rj+AR&lk%0T-c=oCw^AKCsyo+!kvP!M(& zz8(M*41{2A9DO}Pp5#n_a5{-Fw+*h2Pn72@(w23Vu=W;EudS?-UZ-| ziy||vwd@9In{B|#B|TzGfIKE63%1l+%MD45>t;sL{6|!~daq8zZuXH?_Z)|tTCvnL z05e2(yGd6c5BTM`FYYb(hUEwifJDx{h+esK=*+g^_}I;7Hig%d`v9q=M1K?`qA6tq7yk;@j)5pnXBk8tSJ4 z9Cn*^0ALkm9(9CWTaX^b=^U>JQk zLj_-WZviN#wrtJsUvMrFH{=xL9OD zRqgtq(6ZCsZ;4vY>Ql`O(JBFmBmvFNot@Vu8Fv_pAe)+YW?RJqGflN;Z_)sf753gH zhse3*dBms~z{c6@NCVJ(fJ3=Ydu3W6+iG@aO@M7{@aEhrS^PrYK>QdGOI`C5>oUUC zw-TcE8g8Mr&!v0k>9I2^yN1azxfW>>1D_yz62N#UL@G86WfQ2y>ywR2Y{yrmV;x_ zH!7FI2Ys~m7}r4pr1|YxTgu|=6|{u_CFge&CCT~qMX4>KVta1O1xUyu0d`Y)h)(*? z*LB!UD}`eib(e9lJz}ZehA;srr##h`o3_5^{ARfmNS~;2m+80O5@h}xrXE2CHy?KZ z5zuRb#`#JR(>VBIg17*D8!CXqcg0wUV?^#OI@ipi7n;!w+hl5cN}~&_c2e9xwyTHA_dSxr{v>oyl(6(yWD> z?)asZXNJH8iUp$}9i55V>7d-i{IF{*8zgDC-L5p(zvs9t3xNG=$t`LAD2MKi=lO$G z&&(gy-f9Tls>OXq)O`Vx7MFBO?gLu(LAU&hpd@m?MJRPkLR`04Ds+pqsRXPadcqb$ zx5xu^t1k4ez0Bfan;SWdO>!-46B?vrtsbF(qJjgYRb%$n+LjHam@$dEt{BjRG zSzZ0%Y2{HG?jc~|&=pI!bv+N=_FqLMZ;*!;c*m<7tMLmY?WHXM_Kk%G07 zA(fT46%8luCiRrcNt62>{-X#^8#-n81OOTCpRP5uOpX!l#^Y*YXuv5NZhGSUz7uXrcBx6UyKVwQT)ZFNJ_wkEO^oCQSYD^zm zP=77X%quCbX35R2!KT6qB-flK(f<-e8Y!6Ae_Bb3OO!)aqd=5nw1PaQj6u)CDw^v@ zF)X3e+;Yyy(cLQ|uR!kq9`V3~mc7ZnF49l78!h*I?%`;5(%VqUHD(>+a`jUF!i zqSx!PF2Vj}dzZKx3VA}SJJj5%>??%lH!vx5wynaaEspEQXG&5h?t-VGLPi5X)_rdk zDT|%OPuCd$iefA&rB%O`Mgfu?vzYYy!)xj5MoQJ0P$J%a$U#3 zi1M!1+KbTIU7_>n;wfWU0VvfG*!R)?-Sn{)p?s+)UuS3`W#^jM05Vnta)AMi+gE1AOU9!;QyNd|UB*w-GIb3CrL7uI4^d8xj|5zNzGh>*Hbd1WW+<&&CtGF0 zuZmz9=StbUsEGBeZ;h_*EsZX99i8!-zkz=#fq|F04kH0A1`g%qt{Ho6gPL9UsE1s! z1xunUzdN%^}lbt#f}JkiF0tMr)`At5%P0pLU`w7;PGCE zQ7OFi(eDEpcoEsrm%`UPTr#)68B?=9LtgvVwci_N>n-B|RPuoI)k123_4lx8N9YGs zm0cPsLL%6KJb(R50cXcIytaBI57)#fSdhyn8N-!0e z|8nWE1Hdb?-9_LzvLl!z85BTwG7YsUJ-sXttsT|R8lTn3te~#hv>}JQ>6#9sY@!;u z+L55iE|Jv$5rHn4n{WbN=lx*p^QUXGoJy8d*YuWr76})O!(!w=dkr0o4{O-_syrvM z9f}vD4^&c0Q*j;1#!?kSZ8}imSs?%~pe<43fKB*xb%ib4KHji(ulYgA4gy^7d z$P(os9p4vM$|6-+e1;NS6i-XtU~DyO%>+a~ z@sM_r()}QC8{M_$HvS8;7`})GvkpVI5}D$2_)ym9bcYC6r<_1iO)Xwpl|5Y5?Ub^M z1hR5WS)e8*c9~Q)20SEn1j@lD-mO zhU37+HyEohaT$ns3D4y|1izb`?>%MoFEH^Bn4!bDggS`DUzKkOdx*KMXk_u0X|+R2 z`{gQ4a?)qFvZ|jX)`06R{nAQhS~)RPk$$2MUvpn>oC7AsO8y9a{{WXpWn(>{(0{#) zl}6$7li5~u_uP73C{8-i%+!YPnib;+Qo&5VQM-!x8nK)5Ql%MGXZ|OBC2e|K?G^zs(*E+bcXrSB2aYYEjVE%H7n z2aIAY8)4UjvI&OdhiL5S!fs_++_iuxueHIQi)6ogj9C-*d3<4Cb$^TzDEqdunx&r-+Br5-kUB)v;6b zSy9~t)yEK@`I9ptuW6Ex`f4QGm$y7M3T;^UAf=iea0^Q7;IC=*uwP&5odk>k?;NF% z-}ZiNFvqP>Z?{V3r{B38{d)iUJ}zC>b>K(rFkDK~Z-vLF1=OCo%hD!a8Y}Nv-||{P zQg*`Jxf(}FtiGA`1U4P;E56d1*6`OtxzIEgV}iRdDOusZOLC~!YH42H?q-8Mt|5fJ z4wvIiufb2UO1XtzXt-Q`1YpN+#U6?xcjdhwG4a=XSkV)yewY0f*w^iAeD#wEpSuu!38~pRC?A1*q!k3r~Df(vG*|SQwA>6#PzM9(FHw>XQa_oETUg?be$`F3QlltNW{1@~J+1M+P*GRyh}i5NDYz!lFv zNp=k(__Q%koy#f4s8B?c$0=cbgE;@R6iVJ*Vr`8W<3KTS;&i1!LR-`5pxKUM;3{!5 z_C6RE8S^+sQP%p(r6E@F?uWO}6sd5;uzf zDs;WDL*zuWN-)fjVIXwAj2a_jz3_T+=WP2 zZ+3{svVadF$lt9_vn8-Y)qE1`VnFyP^Fit3;l|t@yptCa8X{KO1G|6e zdnfXG05af%PH28iBD=aTDO8<(_~_d)t~5R0ZDFeX{M^~QML2^}OAkCX9^YIH5*zeJ zrLjV13jG*OOnDJR+hMSpdM@rWdpz1fB8T@kiQz|qnvqi4!E||Tt{@)=Ib~*8pWU%C zz?bq)#&W3oSBc{NU-_ZABcxeKT|d_rjMx97iP*W$$7d%{RT7rR6Wpu(E^U1#lIC$O z$iV0FqWEo3hNkV^H|{<)H@;Q2+&9c6`?@!6!_lLjXYZhqW{k(d5%2vNc5nn$-IC01 zx`Gvf=?aUMZT+`BI(FEwe*f>TMTG|79A2xlfT ze=3+i5K*k=4zsswEs5#HAT)xa$##orI8J2^bMOu;f0VdQ;~kck+D6Ab7&rX%I|at; zPYR6o>hPg5U{ErXNNPCBZl*WE)^xVQ>H5sJS*`6Xz_S;rth3~!z z_dwE3pFgR(-;~aX`*GrcLrCcwYd^84ch2uYA^oP!b*E4l_~8*9w#Bip%4yCH7~NM=r_IY z)FW6=_4?Y1qEnTM_MTEP;cG{VFxLGEM0|dhq`2fQ7{~QYmke(c7uFr4pUnVl)|3X6 z(DygpCV1_lyomKHTItO}bU2X#E;$I5s_$peg^VKyjNNpIM1R*n%$$f=v9CssgO6=! z_I6F*T2e4YcKDBXBcP_M`}oO^b`9P~&c{X4HBdE<%4{8kYfpFjpfsBK-P0FeM-+S@Fvrb@dA zeudg+wzo_Sv>6`^U(GttZ%t924S%^1fFZ5t7FHU8jtmAM5r-Fg7l0ejx>;S(~F zX6z(9YU`J%h_@$M$Ok3ACY4W&a1fp;bgkqx6dkKQ5vvWB3ykC!q1j>IJxxo{r3%0b z(ai_c;^Z3ni*@OQBS{TuR0U;Ba07l#Q2In!lz2WH5^TRsl zuFdOU1^v)0VVZngwdt}J zmA9#_OxeuyQ#+C}Vcskm&YY5PmS5Vr#(5+OK9J2VSNa8$H5{H`r--zQCbv?CN(HqC z1$N8&JX$HPc zYhmZN0wl!54Ubp1Y3Eye)%mf4O38y9F;s9Fqxn$*`?mFO!sji+ZfF*fYYaFJh}z%s zlDn14Vs_q;AqT>Bm`z&5XGl zH~0B5qUJML&&}>y!ds*=g`O~2GmV$;b1!EMYhsL51>aHkVj)No$+es41h|>oaEwp% zhm;-qjyN4qSP+ZTP%tE>E*ING zPBwMs7uPBj`urjjp1SK14H_h*%ioh$wER3$pQgi-{3s}kt!tjba~i|CAeD+A zKIaBs9abFbpS+)Qdq}cUeGCwJ&2Q2?PWMEkZ{fM&-Y3z4qD@dU@3xzC^+j&L16RrQ;LIrNSgu?uc= zBf1k6mS^7&dRf;fb)rHfm_GBw!W=(ZSE(jAEgFv$(lm?$rw%O^zA<*kr@J2R?Isse zj2V>V@Gnr7>H})eW0o8Ms7_Iilp0W?!U7kaH~?2sSw^u9V~HJQSc>irFFZ&@gfGy1 z=3}Ur5@i4R9*$vf)NAD!6^Y#Ej!S2%Q6O#&V70h*BKYIv@K8bAfj%y=hOyN(s3vmTHFM5m_P^4HZ^6I5o= zoA)KhV34BYi88^EjztU8y&(;FN&EcJ_lh(<$#!5TjLqyPA#?f$-iKkCOgV9?V#A{+RMn6D}pX73bv%p`*aqist4( zI}(|~ToGeDM(3D8YmmHg^T)To6F!h~w^~X!^`#+~b+9*5!1Z*$d^Mm1TAv z`iF$bAzM=IR5xFmg9DH(BEhmeh1F2NWr^ zk&BGNh{nYwr>zAGw+!UCVJ@Y{v}gW2Npn|S~&mKq_zlzsHMPGnIki+ z`JOe|lWgN@CeTp4sN5b!ZJ+P?vgUw>Vp5Qszg%hVAf@=TepxoSBi00#+keNczRVH-N49-s zY71Ljg7?riZ1&l~X?B7oUppr;P~xD15THL zx{gg|2m)GjNA~H3=L#1s7o<+K{O4#cJ*(F7F9s@Q3Ep@YOt&!M=4*n%bAn^J;)MjE z>H~0x`C#{Gh5IO~Or{w;{TcY>C%t%n>kT>~s31>Kxr#~6@;-7%ovQaOPu9E3X=1J% zisXy0w+AyPfBh)VHRQJDADp>X~8?=HXfKr5<^t@$NquUJdBG(L_D(pyKNogtZ z9Go2h%(Mr6>RUT}PoZvCD=4f#^r=tzi<1$ea%`&s%gk6y4NVRLl(y&B$^vbY64lNL zYdz%#?4IE2i0`u=m~n7Q9sLDTB9nHsTD&tmPrUI%Hu?hARxbC6O>UBSbu+u68I4u_?mC3cTD>aM!V)xDS64#>%&ASCPsP^;~%{ zUp`jWcApqM@ zy0ITdN>Q&$`-f(H<>F{xsPf|}_t;3Dq|p2A=Yv)-X4gJCGS_Zsw11ocm2o3IF}psY z$`JR2zwy}Mwpl)kUHkYN_bEU>KH$mElls>a*)pNRy`MPWa@wrbs_78VSP1P(W6$b7 zwOViCrVr`qfJqU2$~)>`xF36x9ko#4i+{>awC+(EccNbnNz{0mQ39m=Dyd4fxmd1$ zfz*bs?uJW$?!hmt{$P<#&d*Ub-cCw0TUA93OIO4!dFA4!-rX|nCubr00b0#ovX?L27goPiY1G1~;T-Z(kkk3a z{E!pZAn*<~fi^Dh5nhnKg`w?Qiv*w=V_Q>EDb&m1^%3AcbplIv?!A#rJBM%4keAFt zfI6w) ztU0S`S2bQmiVh8m)zpjSw`B*)9p&spJ5qM7+4xCMi)99;#?FaRIt%@Tz2|sSOQ&jK zkILp;qRw-FW1-Ba?X@S;P)xAcV*6&a0?ni|CdojFHALq?M*aFax%$$H)}4}Fg1Mkz z0qg>fmeOii0H2#HaR47}rOXkSdu6^N>N1;y`r$iexG7u1fRV=;9`@6^=b+%Pglg|c z6?Id$vK3$hRb`&hmOOw#udY0rP~veT8;XM~3#& z1;!)&N&7~G2l1r{7Eg?l{f&u)7Gl&$)B3L^?1YOu5NOTJd#^xPfh8<7Xx`-=Gq^b4 z9K>b1N1HjB5cq6<#h-_>N!1(JhC`5c)x`=fj!TtH+kHMGVmY&q@PqAq>`Z;Xh*T8f zW={mPt;uX3u9AoB<&^R8j#G%xtC&ME9&-^0XAyg*-`_OxgfunH-SBH-`(DNHmVLW? z*spv|(4TzK_g#*lfAt2*m#kX&54N{Zw@U*4}G_u)m!*g1}|OMm(!3KtuK6X*XmIm9BUeEys_{1Ng}x(^Wrpo)IP1&Z_D~P zM1Ih~O3QSYfN;(>ekKteC{eoWNz6$^?(p&BU!>D15QD+_t8jCENu|!HI9RBhna$cZ6YQT;KA$C&VZ|I|6tL zQ_9y!v6R{=^t--KWASAje$zOdFmFuCB_h!o)rFj}@?5li`{h`8+MLqt2?^D=bR?-yxjx+IP(vi5?@^e+wckW+G6Rn*}gB^RXzr${0H;KKZ z(hcZJUj>~yY2BDfoWsb)MB{m~--%oitT>~h3O9Y=tb4-RP`Vm*?zp)FB5GbNH<}D@7PrQ5y~6YG7^l zfDHpMXQodltt?vp9rE7i;XL%irej=60U~EoQ*gJ3y~KwVgVhH|B^D^%)>lx@!uh^i zR9?efrY`e$_&(vjqMg$_?b+*S-V@aeE*iYprFu(EEJDygiJIQmo_EY831%+ASDL)R z$y>2gUqk)iz(h9hUB0j6)!dbrWYrGdp6Ih55UbzNw=56Ld|j7$#`01lYrfvMIdk!2 zxDCuQsF`VfK5kuJMttaX#&%UZZwkUfK?rqG@RA|Zv8^I`#jwPo|B7CHo409!5+-ni zUjBsAS&6KzR5G<#Vh3o$)HLKfK1Brk4b10KHV@9O_XgC>J5JS}C1?yVGpyX@J;k|^J+gpxMAU5+de_BIWGffb?6D)3BnYdJ&U*(~Ck#AZydq7F(^^1v23y%>JZW9r2#Yd1ul1_W|V=b&Ez~SpVBetX3 ztIgpJHsN7nW@|rr@NobgiAmJUE_pHV$x=m#5Lnz_SuRaQXEnyfQ8ein^n6H2W|b&T zH-UqqD!Q5-$~f%C8)D0-k%rzbNw%8?XtRDgcFw#>3 zCT#6{giducO-RgdX6wFmm#KEhdQlz4M1%8!hok3GEDU|Ake>=BSl4;B7*JzZ_jI7> zhsS_}uJZ)}eQ3nEk(as1j&{n@lO6RS6*Ev~D4eFNR{NV{;v3UD&v+G4^B6iY;sc5N zRK>kFqPQn^a08Y{Z)xQRBSB^bStUM$4Ii3kD2cx>9Px1@9N*Ay$HnkaWinCc_ak}l zOpE#Gqum?#4R9!;-36kkN#&3TevHZ-H95u+u;$wjb$}i>wHxJ*MBtCXu8NoUfZA(v zLi>`~#K8xo$Vre)wqsmcYMMru&=#s6)=}h&)JI@(8aOXLjh+gBI<_%IQQ2 z?rmI5G#A)%V&bcGexLDF3?FqpB~`D3^B>Np zMGi~R-(*!L^ftWISJNw`FdbmkP>E~OiyjmiLSU@goRa{TWrX_>AFA%uAd@Qmz^GiA z<9ChzYM)U#ih1MN^5e>D60JRtWfTi@5R}ECXJovlgPqIT?}>L|VuEVe|AE35cjl<_ZU^|--(Z_|NP{%luX(wyp+Je*<@^qy_M=zW_}2WA)h3)WA7k(J zWP9v8%V9?k9|`lh)xNiA7|EmDdBfBj{(-*T)!hI!RkS{ z=Z&X#dLeJ`@7!oXxZ;8ff%)1Civx8v0?*VxS~pJKnmX0}zzL~^wsrP9jxeq&+PPEl zqq<%mDnK0UCRI?YJ(rg6_~NN|Rp2x#LLh->kHf@baDMQ4U5z8++oRd9V7s&!2ADNp z+H3V{>8EYW?Oz0g#!H~LI%!Id86-gsHBA-ilO=k}>10HqOe4+P2JLl-g}f=mo>7Fb z%oSu%adbV{Zsb7iZJz$)Nuf)4F>|4kD2}AA<(r4@^nqBa#oQ>?FMG0OrUI6jW;`xz zb&e#lFeog&#-A$F8-06JXJnTyDjf3*`tli#_A_rQ{6~i46^@7psx$41E2XS1pEG4> zm*~~!UV|b8I-V$T0}Bl13q*q&t+ak^uNZ0F^z8Dw>a%5xbCO{C$P|_c@+TEBlCF-7 z+PpVWo2Hu5j*x{O)GY`~#ja!pYX!_i!ya|;ED?>o zZKmF{J7SJ=Mx8!V-qJ4V5MKIA%lE}b!y>Da!c_S2l&oWFLtQ=dBB0RKe%=mc+9+le zPqy(`My0O>l!Xq-K`v--IR{NO%1BC2E(4Q->7(0vr-wBZ%s3~Nu{GTAF9O-FKc_^s z=SjST-&BI z)G<_1)sMTnl_7tRM2}+C!B%Y|diX$ET^(cX>Q>$)Y zY=G>p)E~~4aTX_+!AUnrw~1C@$stUx1Ng2~B~gDo{EIu+u@vpsg5U~hT0*+ zM;GagSSz>XDXGGAd(aZGh!#!Qh@-fpz=h4*of|sqd`byKo;3}<7%{O!pdvmFxq)}3 zi^-jTJ6n`iM_HHieInqw4igs6T>_@I+|d;Q0oQXHfAid4kjBD8PK*7{M8qxISF2!N zDh&JG23sAT_1$er3&V*_v;J&(U%2Ewf%E$E70%`x_z`efYzB>N$nM0UI2J<$mY8JY zIoaY_&7ChWMc$1GQV+h}e#P7zG zY6txk9zOAozM=SaRNIMm>hjl56}Wn+aAT{ied5`m=_EgNX-@j#639e>g*-& zAM@fs)7b0t1R0FF4B2ol70vLMC$pqVm-H6uS`h#a*#VQfW=dS6(UI$tG~9=r10Jyy zlc0Uo$clyNnG>3UJyzmZlWG~^X0BdJ4=SW1i-u_Q5$}fzRfa@=_(Uvs9PH()MO+tt znRYrdkOxUH#g>>iVYjdH0b?;MUpN zWfr^SbopbeIA3S?AE+}Pwd^C{WsWaLJvYoPH?2? zL7c|!A7caq!SP9YpnCc9wSe4wnj9%n`?xz|!5K zY*iYj1`lJX10#8ws+?5wD>xmoCN|9|zB3Q;fty40-Wu4I_CvWZ`#eFiJ@*Jp_mWI2 zw1m?C`)Ekf;G^vhtW4B*Nof>{WVx-}i*TGxCYZovN?ZESB3FfIEVc7~sq7b;-}( zQ4yzaHZdRKML;_W3ZK2xX{Z$15D5+`QwMB05aFhF!yoq^dcp*wL%)$NPo(dm%LoaA zRyf*wE1fV^U_r6E1a=m=oHq*3N=))prV%F*!83slgcQLB)CmSO9Wb3YVT&4t%SH=4r$`nPyh6_LSxQw&MiJH1pdSLQZkp#tY$%uY#%o6K0=lfZb zOw?QA20U@7G}H#ebj{PXpa;FP=-k^^_Y9`U z2&$8u@DrV4)veJDu8OiO7$gZ&Y^{_j+ShuQU{u1JtGQC8ci41 z;Ny$HXRx8r^}&9@JmY#JE6}p=A!82)q}vk_HwNb2N_=EKAp#E99^#do>%JK{O;xH+ zO31j+Kj|W@FY2{z)vuJnF>1xImFK9|CVC8WS5c6=hU9$8fvBzEJ35Ax>^A)1R8el; zme*EWK=^cO!c~{${hK>HfAIJ3qu69b0`q#%YBAqU-0B8omM%zVNm__>ul-~JC;-*+ z)DlxO$%2i(LmqSPHpX^51vXhexM%rs)?{k z!s|Fe11>g(ZT!g{B>t>)Q% z0w)&Ox5Fwj%pbu_>@=nENNgo+XKf(TR8`oY96okvYqF?D+`*YR`MP2?KSBQ-S#S}9 zuPfy(ayMyu=6HHUU$Tv$>tLGY@Rf*O`V-gXNMaKndZ1D)3woNlS0aUdOY4Vn@m|45 zoSKC?Os<~#p%te=qgef*^NY5^DK`n-C^K05cAoJwT=eSo3JjMq4-BWKMX*%9jQmGgrk& zj-6ac0#`k7T1n>%K%zKLMKZAgewL!9zz0#S%6(?bz(Ck^u-{G5RN6Kc_?+|#CjddB zto~LYQ~N_Y;|l?6fZ7PA4~6Deagm??iHUk3X;dF&QwHpjg>T`Z8ar@)qNyuzZ{fDJ z~aMC`aJGezRDBk+WqR?o?8zD?As^j)<*C;?p4=H2nKq`} z7nrqX*ztHvM_$xbC}*H}m0pa={uBt~-e&^YNs&}p8o;yT__3jcv3os`wqNPS(U)o~ z2NT7NiSs9~v z>8vBjIw^B_dpoi_96(Kv)Qi^vygPAt8esOJz>ZFphcA}5wxqg|ZMG=YMIugS1s_9Y zlHH-oZT%zRVHUWcVlM}l;0}^EN+1m`8mdiZxe9D-dxpv_BZckLTXoP~$t`8(=cGvX z;?l@wIn|1J44|5(`88R1rAh8*R(R4&Br5V z_C+S%T!?VdXYst$J7Y~@RsDsh7^kJopuHC*wRno6GIUxqMa!k5$A20Vu3(?CWQWj+ z#3GeC>iuVJOepe+eO;bWsCBqNQx4^x=^KUi4{H=41`Vb1E=)1A@0UEo!#2Fyd+ zDbQKc@CB!XcAg2gbgtu*$4lG>??`xw?pO0Md_kkgPPcK|_LH#W+K^xTN=X%#S)AU( zc;2%(;Q)e%^&i;|nIpiHD|l+<@X;h?8R+sg~Y^EE-w32!6Zi>?)m%cTCy&mbQ5_ z@%%DK**hn80(-v;2}QNmcbj<6;!w2kfG~GqYWM*gTDI~ZbT{M}JaNa+9S2D$u~YX6eN z3HZ}j-Vy|PF7o@7?2l-&-$tmB0sesi9RFN#|2Y8vkdgt}|GDD&=kP}d1Bhr>i2eY! zf2{nijr0GC|6|twTRuDR4;A}AjO>3H$vFN2IsQ@Wk8{=jM-12hr<6Y?{Y#3hhK>du zkOjcPP0#wr#(ymN$G`tW1NeXN{FeNGwS^c$_Ah7sakRLxi?NNp#s3<|`P(1=YAy~5 z2p4-NItP%si!~X5g#$pwOy=(H&hiIfF}1g)XH{{s2f3P>Inh~an0dI6{ZW$4#FGr5 z!LFl0&#Gt-k}!5Lqm$qRvH{rHIDkON*mD5b8QFleY;3gjtbb%!+S~pAw)$W7Je_0F77dJa(4F1M={+7YZ!Sy>1{-Yfi8!sEg$$w*}^bV1N4_$j;3Ugxs(H-VVaY#rv0S5R9ASFaH6#dD(dWvID}$ z!Si=JcJAMi@*gtTfdH<*V*|nm{C&s%hb|C|_b)rxxdGgN`x(N=&HdN7K`TdxB!>PQ1G4>P8z&bR&);@H_&ERe%WsVLFFPRq19JQ~#t!&P zE~Fj%zsIwSld+|ZnbYs@HCa1zd$K?X!s+?*hgDLXqSy9p;+MX6TpK+O)aS`h4g;_+GHbr literal 0 HcmV?d00001 diff --git a/library/Buildingblocks/KiCAD-HierarchcalSchematicsAndBuildingBlocksRevA-EN.pdf b/library/Buildingblocks/KiCAD-HierarchcalSchematicsAndBuildingBlocksRevA-EN.pdf new file mode 100644 index 0000000000000000000000000000000000000000..421733d2a1321f10d2adad825fe52806b1fef93a GIT binary patch literal 174459 zcmbrm1yodB*8of-DP2mJ^b9jFLxYrbgLH#PN+T(V5)x8^bV^A|BP9(=NjFGHNJ=CB z9nkObUElk!|NXz0wPvq9`|h*PIlJ$7>;O42kUv4!0#K`n3` zq;K>AiEEZId9mRROXL6X)(g8 zDwuGUdF1MkUkFjTKDKSUP#4?Cn{}X5fBR_XyY0`|uAT!a5^-)O{RF}>ax~8$#bziA z=V()Gv@!|m7UZcfxT0;+;(h0ay4NUf3t$kl`=Fv;&?P@>(V2WTCE_cBid1k$%ZuQs*3v1eoxQNuzjdVK2qhJl({S#lGv-^}9z8>h;6v3Fv+bKQpLUFJTrLi8Y(W7Z&Gxe^-1R}@ps5J@kwg$Gv8vIDu7P(O<#<8HQI$bPK0Y~$Gd5H49v$TdbNTNr?;$j-f{^h-06Tq9ZxZbfKO`a2|?d(X|t$ePPvEoO&5>x)xRZ#chTYa->fF6%Yl zav&2DI`iVad>hw9(O@?;8k?P43NycV=}R8(6a31G3=Ovf)daft^W^1-jZ+l%V7Bj( zd*;bPp||_Txxq8c-ipO@ihPBSpc52@O7^pi_sxj|w~)i%cQ24OG>`~IV^(DTAJ1WC74JuyfAYG3@K zX?x~-i7$ohC8`Ftn*9-%g4A@0VZQW%Vm*@-N4<=*N8_aOwVbC* zpW&0|xv`TM-*^ z7pr6Qs9U-?x;dL$y3m1d2uhX~Hm3I-J?Zp80D(_{kDC|9PX~vBx#0qa*nkvgU^tz? zHM6KF-S6oTga2f98DI(^#RGX9EIW9#v;Y za}7&ZI(=Z-QZjTrnwFlfbcR4N_@DXy-rw(1!VBdC|EHxSp0Y1yxq7e37%ZzCpF=vY z>Cmw3))87M>KUWS{sozV9*Z(28rj);b!V1GHSPtm5GzWAj0fr^hM1V&$%${wY{w+a z=df=-_MCLK`FpsVI4aFFtdMMe{Gw()-fZm85}Q7UhNkU|+r-PTW~a8*6{+NDb4mL8 z4yRHnZhMtr{Q5O2>~j|RvTDN%^mKN2UHmzMyY<|GMySCG8H6&Dxo*;W%lV zL)h$;%RBE(yW1DrKM&sDx|6fNcV1f?UXwgari{L(k6DP7=GCPs-N-4&AeJ_8yqObL zd`CEFJ-?(0Gvh9wLiBB97NbqxFWFtOKhL6e%oJCkJbKoVc{=vqw6dkH==#yH*>3{j zp|ENcL#8LthZ898>|cqYx)=S3B|0J~uAZ$MG_ zP%O*Y>F5;-j#nb~mB@YLAl!LH^E1YQ7qJ)#sft?{6pQtjwa2XQF&nEmXQhpQ!kFGs zZ`oJLPCv7K*257Q*n{*yk;U|MugJ5NKA89Z7l*d*n)9}-?Zwf~dU$WUL`-5wIoG8< zId4VXZPk-DivvYu2koPN(wYZ7xT;VJpIWCOwA@?ehS{x7E5&P#D~e{`V!KAg3o-ekv=rCEzrlpYl zAXFeaoqM`CcUSjQ)%IdS_pVx<4$B8K62`F7?TIkMEw=hcX(3?hMZ0^LTv&6rBgTq6 z@SZ!EzmY1QHk2QK!kfmboE}OyAsCLCC~MtSSv*p;9TUzNSUXvb#_>pBeZ+yyZ&CR^ zruKThbc}3zpxe&GfsJj5`OY1=uD3zvXI@Tb(c9DGMVpHpmE9Y`ry#Y~B8GK6&{#cZ9P;X=Wal{We`HY<3u6kE7Q>7mUQP9*qPOhG^9#(NGzFZk!b zoP0|Zrpq%MJZ$U^<&T=TB8%h2Y*pqr*^uF9n=}LQC29*`#47Da@53{o6rY-t^fa6& zw1x)UiLgbOBBi+DVl1L*Taz>7jc#P`!l7qwP>IKlR}2%_xWXNlGS&}X2E6{+65Z-b z)!s`l>i3w93gvU3Ju=3-LWZQ0j}L-2!Yu@Oh-#E4aNm`69aY(>(XBX1&vCH~*S*d# z(IUIVf#8H{Xt&1qrlMg}+%qCfM?#{+_UrLA{XTZ)Ir)-FDHCaLkym&pI>gS4MRf7` zRD4iZ%%KDRzKM6KKQ*&%O#kT2oaw&s_jCp}aqib7EM_P1A;{5eqs#36Ok0|x$tJ1x z^g@y;IK3r#4U+N+D{awq(_NQm9;7I>a`fLJY`je-&kf8HGP>n3X8P-h3|}9aJRGM! zyI&C@x~UgIu?8)bpABQmASh1#PPY~Fj>uehXkEEcLM_^-v~W`9SC!-uk&`}#I^k_& zeuDP;a(pD}lx?&-;qRy?V62tp@k-5h2ip?+GfwVrnDBAH*$=#G+SQrVOLS+8ao^^C zx3`V5VncIkv8?Q=VAF0vdn_&lawTI$vJ`U_)B9BSUQ-3M-J3xzS%$}kaD#bUJAY$V(~6lOCRe_d{tijh zz!#d-4Z$>#3)I`4YbD3|q?zr0YFWl~X-Db?Uoj++1IZ5Mo%nqh3zHrU61TG6?!waP ziZ$fK2pb^j#0J3?1T4s@tHkdu}KhjuffoI;Pb-Keqh*j zQE`6^pGc`xP(tgXm54SrTl`&Ma`*8!3Fi8|;+Ly;u?7wAXFP|XNi#H>qH8q1Wb?+u z&C%yB*EbT>%Xh04n(53UxvM6uXK~_p`CSdEvG8052b=G(CpRV&3sXu@6n)C^d=J;G%`eV!Psu~wT5OMqNTGEP zgr7Z9q#E|9P<}tKS2Q#U)R3c>81igwCN^_lf53%bp2F#Zsq!S>xGgA*gs;pm;47}3 z<@CyDbX%o@7q2hmPE5dj5}E23v;7<@V&f|MWsJePYI~7!##J=j=$^sHw=7qvdIxcG za^IoWN59)GUbz~OEjv!D>~6U_5gKLwnUfp$cCgPG?Rl%_W7980zXG~5iN;<}`>ul& zhL<6aeL4;<@41o;=&xYvE{T#o8tIXQ;TvC?2{U&#z7l;ht<^0gAxDj}`>;6fzRFAO z;YMc^iw#|F0cdtvka16%*P(HPbx2Ofmimh2j0p0uy%!1x1tI2;Gs{OplNa4ER(O#S zWGgMhGQdG~#;bBcVqW4t6xGX4f)GQr_q?2VkYvuL6)UcsImz&IbeC@`2lAqHa>Qa3l%o%w5b^0Yf4-d5gsir!q zlX(J5>(P>GsUa1k-9Rv&AT|8%77_k8mkbPtuYvxWE>}pWv5!&l+jcA!xJj0uVkAD_ zKggJA@hQMWDG^1-x9) zw&9*WXaRS5e3TL5TrXb=+{iYCcM?6#nvvF2c)TWyFPGUlpnFF7KK!t%Eb|T0iE;$b z=ZRI$uV1>4@kTt1`Bnpwo_V6;s!@HSEN5+8Hv?gBS+GfPw2?>?bsZV%lH+_=ou zG$CMa%UVb%O3^}xH;6K1sXfb+eoo6ADhjS9N-^=m`SP}6F2=rzZTP~$(2kx$Yta~w z)AwZmWD(Z8*Xj1ZJ6Q1FJ>|b`VZn$d@LzjaM9&IP{*O&8V)Xy+VtN1SSN}ArKyUk} zb^Xu!RYddqNA#b5RR9Y5Py5vfU0s*yZbHA~(gntc%nY@+*FqCk3>__mm~B#XD!h<- z2(fU`D3A=eu6*myZ4F3bu#G{pBO@ZR^B22^KUC6ZEEWabH*$U~efN5uQ!Sef57~n6 zlBqOwh`pa)X-@N_Z+oP;&f>lvjDyLvxi9NkuhUBLxYR)u3oRCZSc&rn#NYYC z#HUyOO{l>Le%6CxTgvzv-IB)k!iuL|vIfP6svP4rpXfS<9+asBNhw+sj$!U*# zJ6BIUcb&j~IE97Pa>8@Eea85LwXHXkL6ij9UjL3ckB-EG?lCF{cL`qf zv-blaFCJ?Nob7hE^2w-5mH4SlVpo-)6IO10r9`gY%J1#%E5=M-!7?O*P?OEaR;L{# zU_ClJdgp>dG|y@qyxS`YMAF%@i}^mi=VdJLSwD~yz{5s%NPd+E>yV~J(N7a@xBbGt zoEuuTCbTA@L5&xs{xqY$@R{)2yq+T&=m6GAgdBSHWF43;T5>n*h15}Uo}}5?=O9={ ztDW|s;WjstyC>|E9#%q@r?BO(?quz>SM!g2B8|V`8Otx|Ux}^>W^qx2d)vt#m6h(k zmv8z4XBlfu*D-my$%q7F41dMjzsvTyXvV`LX}MtKC%Gat)}W>XkBhCvwN|uQpHQ2ckg_aOM+wZ#;whZQ zy*?L9a!d+lo&C1OYtGBZ9T!29x!xW8x&YegMt#v%-sv(CLO-CFA5|0zSgefjD4VY%jc zWP^i9hjc%~`2p(fy`!}T9$UY}cLO!MahqLNT|#r8pLR_s$BIS|H9S4UHx|-2H_@?X zyk*VGMWq-*o$PsAdjeyA4j)Z-lWJc=NV@7oKBNrX$A4(G&4H?0&=lA6EyhOXBE(Ma z2ibDL(x;@Q=dnWH?9b?>TU?B`2+Aml&Z8Ddj3@HiJne?k+}5|?FG!z;&>u4E>p8Eh zAD;a(+SAgF(T;rv9YG)TqxlHdE}fsJhq-B+i_XV{eqR5?#&f5>bz<-RfE~&AG6lZm zxJ%Oo^%gknTi+ud*j>*aqT9MSfsN?WjvhAT0!Tj1-X;;}m>E(1PcMJ6-Y4p*F{X7a zqe@8CBeJC^=ebuwDxN?YoL7h1O`~poNP$uSN%+*fi=|<9;@aIu&XUp4iBVL^Ee5L} zi(e+nd!xL3zefoUFbwHw9nzbIGV2#V?j4yU5!iStRW{gI| zb#NNIm&A{p;S23dL~cvMk1EVzZy$$b;Lg>oqV`r?+>=P(*CEPlbQfUuZ7NXeX%B0c zux|8ovC(s}7(GDgC6j#DZ7it8s;IH3uU4(UPhi!bPy7Sf?|yZ#mj>NrQBh1Fa=EMh zqqz}e&h8QUhx=!D>o7i)+CSxv4nNS*)a(+AnEVMEyucBC;^^hpI;_QqDvrJJ@-=A_2n2rkib+Ky@bd^Gk<$ja%V88s+qLvwg2o=_L~^!iGQ3uV{13I43X0;IZIk zKv-7nb>23#Tjadl`qekXJqs<*p4j1U)#I&HgH>GS^Dtd0o`;!jVt#b!uX`|Nk$d_{ ze1YGweyx*T-qi=c&>W2! zFk2&5&)RzxI!6xyaYa!up2jLhu=ADZ+{x%D4-c?0ZO?!5V<41JcixyV4u4W^T%k#F zUdO^C*!d3aHhY{ZlDR)ow%;O;hhUx-B|XGPFls~AMn%POyfUM)Wi^I%^;I77>yQdCda(H z`SRPG@D!N=uUZzE#NXGDOWKSRo5?`XL6$^GYxc3xK;dG+V*+GN$x~}S%%O1YS1I2; zeE3iEx;dss>>jhc`B9NINR?NJ9HpRyzeX|#S3PK`ZCVAVW`Sf-NZ)Vjp14lVDiIq| zQP0Q`T77$ruf7@Dx-Yy$^**L3g3lwmXytomJ)KER&D9%^3PM|2+?u!#3>(QRDGsV4 zFR+VdOpok+?;JVXisyQ9am&xzeEHBBv^Uv}Nz-3Wb%!%n{k^>3nbEl6_w{K_jU83! z{K)WI*;;NYMW~*M&7GlJ0@QfQ)*YIbPwMpW_2mT}S!(afIsKFwj;G*PoUa*^&d&K< zyOBJ?_W_-Hlc{PK8L2)TUogw8y$M1jgPqQ{zxcyw^K{39Up2+ozdtfYhsbhX&TZm_ z5~`gantbK?s^%RL*HDAl)uz#g;jb;NL=L3SKB8G}ZoaSXH=+47|cub#h!%wH}jgTcMz%RtVz zZ`mkaDnz**J-z>CJIz};pz~!kzHRhT+`ahz(9Du%*>%dfi&{h02%aYMuUwRI&jXBJ zzpRP|VUu6@-)}P2cKIlLND$kk(zd)OQI8wA*Oq1`_8CWr^D9w!df0HG<1kgI>d%g# z8YcO#8m2RD3~OlL%?i|#pqQraX!)E(%8c0dAw~u=es@+^OViXBXwTuswAz%PD5Lj#8-OW%SxH^ZMWbTQtW4X@@b0bY2G57MMJ$IgQ2k-J?V`sO7=ZSD--pdxG{N#wgXrN6Zqt= zkm^lLy*K+`8yenuTpxvAlU2>jq)VRWJ<-bOM z^}O6cU69mZda1k=G#Me2jSNkDe>-Z&?*#mC9_<(V@xj9C6aUziqG%bLMx)#(o(HuJ zr*Fv7zgwTX7AWe#`fk6enBqby6&CT$-{|1&Z#0v*N_&$Inzm1nSm?@Bc_3ArJNi{< zP@Tea^~0wOp@+CrVRsnK~5oKgwiNIDcK997On6;$~?Pt(j=m zZt5zC2pH%ploq4^s(9C!1tIUdxBoHbi^$%K$iZw7=9@-mhg&gBbF@cws20XLLJB8% zc|U39b@FtLNzQ7!83w8ik(>*$y`hG>xOFNmK1$O|_gF`HNv#5{G18AJ;vuB(7AoI= z-@-rmIDOHTyA9gFw+tT&j@EC`;~a6@^3}DVFYo3^I#$;vbyBW!5Ym;^XkTm9y2v`8 zjauq8gDGqiSaX{fy^(levu-atcE8_Zv%O)b?4<2&0naG@$eHHH{d@aidU1OZSCbkx zPsCc-k58J+4gzAHVMyF3k>haJ*^s#}+&2|MCI#iJE+6W%^9OS6%jsBTLHBWmi%7h^o^y45 zOF_~|72ut-Iznw+R*KEIuwB~h+j`4mN5z0Q(R0DhiH;L)N_*Fe zLMs1(x#L!E>%umVVRz5@3PYyA4s#X{k^$*iW7BARz%>$-ORY3K20kG+)i zMXB2l58Kl_K2sF&g(W<#US8ZxUXmh|Zt6^#s-zbU*TKK7$br|KmZ(Eg z48K1qa$)%xGg3#q(o4>&x9oqJ#tFl;cPq*r9+>zB6#Q^@HoKr?L8YRRZkln zJSDF62x8Qc106YQyVD~)4P95H>Bs1mNTX1eR{+FXA*_^_y< zH`^YW>wKXf^79Pik?e2~JzCO-$Mg&l1`oGpX-uBE6&Y+LpTUWrn{GT=<#S#RVF0(4 z=j6Y)L3(Bv;s_OqBP+n+HzXs{Uc!Ry2o#rL*GDoW7hlEx(x!0O^kqy5F8@o3a7;3NBp;Zbm4VE zFvCCU^9 zTPG>P$L@I}zTA6YlF_t|=aiBsEFjrX-PC6{gLw{YF4_ zS3n!W-BgevwtB8LWAtL0Sim8G2`q8Ncn&KA%0WT;T)!1o8$-+ByCQ z(Q$zSsn2aoYM66n7)^&On zA4=HUK2Qx>t5_yb57RD?i3bT;jN0!K0q{ft7Z@)C_;c{QVJE|~h3)$$Gii_-OKgU=dOyhwh=QY*I#|~)ZBo~{mCb9O5jINzt5Vc%^sB`xm~sWja0lSbkHx~5S#_Zr#qflcaPqj~*lem3^Vr?$Xy|BvA3W_X=;SOJ21Yd&VZdbVFeho!s`xyMHh0 zzA2Xca?jUnZBL5{O?$lOMbpnwdvY@f%)&5w7$1+_Ma%1z-NhMUVg>s|zw%_q5VLe# za1%Cni@57p;<}-#+V(ckG!OesvFgiVYd!`=O7#3hkJTE*jv7>8DL$^A&hu$PO)+af z<|-{3WR><2cWx;M`dUt6`3Vz^{`)v~T@=SG=^zy?_f#etDo19^!HoQ#&s*s`uQ75A z%*v*F2UPb7;#+V}aY%QKMmE-^c|?_CLfN`sJXI#h;rb3|61Oa|hP=B!um0%0PH=yx znLH+On53R3(=y4{T-l|XHQzMle!=7KRGG~D_l#ZGqEahge~6JO;mmv8ipfRLsh7P0 z(T?l<#KOHj;-%~KF1C2&iAz7l?PO{3)!kzuMHsDslmFMM>GU6>_7m!~JC3wSu~vFA zj>3tCU-T*(U?bnA)DECyXm?qkHLZehsR5Od$mld#)NeO46s{ zHH^E|8q1>8*_ukP{)s3_4avIY13r$~o1jO}S&in#IGjr4QwlX`RZpIPR>(s^p9_4x zdc@5%GkGmYbuk}pXgWQ36M z=WF<#w_=}i&m}uJwu!`s3A{hP#77&bi&ggz`-#`LsM0GMD7cwLuQ2$LRNnMVvgX^Q zM=AqqaL&5h_N8=9kMw?kS(GSVyNJ@K?KepHk^}nkbiYtIW(R*ls-FxhCp0&9lKXH{O zw&Gt{zN&koV%ci#MWkz^lX|P0cvqF{!XWY7+#2rA+*X}Vd#bSdr33!(FygLMvg~62 z`R(GubFh9|IMJ`Lbs2kBDQ>akHhwQgeUfkk>-ai5Q@4~F*>HF1;BbZRuXuKfA0uuV zWxR}R36eI#Dz_~4=@8~fS<4zBbsphm+db2kbxxir>?e2c i9T8psf)TKRdI+ifR zbnME>h@CeqUw|gSmwKFAlkM?B z{hmmv@UY$YoM|mVm-Gy#vu^^(Lo&#`$SC&=*_#-#&be{%eaP!U=J~=eECi(HhSo>vU;E@%2G653-pL%z`FVVhY@vMS0B$?mhPUQbKHk5q^yBU6@jGT z^)_39N86oWn(|5SZxe}=u#lW`Z7F+}l4l+|5M_5;1}kxsT|nv;*7C9wL$Hqo)gla$ z5ANu5%{;EHWnCqEZuB&Jq~VhCIh@yB(5jd5@$E2^FbnzIjiCUfaw2vf!w17vx55t{ zgZ0>uJR0&cB=^2Yk2jXZE}bQy83!~vONI-aa)w`KH>@ylj>c(o<6sXGST)eRYX64Q zM_NWznj>5z=Asb&SS{yK?@B`A)E)Fxg`~-XlPx5I5)zPU(eCu-`$xJ*xt0dGsGK6(TZ28M8=t&W5*ZkX^e&Kit`9Ak@4^kz#!>F-|j|#87!fAL&_HsnP%}RIad>jA0gU%6+Jc%1#31G-sx*~3uNaE z^u`b0;Znh=?>mG~r*}NRH}swm<)wHySZB8V=VUtp6iGqNo$EqFv*XnWhUifhNx4kL zXUk5-h9Ha1I)#{(iN(!AsioM2db9kfe6kL3QiMsFlS=@9Qi^ui!wuh}aP3~#Oju5A zx4;D{rq4@M{lpB|cs>K$yBxOhc)g&uObqFF54{A>Sxa7!Ja3Xz&O#HAv|kdx$4k62 zgG5Uqf8pC2Oj2HiW323&noFPfGCJEPMk>yAlFL-^1^mY8 zc`>7~5E{%>`;=OO+V+gW`Kq=Uq}QBTOG3kX-xQSlCcHf`9AArJIASxXCn8ZNY#`%;Z}j@<_8HihNM=ZU32`L_HGU0x0!i;|FZWofkc?H>nB>&{AzrcyN9# zcJpy!!QwX6D7=ZmRqP_~Q{FHlm8~#bGNkL)3gJ{rwzNg3=VC62JVGWQ z{csOS!n%UyF_| zF_B@F5rL2LA(EvI3{j@V#CkiC-##hrJu2~Qzq@a|ghZ?0+KcrzM(GXjGc}~={Mp`a zrGA>=9^hfn+mm)r?Ucv$$x?w%%mWYK3V--X@qoiFW~79s;!{ys`D#Ls}FSw`C)de4js-Mw`vu)s{MbE?7^;yY*dj9&wSoam_i4J=$_^s^ssDlS%D}`%OVa}%QtSo1|8~O*f8plGq z4FgyfpU3J`oM^=O>_WV0sHFsDWEUA5b=r2%>4n|bv)l$GKNI_*utPw`znUZPX=5_U zqaF!FXe@V)JRkhY9+@X6=yH|AIF#Jb1-n8$rZL<6H(l)CZ*~0pF82B)_V1Hm;B6A% zfc5|SipurqKTYR9UF^SmMdd#`!bO|{|B?5*i3LM|-uwFR|NAF75jy%h({KX6ozm`X z7kqG&&<_o{OuOT@qd0Ne>hp{V`7AR9fdo8D|I06rI_Z%|j)EUB+N>r!I#`waxSY}V zvzRWBOAsn2Z1yznGCo|9TV0cb>T1XOH%i;*RX+L=uW{nCrhnY<^IauVcjFzy9-H;5 znh~#WN%h2Klie)g-kX! z&|bmJL%Xw`{bM;$QgC@X3;HvR>=9imDWj)C;;0s8jADIC#^K}>&g}`bW(q+Q#8fm| zA29gJ4|a3Coov9V!A5xDBmOr|dm zp$RY=|8NgEPG3_-L66N>oPMq>Hy8MyL=8Q-GLoXup)Gh53u*UOwvcmesq@i)oU*ivClv&f-ibnNI4?LuH<*{*!Fa~wm3?oL zIY&Y|hLki*|0;7XJvBW#l$7)qb=)J?!!h5>eKku1k2^?aKLetS4N|5Xz*7+=VA?RG zdc~KmTp0?9sUtQvwqvf(>XEVanEbQv9^X5mYM0|m74SSxOBcH5sn~-;rB@*RX$fgq z-CXZboK8~JPVEjSs@}X%@09G6mRXGpCG&JF*u&sS2UZilNPD>LK3Ey0c*EzBhwJA- z3Cl;Ng02i4jp%IHAd5Qdr+%ddWyC2KK9LbaHjfEin+qrN^`aUb+o>IxcjC5Hk$&m& zdz;o#CiF7%Q$36l*x>Cc2u4DURrS$iEM(N}8vR;^&V9FpgY$^=I5+dn@^;XoToT%w z%%O+fPuOZaFJkxtiT4PtLz+%xQ&J#yRLo4b80bX2{e_7K&7ba4Ebg@GU0 zSZcpSNIPA0JnbTpIdd<2v#01|)kkvAi<#bqc4yk@uy-b6Ii&BKc#m~xPj4rFv z4hIGKv+?=V?Wdff9hdtie7Gcjkx=dI!*m3apX>>?<4Zot`c{a!sf&TvjK8AV069u_ zq3^9Fx*`fw&O%~;Z2_Z@$k;ekubz2H+eK$!H2W%aV6?OL^8rLh{~#2IOPfEtMG z%k`+gcmBA>oO}hhDZv(hI_zb9$pvOtPlM9I?8||2!UmE)57LXM&h!#~dg|D)mo`Td3_wmv@y%nhTvfdXJCH<<1Q^6~R>L+K!V`~bYc1t2hP$RC_v z02l@519S7!LBI%3C~)-;yy1t#pxp4^S0Ml{@EgCm`rp8t8E@dtEPq2j2pHG}3@QN3 z4ukRn^TMF~z{+7@IIvnc2oBKsVF(_6h`{yLYfd;nVznSXZaz9bUKqd$1J(@i0Q~%P z0ssz3xaNUFKnTfTKH%zaoEHl0ogaVzoga?i+^wt z--i9afBqTq`*Ab2J`4uqhW|tV{X8C9pBF)=yMfm{*K+^C_yDB?3grU5$=lcA22uHKidD@ z17qvMfg}J0_KxrwIKTq~oF5^FACQ39FG9<30EYweL5O*Qy#sUsKwrFw{ewZkBBB2X z{(Zg*I0(Q9k2UGwk{~AI8SLFqae!cu_9HClZD}UiI7{U}cJdm3hy@oeD z|8f;DI-sb(q}}lR-{6g$8+bFz-w+DqKSYK=tPKXe4sQ^kK*XEnfCB-}c)bdKC?eOu z;DFO!^FRRC1&jcMFF*y<2?RYa!e|250sULfwalCGzvW-=3!%N=Gu+H~4FSa?JpK33 zYZ5;Qk-Yv^!wr5zzY+QO)f>T3AOiluAi!pB)OJI=;YU#Uu9Mu&_ZwsV9(8T98~ldn zx3L6xf%VW1$I0`vdlKEI0{wmt-eh+l-d{u_vpg80CJVg~;gh=?t~fDlAf z!+;C}XcCA`00MCZm`DJTA^3s(0T`4Qf-v|ECy=Xv7z0WLB0s_bcZLB`0T@;Q$Phr} z0pqVZ;2=aUfn68aKR6-_@B_bofB~)njN|(=FBk;5u2H`ifmjOvwI@J;DG{bYDB%Xb zq2FxgT0uZ~BI+_C)UOjBfboG4p$`FM{*M9yDleY^9q$d#^+piifpEVb`&+??vA_pW zuYm*#1UFzjz_fq?uBBe91z0*D^;$*O@E^nd?u!7!fe}&82S@>2=D&b9a&92}+8lpF zKA@x`QaB&NSp0}w0+cKS1p+}NtZQBJ!x345A4vZ|QoH7a14akaK@b@WD3jMk11PRQ zr3WMS2?haK7x0y9CO8z4qY+jIC^tCp!w>%JdIJMO91saC3h;~T$-y8XGXVzwy^`zo zfDp+X(GlEe;Rc5R)SG?$))Qb|AU^^H2+%W-3jpoj;DFNsWd-<{p9Ah@pg$|r#6#BPcgg5yT!&FJ6qfr1KX1E@SVO&Bl( zf)DrzT(?MnzVQK`1hgoB?f(Y9q2I{*`|6EEC~zY455^1V9QIppH`JREH*`eQLVwS2 zlYD-Uyw>&&e!~NSUHdRV2ljl8|9$mFPdA*`@PBLyc<=Rn1Q4NsjsV}{MKm%94gEI| zAqDZd@xZ^~P0EMCuKR32e}I*)+a`p?fCd66Sb&v*#uL$zUw7EooNyrTAS5E9iH{Ev zp>Uuf1KJV9zcN5X==I=hCOFXVAY2ELO@HG+0R{{SI1pfVAV?4`KVlf{dU`Mzm<_Rt zo3&g+AR2Dk=|5UPcoEQ6Uej-ObFHVFRuM@1K%4;i4VdHxzixpbK=brRmp61?V5f-t zA4KEGchkjOQv`s#gt+6nmUFH98~g@B0dE8{FR&rNj{(Vm@856&-uzE&LjV4S-Ob@2 z7x~jqS7&`XykCMzF^jklE z{J_T|`0z~T&ZC*x*;&IN$-xC8&RSxoS_Kqj8iBPLZ|CN#(x;{MVRPmiiMA1S;dx%~ z3tO42tQ=9|s%wHk<>1PFRHnGNZxauZi;M5}7Z-2cgF@fZh2ZUqxsy=YXJiw1&qZEE z-NKU10DtRXvBCAi(yXXK?G~Cwnt&tO&0N?CUlbQ3xoc}TU7<}00m32-#jTK-tpXZ9 zQV(JU%e2vK{kT<8_Pp`%bbvA7OB_v0XIIy!6CFYEezNsjynNND_b4SfpH=fvD@E8N zQ61&c(Y0Ut%MW7d-KR70BO}w%(XleuXSMQ{#W8&<6TorH3`-SL5SiLAz$###5j8Ht z{@K;5&R6$Q2S1<*Ty77R!Cux<385hKVBCpj8~pN}xnuGR?)ojy@A!k!8ff_e&)oen zIet;M+&WovLbB_(yW%=lIoi2T*U%gjp`^5J-`eT^gH8x1K%g7rmg;M5ix;&L6v&Fa=3y@zKvs_qKYn)pnS9*H;uy&HdJeuKv<<8=OHF-nhErjd8CoKkZXF_Gr0^nx7!E zSpKEb9-fg(&(oJ_aaFjTLrTS`|0~740O9U1QC(neGKjE!({$-CT zAQv$vY+vJ0bh$S#@26>r3{bvFUP|j4HNJ=Y6bGc0RI+pA~Jt*Wu)*G+TCE$_1h&%`GDtVrJR4+hBleU$?M7{roK!GIPggVM6iPE z>8O4TcG$Xx-&YP}y&ncNl#O``8;j*odEO{9p`#PINIatsVilKuj+tjppZy4Hi`9u$7>S}p?Q$04q>#s zOC>|>qsXuNft+WOjt4D)5|5L&M)m6#bgA7%=AeD}N{caCO&RWDhIaV)uH>qE5o8z& zBggdZOCB|8G$|_RI);WzANugCUb_#$)o7COii{|wPmitbI2j}IzSCwi2^-kgIDC9O zTa8Qd(r!EaW08oUU7a#Nd6{|E)+sWHz{<;6LWv=%{_VxMQI6?LQ&?%C$x?qtZ`=X< zPU$696Yi9U_>+v~Q>^811+OD}KK}F! z6RTW}+AMk8zV7v*u~^KPv<$a6tW!qtLA?KfVEt+t9dlhTQCr5~CU1@>zgIP^U5uP{ zgnO!%7MDWOuIX!L4xIkQq|KKv7mYSPUM5#YQ*z8{qW20yR{LLFR($4@Kh^lDqq}%V zZYS9#Cw}YXnMV%=HERUzWbxcMnqHhKooi*1Yn5e>4uvQ=W(b}mRjpnH> z7T7C{urYp6i>GI9JL_gZqW($eck>s+kPb<(bOVkEuqTzpx{`ibpgDM6s&}Vtl#_abIpb6Vvb+N88tqXM+ag z>bI+URcRx4O})+o>MJFkZgoHSq*u8(yEka4gfwovW@Xja^#3q+jxnA@|DJB!wx(^{ zwr$(?v~An?^|Wov z0Iitzq2bv!zw00gvp-0ab=(X$D<&v}N*sSMMnSi61T{;Z+y>nQ*Vc^YLVVKcw6A*X zgn^^kHNiO9JTMv1+%0!jXg%#qZ)lEbn%yd=FS%p)n(3N>)wy@sRel@p&=)q>?`JTK zpUtvPOR2HXQvKFN;qC0Y$!NzrHB&&Hp1DPE2}y9E@LJB>i(-*zGUDT-EbdtM-7F@{ ze)cGr!yO>`YMbPV&GL#Kd@4Vy!8T}pQ4%&V1KFHW)TB=w+I%LS zCia=^01v!O;q^~PNGOoI_qis>={_ZW>p;@ygnz8<7{3bP4APR1y-**gCgg4b*{rwp zo-=N4*bL_23$-ZLy8B~2NoIS=;jTqt`KRl?pC-h7myZsbGxV1u36emYbXra{$a2jz zOil! zztx5UEIL!dUQ1leb|>a5ol>9^GGMWpd@M%hU=ffU*HEnXF(h{L~F>rF9$n?59Q|QCKWFcv1?d;9+22OPIX&H7dtS4 zRpntDZeXbSMMxSWTGH)4z9R!#4AsM^0}%PP@}YXDD{hG3z2iO6HMk)zF8!xNk58M4 z=0!6lQ>^_ya;t|%bQNXxAjpm-{N`ZuaD2l#)pxRb^9g-L*S#AVw8h=ia?{U-+U{l<=!TF6iRcxb5l5NH9~!4J87%JJ;qY)3d7rb(okTy*U7Wk}{2 z{8)j7R$TuErL5vf&ywf`s>LwCu{5*6UFFP3?NK{U&>DAc5RF!Ig9^l2}UN zqS_g2L!xoeLHDps%B=KYj-2Z*y=7z+!o+MBbpmA^92#R~f?h3paHD{Ncpx}j8XQA!HSw8wc z#y6DOQp5rWhUk>#>FY$JY{xjvO^qQtNp;_?l;PF@`InCb&O|FjBSrF!KrBALUemm% z2PUauA?$g|9JbdO7jfw$qpJFabMUC9`0vpkhf8y=_f_*2oDgjT3I`9=3dWyX&3(k0}yfXW(+=drxb2t?{I z7%}9fzhQN3>kqZqe$3-*(E$^7;YmCs8RQ%#v;O)1Y&K9sNdt2M1(-IO&iKC**HB{N z>InZ1;5e(Kd@RtVVa#8=a~9|d^OwefDaP=QGZVUQnxvP=Kx4H|RvP5ZY6Dk`Ysku{ zOK=rF)47R4`gdBm>q`6y_H!dE)tCX(d0cb$4X!8DJieaKY`{I&1daD<;}X%M)ermw zHHd=zoZG9G^B01#w@2`tLL`fYrT=(p+WN2QVSJKf?nUZ+p5$|40EVof*R@x7(G+L5fffww6SjqVq5@V}~aU@154)m;FMpv0LBo-Yh2^cy!<9)>!E*K6zP0wnug)jiO2caqw9i>2 ztw@of#`*l(1uaF=R(Ifh@kBnMzAw8b*EQh_0-n(yLWe{`vhS=%G?8-7~q>_{3o5J%BNHv&FeHudSOCCa*>`PUw z_A9l7WDBpKF9|Lz@porEOg@FYO((l$>f1seti-Pz__rgr1{ zZ{N<7Xf*DgR^XFW8aFI!XN+-5r31%h3EVqCv=6C91{Y^G#K~Gk@4^R{;o<9MF!$Wf z7@1M!_IwNyturr|j!L!}KLt}@{7t=Yj~wI|1|GAIS*#)wClhU&M4(u!X+e`nS3qoJ zOsGdE&U1gSx3@gmYw`5PVM-^`y4yM=B7FtrZ)3!>@&KmAWVaP2n^-7E+wwK87_*9E zRBK0z4CJnl^q)FsEUv`~n`%;P!w>nR&rp!~${fBz$@!^;(%|r%)yGe1Skz=%71Ryt zjHyh*;}RDoY=?&~5Q)6PDrx(#=(|D|`R+d!o6Y2m*);)MZg#Z$lZC`d7!Ba(bhXh{9CL8%Ym9J= zyWlQ6w+4g^`mB_eA#&cFm>k)z1LvYNg7+M4v0FIQTy9N6WtW!H!H!@?HIx#5jk1j# z@fw}8Nvur4U7X&1x(Um|GM!$$uY%z~o%4iwP9K*RKQdqit=EeM{B>`W#}IgQx}-Fp zUT*TAd^fZB==Q0|-bupb#xdam zW;6#9tfZW_H_OkyhV%A7N5)*!e6GZBT(oyHB=`5W42>`d9z5Q8CTG^QBG>+Jw%47j zTbAaEuw7)T)$^#39QT#O2#3j{{#unRKX=l^UgcYug4bgMc76k{{=^f1&MMS~1Wtws zhX(`H*Y@8{e*-Cz>?{-3_Ws@9z3Z^ov*&={!8Tu8=j#;ZNQ{t_(OsTEQ3)I?I1%%o z;SNO?{vtV(4a2y4$$mGIk(kO}4ID%3qYnIJg6qZ31wLkG$^Y4+>J?4Z(58SQH>H-` z<9$mGMZFOBP*Cj;Pa8WkWwsUGmrk)n=BCQd{*f;Kl|QJR!I!7Wk_h+4^}_d`)d45d zvF5lIKU9zIz@uIxLwsO z?wfcZ{~_?Mlq1^l>2aI9r4YllA7EmaX(}e25{^otD8hpitu&s?X0C_l9I}>{PTwq; z3Cu1;&ihy8E+q>NMpYaxEQfH$X8bNG)bRsSkgOR~Zde>BXA1nKh(6YOb7~itxKs$c{iL03wrP3Dw(($Oh{&WrPxv}@?eaH!QJAajfp@xuA?g5i4vt$IJ80}Kg;<_;~K)$MUv zC*0|k);2Xjg#TqaGSl?*5Oits;=~zlBzT`Wo@eJW6m3e%ky#~=brVXUKHjPZ%w_KRoO=W^Exe0p!-{EfoY+j`WgACo@iU^6Rc2FIhGD9vs(|q;CQDk8j`~V zmcVKw*K~7KKQ=(v3USq|PTG{GU30HgOilP9vb4?vyxj4(mMy@-_3hdVVf0wc+C%z3 zlQM_yYkXGS&y2G8ee`(7MG=GA>z4C&3o4bTB-dB!BWT0@opX?kUnAD#CdsQ$>C7DG z`xASW{s@VPS1z3q=)K4nQ9`dDp19jcJ?NM+Y50Z;r*z5#X}S4gI2WQlBeciHnE;4D zP8JbMl|)cLr|VqvIjrcL4-rYMuPD{C`&GEabfkK^0ap65Ze>xLjzX)kS&nP%Jvn5f_q{O_9!^_MLyU#I4Q4)Sz5&!tnti_BA@Sj%?D-IuT;bV)Xv)A!1TBN?F zWCC|(l?3M2t*O4}lozrk)CDcjKW!T(1&I#9BT39ybEQm&u??H8xGHTv_DKQTS1@X9 zL+r_}=?eXV$TV_zo|zM>E3FGRsGF;Uc{Gk~p3M#YRWKMb6CAfjDJ_T$hR8;BP5;7c zjd2^HYz}Z1x1Jip62Wj(f#N|GS&AbHROd>t(BZ^v_89DZLa6`^|a^F!P3rAu^s%hhs2%{mP?dk0|jHu>#A**{!<5fi?#nx=xKOxzLcY1A;# zrkLpHubi5>3L0Bu6uG@l&|@#HOb=;@aCCE-R!MU_siyt4ng-4Kn$)u-f8^!fGY*UN zIm~Q0FmkV9oQ(TybZ6i)r$5pE#kM@q`3mG+9DS$C)?9cD+F=mkkrSyaIu1`L4Z0c( za+AVteK|Om*k+0qBiaLyp-C-tczWfVj@7Xp#S8M8O6M@VFw8ILXoFa1ocrB|S!nGlq8PS>OMVAc%(R|5gldXM>g|t3bV|ys`Tz%W>H=<0%T~LM-C+JN#ZUBKE36Cx-f*2fO zA={K&ahUeP$t!9F$na(mep-hM-^&wl&3la54j7HSYRg59(Jr3Y>=zt?I~fqmJ76^@ z@kCuTEC65(c#qr^YweMG?b$^G7!xwB)_eACF20h%oZy+rb)~-w@~0_R*8ev3AlAR> z_*$p)zoU)sKbS=)z?V>XeCLOIy^plf=)1Q^J`C7Wy}H#-z#_x{_SWhUm3CfM*{>7^ z?C6GFxHpHgh)kkM=8{;ADV)WS#cL!`7DxAYf3sg=ecW$8og=>|s|OvBOl%2mOPpr% zml38vcsVW+?iktnJ%9{@EKNcV|82_)ty7~#=#^Z>qMpz!@GqN*@)diCKi*-`AjFLZ7Nz`-2rSxT0)KF}cVN~c3_ zJmQyd#M^6dZpdiuCebG)SqSO;ctC3q+LUW0gvx47;2OQquSqA@rP;Lct9=@8nNVmm zhXuk80;@uPop%7Rr#g(EmGu&K2(h<>!Mr3a&l7u$%%hSqKav;oMJYp@LlB5$Bx7AD|9k=FLks{Upa5-doxtD%$RVHJs1{Cb@u+z0Bp47Qh);2Wz%zkisQJ#VP z&TU!4=L(xo0w7zCZV2trcyt%PhzmO;*2wYq0(!Zq#yVh+OW#iOhAf;WwlHwN#J7M0 zKV8uW98i!~Jh&3;B%0Ak5O`nJ4cY@+Ro_7H8yERd0+?Wx=uLM#(TWI3t?BO?VBV=9 zV@D($kzyyRt?9{?Mc)B8tdd_e&iG#p)~fd4eKpU(0siE2(P#P1Lv!|=rSW5Z#U-7n z#_?vxw9H)PXd0p1IrV^V1p&*v5WLNPHPe0B&?tGUnias4UVi(X$^Z^C8X7r3GI}ZK zDSFM5=qAhy?Ja`{0BleU^nA}iwmYhckMbQCI;2_?nfNX?>NV`a6<(qI*0RsMQwxF3 z$GjUVqSd?W?oWcGSQLq4OB<8@k)a__9&gZPC>kA56@cLo^dh-Eg27b9=L9{~-qg<} z662^1dkT$8V@nB)vNxbo`}pU0T7slVF7r~Dj@Q>k5qGvC_fQb_xwTHjgLgE=9{`u> zqNRb3l0Pq~ql~~-?y@i(Ya&ckqQG3UYNS_R5oE}*4@-wH#%Gl!1?sq zysOw!VoOK^{SPezg_akjY!rPNon>mH7yO2f1^S+6a}_AzNk*0Vo*ORPqv7`9llBiJ zEsw{IOOkVovLC94UAoXtb}NhME^Y}gv0zp6WJhBe=Tr$XSJ@#1%cEUaZpW6m_Dd-; zUoDsC{pLThEbk67yXcASm1Tv|=Q$X5>o9Q{a~4>-!L#$gemON1F)UN373)8;Fd9&P z?AmO$dv)jc>J$CQlYTpKkfY&EP%6uVq7-C_A&-w*kMVbp*oYyY1*3I+1{N@jUFXH* zmCVm;)Ldp)uZ$9ZDZ|>R&^QcAmd#4eeH7U<=ULWITZO)<}MNtT{j9!ZM zajbFQZ-9^i)oDZoh0NdE_u)Pia-k%jvm7Pu3KbBhbUCD`QSL1FHIxod09|SBXdQ-_ zCWRFcebje(8jUSHJ}Fp|!u}p|cAwP^qRpznt9ZC)xA??`XvFjJ#dIWhKVlwBk&#su zI+ZQwfrdC>^s^zkQlo)qterwhE@_d@jU@uF}I{_8NBV%C(KxC%v!P2*%mrTPi)kjZNk5X_i(60EFlE)m=Rg#+A zkfiHAk8t^pY)jsx__c%ikwwe2yi-4CLoT-A=Na%9|&2@VdSd}_T4{AMzws;1lva0b0waqzx6q%E!S-^J*}zsHqziPZyr|O+2qztlb|8`MvTr&lW4gg0 z=d?aPcjCPhLAtcHwsY=lc~BiL8c)c_Z@YFWd0Z4+SdJE4oGg~s5y!V=_@(CE5jL8^ zkdDGN;hpM$6DO}opC1@Ci4D#Gw?!q2gnWTWAy`iAq71V`b8DZQoRDI+&?|TgM+@1! zjC3t^@2;67a%Ma~Z`nYs;c&RE)4On=a-?Kt9p6Yg%yz~0`2<;47GoIe zhN%eLi*oGcwa+mcsLv^TKJIbc=z|j+G0$PKa?sBES8r!((0V$U;Yq@z=W4;tDmqeT z;-l90wm)5Tgzryv#a&Q(Q0P$oZ*Z8B=#%u#SF+{%@h>+sleyvd>!A{`y!ju!OmBihhJ|x2vviR0@az;7@J2ea ze__byk|CzjV!EGqSxfH(a8)!M-*g_SuRK}Az!-ecqA9EnS8=At9I{FgGy^71A;IO* zsyT4}|EMtRETHs@ol0zQAxR~}-}~BlR9>^uq-a%-=gFuY^iwva@t7`m%jFh=_)pg- z)}^Qjc(K%~i88pjNk$4TuUWL1xX;MGd;7iohmka`XCpI*-Oc6Z_?6|wy@I?c!HyGYF&Lv&>ECF#EzosrnFF`sy$y(+fx%m* z6+$}J*YYZG!CB1kEHTGZ;iTl3FkN*o)TwlM=M$Qey?sSL)`OI?-w*9Xm3xf^SOl^6 z%FR+IpA1)ETacPjPssDlEEfd)lwsp=QjU~7Oce|=F+xF&8vc9~``ga)wUsaj&IU-} z3~?sNs}U`pmcyD?@%*(Z1OS|0Z}>thvbICn)^bst2xzZ3|`{q zu^FC`QE>7?#dxCaFeef%^hI$5=P(s#g#lv=T8**4IIg$YGx@^1L~Uxr?Q_iN zRh%{z#576HxirF4#J-YKt#|(MA6BGU1c`edtOwdwrY%j$`}R=IBlceRntuXJ$cQA$A!E!H|Q9xVR1WF;RWhU>IzZ zDh7{IuzY#Oi@VCw?r?i3PUwYuv!_)ivdFm2u`X2rma>N1hCxFiG+@f+%(%Q-kfOM; z5{1a*Ygvh>=-6Prs~=M+?d6AZLoAPnXqI7>gR*;nv1SsQOAZVZ44FvP*j)4H96R32 z3Q9V_s>tdMNd!m?0V=#FJ`@G5g#VJmCpK6Q=fMDmD^c%R5Ld8I^kwUxY^;s)fv2^H zu`uVUOe`^+Efi${5=X=#!t7vSd6l^p7yz}+8Nmr}2Oyyx2U~7Br)bpic?9)BcOABJ z(?fellnvd1+#zVL&Lt%V!Oei1T%QNO~TbdYP71u;T9)_ls}4M;vY3F63Xa}K1N zuXsN42($en;UuCqKww7UkJC3yrLDRxG5q&tB5g2y9H7A&>?GndrSZo_CAtz#BhBml znA5a&Q@H3SdQPb9MI(P#_i9M@a_8JLKyRy_zjHLjgFF?8?uSH#UZ2CKsEamf#2S1H5v*kIUEqzW;ILbkN(>IYk*-j(jw)*Il4(0kQ z%hOp4?;-iA`i3n^6Gt%ssRUUQF(3E1chCtKR{GfEjzQ)0S z$&1N+>)fkVa);E2LG_U0!T#D092YsTmq`(rTqr~u^# zLn>v1wwtD7rPnR#yPE&oZ#J`$z{X>OLcR*?Uu~UD4>DR3O z0gYgzPxR43qW6aTsv3VtY% zjRd~?akJ5EDF=H)m@$b+c{Ek`EVq`1k&pu>>NIjdro|C4VnJh=ewP*p*NmfU4;fWw z6GTJ(6=6{i*e~2f8r6$0)#ixakb^t;Rsv2boh{RK0bB%&sSo#6H)M(#RH+E7Wcj`$ zDr1ljZ$($iR@!3F;54Z;UcE%l4mG?vM{62cww-!Mb~~&{NnNBkjGFpcszQ>)ys!nv z5<6J~HKrNqv3N~2gg4~nI{%n|+i#Vejj52h9$%cXQnLv?EynQ; zy&5XDcinOP7~)Hr3-egc6h-I&AS`Kdu;-OmUGaBejBWsKouKaI4Y9FZpGAng<4kBO z3`WdXXD(!5!VUaGxW|1jMKeu*Q#I5)`jA=suY~V)Y;dmW)}bV|rh*eOMU&{EmLrNi zrRJng&h!LU`xuFv6x+Hi$`z-j8lBqXR^{lWBUf0yi*yZ?a=Ld%GwL?zKF?C;`E^X+f!AKyj z=kXl`(pY1=k@U_@B}VT_53-h`h@GslTv$Vs^R#F!U8N@NBN0V~4WB@%taBIuTVi5z zK}#^1hqCJmKhXk7?sQ0&#>+J9G;5U6E0lZ2OwaP#Mr_n>)GItUvr-r?ZNM-$Nov%~ zEX{){Y3a?f@mt|eR8GRh{c#)zHg_wQ2{hJE{oiH6Qp>|d9v&d>Odgl%^qx0|GJGc< zR`QTF@YMu7@vu#LN8U4DyxV?)l-3yR8(y0MZU|ZSh5$=8X_?x#L`lc1*hU@Bt({$I ziZV$iSD~%Z;TaylWV2~A?|<`dahjv)OTGRJkBQt=3J3&O9eBt@c-P;GLC<5f z-NkCd`eWHQ;OaF7AfPq6#;(biiFBiQq;BAEx8NB0?e#0aEO^OA@`|tqORV2(8GuTO z4b9TROG;BZJnM>QvoU!^dE{qWxR_x6D$Yyzn`973@jZ{`@?UU@VszpGi=TgtXnNZ= zxrRm+b*q@)(8&$9#07?VFb#R)@OROT!`+?$|6!T$a4M(^b`3E^GRm{TKTebtJ>8+u z#W7y42xfGUTAT=^$k`f=6Po(a2yzq(wsX9wc^Hgy^J~!nU+JI>`^IEB!0LxU)fdhfa!)_%OJ|}JA=N#j=gHA-Pi%^ zSVPA~o-+La>~S%yA#dcU^HqB^qxu>1i%UF^T&3lH9x*9}%ecf|`KRJjkNVO%Wh#Bu zxhKA8T3;zc*RcHLjdp7zKG(fNWVHr|)n1i{hS+@plR)6_7p3vMkSqL^Ep=v7}{u`Oee`Pzgo5ot!-O8b2H+Y zw40w0Hv6?-S=J24e;j1``K6J0k{D1~Uc=21^Dj25SZz23rPu1_uU521hezD+f~sX9gDrR|Yo* zcLomzPpbb~`;R=??1#zvc@xV2&6COcKO?$-5D!-7pB4NU@bLpBvM~MM)_*5Feh4X! z|L+(WS8&x7n@##6NvaAEWdL|tPEHLO2=4d< zIQSJxkO-7>1N$jG3&<4AMWR0us{Z?k=o%roh>07V66c^iQWVIVU58}P>^?p?wH`|_`m)aXM1 z1vy4+4L16M`cPCq49K2eh!H4I zurGk|`0w{M>vwxVZ~ynonc&`UHpnlEV(I||Xv2mNh`{#l-ayZl_b~hy4`@2l zuqnoXOTw${VDNtS48+54A5j1BkKKrGn#u3z_wVh5r0~Xj2bN8T_iv-Hj=o&KFXukT zb#;9vjtD9PG5rqm&S z&LzDJmh@T#R_wt^^tmQbzSL4cqJl$wr^7e8v&&~#fQM$T5u&1nt_wX}?|mg1WzfBQ z5JvqdT`z0h4pGrS3a+jc2|?h9f9mhQko_0cbW`sfd%*exU?{QBz>FE6z&s^9{k2T6 z(7;{Jy{f%rMn(Y|_pJ}LBqt|xM0P`ic=_SoT-E8N zPy716DFPewy{rdDKWm0i5Vwmtjhd6H^0ONY^h;TH;guR(Z5NYVc&6VOeGXe!1b6@a za-5Q@ZL(5u$mMi#^R=TMz77d<3VR__FLdg_%hhc6K}%=Z|s7U^xc;?TtW5FgL#JxfA*qnhF67NLn&(kpt5 z`OyT$nYKIB{Z4GkZu!NoIn84FN#g>RrK;4GFD?YsI%8QM@lV3P{X`xkGryU+{e#bM zS{Q;)I>`UEXi4KeoicJ4!_1G~O%TE?=N8sIEXwpyfk}L0^krR| zmg*F|xhE?YFQb4TxPte@$*= z&kU5D*Q*q6JmF^MoQiA879Nwu6-Zu`)Qus8l^Ma0V8Lr%bxW4$xa>*MZG$NFaq2qa zIbt zg3#)r0~VW{KUOew83@%e-mo=^a(Vh05kbKbmdi84&qW{_y9(f1IPdGy?SUAJHkl4P zZM+5SpH8}MUhLbJ^Qqn-c=$hmtGKcFa@0aE{@~Z@#Z76XI!U^A9>04@=Wj8WTPfL3 z)^TocOe87;-Bir|3JEil6?hgCrxb3t#-ulNh-Oc12Y$ki_(%m>Ecsi)HVn3G)l^M+N}xH|Yv3Lj?j-ED6*Kw~|K9EH%k zGLO4Fppg|IGT3n&9w%k+drhIIG3pnvoWEP)ue5DXBf8}9%Yl$#Z|U1p%X#}Irj{_| zmM6W0z)vK3sXTuJIocDNUQCv8$c=kt5>?ZUk&!10lDXof0tc@HhCEq~z#4lCn`Fin zzgy-zZv=IpJC;?vS?fam+i4iL#k_4JO@?{|sxOSi0{V^W?sbs~h^cX2%VdTY9=bl( zsoK#~B**C!oZi2U85rFWCI$p&B=2qzqn_)y&#;7Yao+qG(*cP) zjywd~XOBwWe^)g8Z<5H6vg_8TOIB!ef-1H{eyYf0na<9EoKTmFD z@ic;C*|B-$^m`%cR(#Ho=nlFjTbq_n(i$!Ah(et=fRCTU_i47Bbam7B*f!;y%Q}KS zGC4js-9mMgyagxcegSJ+K3A^6c63lmC9X?LY6qVBOaAKfro7@EoE1I)L*OUy{`TUh zL+a%U(kF4gTDVBV*Z9ws-4pveNOZe+6Dg$Iv6ZAwOmW0o6(MW9KAz>sBIARcm?tHs z^ZE#-#aT&|twbc4TYIif54y{Z37NdPx#Fipnca>(-dAREVq@$J{|uRal2e_fIW3 z4YNO+fehmsc{;ayN6KY`=$3~-&?(#K1hb>9J+#xKGO3+buHC56ORVq}S=+bvgBW~@BN8`3@JT z-iL+^)qp9zIe9NRn!PLpvR&198W=3;7(Hs#W|yL}`rXkf!pY;fQoRe1hC}#{hprzq zlE?V6t_BX)=(Wx9uzCTH`W7fbFYyf))2-Olxp-fgR|>^dqQwBIK6E!wcR@$%#CCE_fjztmIk4<~HJs}~Ax&#~OD7=>R8z{Y~XWGQNtI4vyB zW+l5$k+=s*dxo3oG+m9e~lE^e8EnyuM5I3~<_ia>&xmM)inQ*&#j_5h$?vvkO z#$VHUq~#&1_F18(AmMP?eEK|pL*{p)v4Jrhr!K`41)LqD?}z@ASzytA8hE-&>ecm6 zk4Fj-3wMulfFEa+HycnS!e?N;f0o1rVz75ks)p?G48%$vAtC$m{fo!V+jc9ZRE|?w zmEAx&76XM@*J#yw1t*i$Lz;Q}DyxCHWh?4?qX0aG|Ejfz z%$iQbk=b^&)&kY0HIB-JL{%Y`Md31vKu>1U@vaK#Jbhxqk$KN8Z=#zaRZXzy=7;{U zR%OngkJ#pfzBdVdClVU?u=V6ia0xPR=2=tE!@Ex!`;-xA^iYWK8+Z)d5G##`j}nAJ zYyLL7`b683Ws1&`B5t;+a!9U@j9)PZnn@Lwqxeaa<;FQb!K6P{HxVO)x8kfiqsf9r<_s_?c0Nv zE7_-v5)-3jPmi+flXuhCJGBnS*P~_oq{nl9gZESsj@&lZ-C53e>X}H#tx?;V(Ruzx z(DbaZQcHy3bfpZcGUl8+4;Vk`L26@E8$)IhZ(qtmbT4r12Xka-gnAzXh3y4a>NTgr z5YO~#ru{$4nWumqJ@zm<=|>*C$7na#cm@`OvZ781I)Q^3Wc&-}D3$;&03@`%abYSh zJ(PAWWzMv%s~Zq)^@_!e-=n?gxj>3TNS z`=kSVG*0Q$c1h+TW%RRi7oQlv>2L0)1o2dY@TqytYoJUB!)z-AJ$E4ER+)PoR0D)v z>(kGzIPj2oe?BiAEDs8Yd-z}kk#m3xFW5!-Qs9m`+dBQYjP+|(+;%m<%TL|Z*bM*E z&zv&q5>mZ~UZ6W!3!K>Bd@20mQaJGB)x?oz-ly1=mVa0YAY`##(GTYz2T-%ccA%ig zzL40tw2AHlH@ZJa4nA$X*kD0v*vnNdA>WNDi`}=62$kT};dF=LJm*TxMfHK~Bs)&c zaL`NQbeRdWR_WzRSC?k98x6yWzo=*nIkv~-=kS=x?#E1Ps5op>44_I|;2UUtS2A#v zTThmZ*utZrb&dfHDw>Ah+Id`I<-#8N5V@lIp573o5pCL1>kn^*7V(ai=5Y96Xf z*H|BMC#kI(Jo0FuO3*_vT-VV*D6f+zI{W+1A?f>Tp;MFlhb*&@R)212I3CPEM?PWk zNQX8&r(I>7cRywe&?$6eBVV)PVAV;(+EMZNT9GQhp7IdRu0w_U+kL{3kR+`BM)G4c zh%HcF>lcXW{)?Jtvgb4L&xYu2>^5^manWMc2jj#Wc2=AUHkFN^_aG7-+l5Ky)!Gf4 zYV%_DveVO($Ee@kKz9qb@lHXPz1y4?C&^I+Nh_uK~-muneQFfp<=K z?+w{%M)se){h|t5PUNiNw^4dwgSF8DcIrOj64@HYGi=iT^1~#iV z4x>B=XwBOu09{RvWrwyHK}$UbJ=n-iO*xAoPo{e)wm;q$$@+vXWr#Q!xXM@=xQLZ0 zzKzq~r9KYNG9mCgaN&WeDnz>y5AL5JRRj-|b4p}YA@dZ_?1;zGD0m$7y?z5MuCC^s zIX-y_s8q-f@~UO@b?Ynz-kv8}iCzsxO!9()%~Ei~%YjfLqfEbjJ*3{H`u^8-2+wPK-ObE-87rlGe^mV2L!Hk$yam3l~48bw|Ad+zCS z=CkS7Ct{XKzP5^|@Nl*YC+JPCed5c~YmJRZ?=S!7oqOyT)S;^>+bkWcDQOF>ZdPwW z5&Q&bBle4~iVx{nBPxY^?{}$>k!qA8=cuE*Yl5oU-F8(yU7glZcDs_j^*b!em9A?k z8w`!7(k1&0v2$Z5M%nhgB}iBua~mb?z5PDQ0?u@pkSZ6Nbs5Y8L3n>p=8A0p9)9wJ z^$pC)iwQho7|6N7?-Z$x!Tx&hdw#dRxDwlXgQftn`o_0oEku z@-`rm%sRlmy+re{;^nK3=Qq^tQlpKz1ZFZ?G3$<9nl9~lvGYN7j2TE~2ZsR~%wY4P z&L)K1#7Vl!JgtA5(8^6@Q5uFyh*J1wY&!8E_#_;8p(-?DLgz1zsBVAFOW>Gg=1H4_ zLyUtVaBC3o#7)$zx2Rchdne;%=WZg<^7sgTIvEYduZo8pCKBZ@Yf*@vwp&ALP=n() z`v6JDvriL;CJSSIbOpHfTqDqXJ7Jk?dx3Pis<3e6c$1maO!nZPGy5*Fc)Dl$A4@*^ ziYy&-uEo#pu8L?*bP2F9Ww49at?S^mO_Z4Eb~m)L_7T15yz4UCHJDuDuNO^h^#qw( z31i+IaNe2Iwmix-y<>~&m$683R0lX1x)Szy8veFED^yH-q$&`?6<#n?<5rc?f5XQ)sRQ)nb3H*Y{;u3>n?jUF>LpFvdFJlo#&aamU zC*~A0L9GGhD!hfNN8q5hy@NlV=*#)Uud22m5i$r6PqLFN`Ii!TpW?DD;VFYd%m<;I z0566IN(-Fk4FbI&}L+v6qTxy+=9>=Pd=D zO{jSPbp+SIsrY<4h|FihW;Fp96*^gh%YbGrbCw0i+mfnfiWfHZP~QfL$|Az^POX(m z2wV<|KmG}tHsr_;m9KdjCt+`v9g|J`gv@k#8p|>#0m2_V^0H<-d#o&nh9H}{o&GI1 zWs{^oe)!B5)OlBXZ8x2)C`+nu;OZ+k@IA=(aRw!|)jkfXiKfm`Ct=lqCIjtvTFG{D z1%>Nc7V{gOi4Fobv3^btbV!*1MB=SrE%Z%`tE0dTpKEi&w58_qwa$Ug_dhVd%6qKw zE7-^Q1Q^{HytLDjE&@0U3(3y4(Y#LD<0>ozgu_nYes;S5C>UPrXE+-o%yL#5+L z#2J~!_0 z)0ug{TIQ*dW6+i{5=Bx+GkknWib1l>&;KMPhZB#$_QFb=^-B3QAnXHC zer@!}WO~IHG$e$DntAfYp0d&|Q+=iUmA<9T`6Qk%;g5EEAUL?ROmyl#M~`QC4n7XZ zV?s;>3G+5Zn5jLOldIb9HKj%An9@Rbdq;PMG3lgsTlshQNBAbG)dro!?Bimb;?w9e z&KTI!8Da!^KF&EZk_JYX{7yh09pSl zT{lF@T$=&?I&R$HUEM?2QW~o^9MorTlgsTR^?RD?Pvi=l&gz9!AnG56e~EQ z;y)bywArQp3b6&LM5i9G@wj=Ymmh$)A{ zb4t^4pb2FfJ8MNgQK7a}!J&&;g~mwBgeSw%RSK8O>yNDknE=lx(J2S1g3nl1*5NnA zGl>$8`W^vp<^>ONGyNuM?ui?5_`q2vg~aW!bTj9kT>gpYF@V;w8b7?ssqL~Z914|< zyY+Z~?5Je9_r2#C^Z4D}x>ltKzs>C-b7tNsu8UEBtt&=YSrbMb=5mp27=qt)C^M*z z&8;>IE!pl8$I0SJE$wjaCg|oWuwaFrB>`+)4HRVisfS2<&lv65E|GsrXPy+&K86zoLmp7_(?LdNc#EO zR?pH{uR=_rVOGYd8O9+8?+JSUjcRD(U>b129qzWX6`CwOh^A-dp))rxtD~0Kc*~&* z(TkE-D_zrl&fw>jIR5M!@d<`}rm^rnv%^{u)mhOYfA9z;$NNnwIK9Nwok(2*R-X~7 zbcH12-$1(|`5Ssqig3gZ!=9SeRdY0+ zGrdCaksHEh_gv@gI_WDUsm*YI2HN3NksHUtcY8lr9H7zk0uy^)wTTbkwB&=@BzTe` zDSx;x5E94e(>*TP&&l;xZ7!0FS)G*c;z?=LNbTz~8^HN|ZztCj2tO@VORazIHiQd-(HuP{Mm-Lb;+ob~uW<1!JAiXxCzv$~6$^NM&#sZw zxug5Kf*K~zsKAkNtiGyL3OgjrNXx0&X_X6<-< z--msIVNybCw$_vJZ*bYa=2XU0L{MAB7^VhiS8bm`SvuNO=^e<2xYJwACUr8!9c=zA z^Ye^k@8-w#YG@3o+aCga-YjZStuw>ig;+b0Jd4$i%>~y1 zx`oPssySHf?|&s3h{Oj?;`dWuoPPx@<74*0???{N4eY1}Ta6&~xW0B)rhB(~U*PWI zaL2DT>jo#Ze9sXhRCzSjwN&0Z^^G}$mciL9!dCZQj_6*`y+=jp=;A2a)syKEjK$W1 zyuK&V8q25LJ5mB}F z3buD~&i;~OZC2?2KEIVkapd&1{xJB-dTvziF9`s32CvXNQw|D$6J`r3M#$1;yZwTD z$l?5NMCvU6;eRIJ;en$6f5X3`LMl?qg8vQwa{TY<@4uGDf2Y6yEPwxh(cgcM`ajTL zA$pnr1aSW=ul-K|_kT@{{0~RpKR4z71i1e+;r}r7f4}y>0$dI@7S{i3fZOyB;Od~W z(GbxR=>Yu+TAHK$2XKL582;707+4_0B_oo=#ifWP-NJwtbQZR0@AGFL`Ru-aHFsN0 ztG#A=T(g|AeXa+^E6WE7O@Ld0CI=0~;cR8|AOu8ZGO-~5fIK(@1n{gyMRg#MpnkAN zOqalkwF&Ig#{59W2>J+)c*&rJc1Fwl4FF8kDEu?g`)COUXeod|fZYB6;XTj+L?Qr< zIP4*?yb(zG(7`^swJ0WgaygKp89a~P>E09oG%Hnr*19@^&s=B!Bw`G}da!-~OM)Wa zwR>MND+H80;MP$8_?%yrK#?iDaA&0b14lQvQxMJ$`vFAPgQ_b4H;4tiJXlvi;;lY5 z|J`7~3j(n_y(x}*Ou&v8gw$Sp+&0<;++YCU8$gO7EEuQuS^JQ6e?^ zkj8ghh`^4%+eblL0tggi7yP@^BLe@fBs2hLxjQ>gx)q4O$O|Ehpg`X;@t1DtpIRwF z>tlVmxcv-8&@Z=-<3b4fm!DRzM<11q^al2BX|KDXv>>KNpP9kYmB^`hFb7vqstMoR zM|cB2?55zNKyLv8d3g-<09P;oUh11p-*mN?=O90aM?bK;%y-YOf!u)Bw-Ww7ge`lY z{ML5pao8CC!fk;*-M@?XyJ&3e?0sN{(IDyp*8~h#ermm8{Vjg$uhU`zJOE^UUe^x5 z{=I&`RZ};|Cct5WyuY|VrM=o05etrv7uJ4^KcnQdu&)5_PIrKSI6Pkh0IzoOkUR9= zznxS3=y&uFe)2L1N4JCDVj`qzv^WG`=wY9epXl(dy(aw+uVZyUKVIN2Z`~>V04aV_ zyC`GuO+CN%zx?ohxkT54h2)oMk}Aar@qbm8}|tu#&S#$@niJN#h!nN9a7ya(qogL>uI z!Gh3?fSs&Rh?n#X+wdV}M_idK`)+17`#R&P40W>j{qJNB%jA8MQxJJEOaU%nr7gYN zWv1nU?zlJr2&0lKV$D!8x-zl`!5Pcn$qQN{i1_0!`hI0>nU)17ShJ7n7C4F7l8~_8 z9Y9fs=&ROmE6r{{6^_|w-^&9Ic!`S6v+AFgtqZF=i2|jA^la`|Cr+=#yLHTXlnRn2 zA>-dcG~habOB>d7lTC?Y*S88`<6R5-IVVZj#kXCQ39*W)s$mY^~W}jlCq}nTwWwIrPz3=rr(n zrF_|urqVi@g2k=oSJf;0UBz)FOY_}h1+SXkb9{_jz0#jP=IQ;|nuZ_YwS`~=6Zq*- zP|+p@+3GL9_FZ>@q)cBcY+a_hvpN-q9~vGL=IHJQrKPv=EWDz`^l{?e`eKN2N_|;e zwHg0{P3(B7H+4tJWF8{ON{-)NxEB_ixS{;e^&IIp9UdWas#Iaw$&V>K4Q{L9lv8ns$3)`h4nW*hXX* z??{R6Win;s)Tt8KLxhSkvr)b7+!W8kn6**JHWi1FQ4f8vEMwpaP$I_TsriVz%yLW@ z_nT@fOHn1zGoL>tE-qHySl+fxWRz&9k}ev#ue~Wc$ZpjdV)6j!Wf3t;N%YHnSb&kA zhFe{rXk!PXGV~jO@QC=?Ui@?S3B4R*(Q=EpY>N9?DukOCCN?JIDsLqRuuzGNDXQXj zLnS2-Sq2_RH3K*cGlhUS^Kw+E@(afL{y!UCR5km5iFH^k2siOSUtdMFot5+xDxYK+ z?in_QwURwTa;RV9$s0Qd^vY2mo#Q;FJA0&NAaGILfAW7k@NCVnZRh8*?H>hx0)@p| zBzeMFsn=iePx)owulR_h(nz3E3jLh3z5??vZ9CaVs#zI~*UB;{mWqyGq^+`|f8(ZD z_wMu75gbcYnlb5gN`mcrHTPFeBd+3o2{n8jo28(=S1pquUptJ~x^Z}JU(Bv3EbuN! zU4J=$6Gvfm*Lnabn%m;#8-8O>JK`y?+sI>OsT9Y*L7bT8!5Z^MmG-_|CZBlxCGpt# z|4O5d)H)r_DEHO#KD5*5^j{L`xkp}!z(01Pru1Zj6E(sIx|xg>^~Gk83?Ij14Q@9;w) zJ*?ye_YT@!&ykvF)H86no0Ga+mL34B4b~Ij;7c&|>9zTwZT`J%FKBTf-_uJ{u-$Qo z6DnEMm$bCEGjlBFjpHv0uRVA!Dv{G|8BtQo#85tC=}Bi6bfM{~eEdM9ybX&H0if-o zDX>yPqX*^BSW1myjPpI|teO3InXi(ag$d5$b>i3mS?pm0lz^46HR|?OX16pbG{rg< zExafs^oxxY^Dssu0}lN#cq`f-CD+6>Y3s;vE42;4OkLZvySmM?Eu{oP^nGzqV|Az@ z39}0#qFvA1K}kE+uYSC5MN4QY0_sAEfX*OjC8T%k_BD*0#s3WNh>C10&qc5K7a&X; zE~|IZ;(QPL>p6@1VgQC z<8AO^sE{D*doL;SBgDRVvHH12_U&&@0liey#A>r)*+ya+(_&g^Pvdaac|Mydg^-KJ zetz1c=1;UwYWBUAA?MTX??!h*@7AboU7(~d*JY^1$SB#c>LfgpTVCQ7pDA*Pk3wWW z;M52OyuDH}Qib6WJweQfTD5F5{eu&2PD$Bd zXG`ed`#3m9Q}R3kUAP<$by6Mx4z?In86Zuo(FcIqN6UE+P6vTzY`KOyNtIn71Ey8h zIVdH`=ypX+57k0`8ZQwlcD;a;pf%B4#Bg1oxCAeY++&?Bfk*x7&SnMBKwu_^D=ldH zE8TKCi6cv{!$kXBnM|a>CP==B;|A{tR7eVH{mALtzVNdi&0!d5hEHp1g^Wry>xXCk z(I_v)8>)&7ckQ4x1J&+`*V%AQD>tu$8ySgL_v!Jh3d3kPcsYs2#3S$Jf2*T=82cKB zjA1|N^|gk*Ev^Z$w#_vns^WR(m7^+q2wyy(KJV2{B=vE0CLvDJ{ul~ z<~t|=Q^}Y>8Nc6iA%M0azL0wg&5QBxZ+kAR{0B@@BVm?K6Dt-tECRpbz!+xCa$lUB zFrtn(=GBvyw(C{8iOz)5Rp?plf#Hq^Cgc=u$RG%41s%4;0W@0VE;&RPOum8Q#KP6E^2-?kv3@^m?@U=YT!5;>&H|ZVWuGG z4VJzubW4daltRZ#vUz%p0>@<9Zy33*Nw!!W!9LlNNO zoxHI<7z&Wqm_kc2#=nXcafa2U90BD1rR&Dix*b!~HVhMHsn+_JoU;x10i?XNWqT}! zc({NqD;I3|joF0XqUlJS`f2nb%O)z)NjTDI!V}i?R+xL>ef#Ljl}jH~<7>6kI2ruX z4Ow@=Ha$fetjaZ0Y(hDKC*~)G%dek$;E3(DHq>;|s% zUUKmTV$$dF$<_EN*#Ar)KLO+j8IzvlUUJ3+Nl+92LEe|Kj2^exGt+Kvl}4+1CkzEb+6Di$(7jA(2nu8){@&D)%0-ldH@ zDIG?)s9mtj$NwYiPO>G6%`lH|i_4xR8{C3j;NM^oGB)anQ;%#WG=8;JYR*{4NDrcR zTpvZk>oTQ_3+CsYrtJtBA{A?gHfk_WQqn#TM9*F^czmeGpQF{bK^>G@oQ!GzRh=$n8Mjv6`XxEz~wA zktHVIuKRJ)wh#jCLf|CjP=V!km6 zRZ#-(W~K9d&eb#yKYt^i)<(9N7B-O0&da-bER8`D`F)`FrTl7sU}Fbn-j@T+z}W{< zm$X>#hn_AhYj1d_Tv~J2WAd%rMuRNK?1wjm`cN~p8RjekI(Oy%BTkZ&6jt4r*CuM#CDG_5B;Hd1H!_HH3&l$}WVJl(@=Jjm=BltKiQEWkNfFq4Sh+P4&%Mi2X416JU$D!T@(<)vOKdKD6*QGRM zrnUpDfRKtw+aU}$z}Gj5#M(?fGVaV^J0KD@Vvz0@6PGpZYy)firk+BO7yiZF)8QQ& zC3%I6AGD-@15S)GlfL3=fLF6>J5Kh}y9$T`jBVdOU)42p;$xou@KA!t;YK_Y-zo*~ z?#WEd^Gl+)GZAke!u6|gVQ_XecxqE2g=AWxXyrRG{?F z;nS+%DIfS8e(cizCjU9}Jd{E+J>zRlLUVHLEO}gT&A6KJuafmuH#I3^nyL-H1m%TeNffSOD|fcHh-z+69_UC) zto>#$bxV{e#E0lL%vw~OFb6P-8Cm8!@4NXbt7;f8%A%M?@A0$sozHGdRIE2*=j6Kv zudZWD>f5R!5Vj${ne30yJBzdrQ{IoH{MTuC*#=QW33p%`qq>Cw{2J8b;MQSPzHeS< ztHTl;NR2Jj@dbuae{h7y6@6Fs2{Yx}03}1PCKX_~4g{acKQJf51=HeN2ct-9;h*xc zRmx3p8NL9-W07uQy}dywN(e*FV3}fo1bxowEETK5e2?%Tg?sy(lMT z(bZz5g0*?gFcHMUokk&R)>?X2X0ENjs&i`1?M|jf7HTNyHC_LS08;Z<2$|Ja35X)Z zFlDg%ahYj~(e$JJWvD#9OSDb}ossShSEGmF;6UT+a>Hu=`8l+S8ECx{u99-e8_3$>`YgsDAS=w(zGZM(84p(3 zi3i_zJF7tPhrv|cy7oM*U;&az!SfWB=W+u#_2N@Bl<2aucb};JD3>oE?pRnI&FKgs zT#<4Om%kO;)Oz(Sq-Yt*G&G72_OM;E%1i%Ic~%R? zC<_V}f%L%b^3}dd{!GB>JzqaP_GxXhmkAAu>xP7M2={^yE%HM6g7T`E#2oW6ATOm01WOh38}9kOfg z`kqv3t%EgAv#?Bq7Z0A-6_oIu8?d^=;%?fkKL@E6>~^S*nZb}oHX~!{ddw`NpuzKX zdG6fRjow_v$PL7eR$bHT^&Eu#R3(KM!k<(J%~a^Wr7pdCc8=EK<(~F>5yYi9pUGHywNNh5#$-ZiPh>G1wOg169V4gI>Hu;2MYEzZ$Q+;E(Gw9-qazJmt|nffpRy zn_S`04)p^zGX( zwdd3kXtL}Jd5&yC{0Nnq3g5y%UlY#R2Pa$JEO5yYK&WCYn3cn3QrHAm8~0dh^DfGJ zvXzgfcf3v+Xm+v5W#B$CEU>qX(XzSX`9Y{ZH!w!9ikxUcQeRpnBip)Es6u{xdC^;g zS5CB^K^&J0Kcg#>%zow@q*VM}=jG#`CwX0GO0F&?-ljt0f^8P<9jcWJ@dxI=;{-C1 z!8KzwM@3(J=N%uoaG@~OG@z?(<+KB-S}&5M`6tu-X@* zw&r)PGqf7os_4&!hw}|S9CHE_EZ}y(83rYD@G#kOu4Rd5qbV%d9wk$!U=IjKh$AI8 zC?w=J9YI0g4WHNpta>+e0 zQ+fvCn)sG+%QC`omKp5iiEf#nbYm|GX~q`h$*C|eW*vk*#f-|Arh8Z1Hk3Fz{ED)( zW_XuEn6m@vL$GB}$>;WVL4E=rTXj5tP!t`yT`}b4OTH`^jP>p-CAOAKA?JhFo9Do` zFXq+PAL?d{OpR(Y7(C=lQ|nn8&e$|`)%Bai&gmb4^=3M#jNQR40~}{o zm5Rz!R)gv_P9VL`0Vz_mfd_ERzNHlz`21{~p;T)DOPAO>VXJZz;o2~xH3`wc`N=Me zE|1ChyCh76fd(v4m<`=WG~QkB-$B=KTn*Od6)&{?9c|I$RlyHewn^-+Jzsu;YWWN6 zen~MDEcN@XW(T1(HM>nSwW3K^-sc3C-?IXu5c^!Na5FUlW0J~r-1j21B}TZ6hMi@g zg^8pOjux<(=8X{ynO6kD&9rC@1iR)OGgWYB)r4 z1KSV}!2~rgu=lwDy!+w|8f8m)GNs8Zl!?mCAtgg8a`Kh$0dtt^)3OhY{OF2E(u4R? z+!^787bu$Kc0q^V~2%gNghwvP#QZ*U9^_By-{;IpMtv#cSP@ zV4#R3H|lTdbVTEU;Is$-R-W#(Xi@=w4u)Oue&u&i;sr<4b*W(OnrR%RQuAZK#{7r6 zmBV_paz7q{#-3HIOCNUZ(7xt;(@>!ap3DW*3iv>&Es%Bg8|VDx8HRbL^=V_bxKJ6V?Ki+I1DmBLf1PvKoLxzU6M&k$U--5cO4-dVsAUq0KmMK$-uq=D?6t2+X$l>B?YqxYHlRCPLwQA*HCMi}e_2#u*HoWM_2?HWS*` zU4vw?0<7FB>?J>T_Wr&QuG}Rd1q+6qsqH*81rg=X^K{1kJFy~5x>GwWe){4|R?4WP z_EA7~iH(5acPhn}@Sr&)eXZJT2a>oRhE#Dm8a9nB#geJ{lwMPyVE$pUjQ4CEeeR(? zkBAIh`&X}mm|8%q5*&1O@cq8<-Wb*7G^$w_hsNM#cJ$=sAO-W?rAS~R2?4ALbAXO= zOT`M(k3!Hl0c^U`dU_Qno;+!y$fAiWrZU%MS7Bh8-J>D8RVneTo&j_=Oqu> zs=H6+hG2k&GYZFAvaS*Mo&KfB7S{W3+!Ds-r9~*VDP+tUv;*Vi2y$JFfnrUsUO0{H z-{M@7mCSoH@=4z_2A_FH1?DJ4_bX+gnqOz2Kl-5_Qq)@uMe5~k>CZE?i|cFlyM0rx zagBHS)FL!KB^c&oz4!{OL!U+&%!Fm^Bzq|yuG*$4^RWHV&6&e2P(|DH1n(?*SygAl z=2#wAF$!|#V}+EWPsI~45`qb(rURqN|LP-MIUG>LaZ5Vb5X%Ew)rDeG#9Y!cpPVl5?(r=iJdr=AGP{NY!%P+6ju+6wnh zkv%E31elq+um;H?C!`;QMNOU>qry~P%0p2)EP?|YdXF;L(!BpP_|dvWUSM1l=-hg| z@)X)BmntV;OeGT*R{63iZHd4Q2fyGHGE(9C!OmQlE`CjgekGG2>g+rs7egk#>yP;E z*gpIM&=d{+?}jn|QPBRs8pg(PumxU$ma0viwzQMVh=f)&7d z_v?;`r8ofs5=nm06IHi_Se!0M5wS@5LZ&k)r6m2o8_&>rbG&Zbe|uM6tE-wDchk*G zcTTg-TpQT9BLf(F3y22*t3v$?-$FqA6#-0ijHCz&03c8h0f0j8*Vkq8C@|jaxcNFU z*MK2K`ig&m^REC~#Pa_gc_~=r(E-8DJq7@ThyVx~DG41Y2@nWiKqP-)h26ygm9M(< zVgPgi{n3EnL=PINiMM=s^JHfzoU?oF0JRBh{o{&?ICgLC{R^IF@9-^w-mU>jue2ySjl;48{Gw*(F zoPY`e3Irq=85IZt-VgzW1C)q zfaURW_5^00E5qiUj$1`XM`b7Q@u`8wtg}n}za(Xv*masPUWpC6q^j z5Yh|&nf-Ieee_%Ut*7y$_Wt`Cv&3Uix9eNe3-B*zxdRLJ_#Gs0xsDu?1FHk#DYW+s z%L@L>I@h5uR#v*{=f$e)@XUfD<4T1~7*M42Td6{!=>w1Q=*5-$wqBiu#M6E|`OdceejY z3+TMwyV^^R3-baPbccS5KyYrKn!1mh>Jss^^OZYdue#b{m_YMcD58M#IQy0DV&Ax2 zich)DxiS~U!>V5PH!A}=@07C(=hfqljNO>@Pa!}^{zh-U^OPRP)Ads{a@she;3*5? z4~uEg6UBO5{MroEW(4!H_YId&mzeS8@aaJ&-O&p0_`lI}-cwdEX%HT2ZQ5>g*!xgI zhz%^O^?Mviz|sb%xOAa9cJY%=viqPm6D77?dv-PMp<&nyaTmn0s_y|v?l5?p)b zuY1#aBOoCcdFF1ThS2gj-yZwnmHAGb&dS(Qq|KeQp zmy#Qpf~v1Uc~JWjPS_`h^w7y8$#k)fw`)UOol6IewBg;M6DcDwM&c*LkoUPJ8Loir zsH|;Xc=6~#!wIKjtlZw^qpx`~s1wzfb?39qsJ+}0W8igMydxLMcmcCltsQ&2h|<#+ zZaHRb1xAIg7v8+hWOiCE2ePqpT&&Gqg_O=mK;Y2;iB&;5ghM{$c~|%hnrO)mu2eb1 z@E#@-k>lzh)CjuNvSHz@@gFUdMbf~4*i`aZyK;;h@`NS3E!L}d*G;nV{zG+nj9Jmn zyvu+_opiiXvl`X-Ocgzp5wxKXO|cs=^KVBI@U2PU7$)!Sri+!9|i$T zBTH8O+C_(zh|_syC=0$%Q37KZLLWcop>5MniQBPnuVZ3TQ~*rIQ=#CZG0|vShOi=j zHo+#1IH-L!NG74r@e=uc&NhHh<|0f#WU|_BVU;Gc;g=%Tl%{>j?eDj))O}zNFW#WM zl|1}2ph^;DnS;*MfU(cmK(r4-J0DcU?dP!A*Osbj{Q1h@im-LcijE&=~o4X=d_Yi_Eo?fO^BU6?MRT*wd z-Z4w5tbU%)nfR7?NF&uxiDw4!FqFkHjWf_h&7Q&3g^X~49SV&6hho;eCgb)nX!@*v zXr@Sr!w~7Yql+6{26hnLz9UQl9_sn3Fjh7}(dFD~>TM!+i5{!D%@odcFiJ;P1R4PkYI$`TLyIB_ zIB*)Pt|@pBwq89GISf?RUVH+|Rl;<7$ZnFTN3#~kC-9%yy}w1_Jk zEz)bgGzN3NG%L7gwcFaz=Xsj18UhfQ?EhqQsN}2Di!7a}lkkolDFuNP@gfPFTB&29 zu9VE;?ue_yqU65wOv|D;kZWh>y-^L1-EzL4QyP!*TP}nu55Iq>PnpL1sjhS=evI8{ z?=4>j40{(b1S`ESxtVm&xZVvI@iy=}Av{nio95Ai7TYRaM7C0d zRQEhEg>Hx@=J|@&U-;;Nbz_ShFYVFy8c>>Qz8D-H4`r>0lQmiqIv=`zvXaAwImGZ5 znNOr)B-L0rCZd0HOc@8$l~FbP76!K(S`q6ng_cgJ0qH4%RF=V_?73NRruy@U?XQf!0i3@jQEpUde|Z7V3Fmt; z@lbV@54Z+ePfRKbwrQQKwNd2j+H;6^AuZqCTBma`rm_85o|)5IoxWGi z5K7>~@Bb|&q`zdy?z1)EWeL<8|91m?)!9dcOBe~cb{6eWkhoL^86rYt>S7HCH#))X zdgFFhHuJHax%Yr?;xt&bE>p%gt!CcFrG~gn6(%|CDDkyDXQd zRsb^<9u=8;!mq3~Z;0#^su3pgtkZs(*{;FcC$EK|u^MG9uKsYNZY#3W(z&mhh1-C* zt4|haNV%(L-3{6=1d&~~3mDoS`p;C?c5R!S)mwRX16kJ`dn^wDtHXF?`qkf82So=! zCA|zwWQzUmNs%g)?SO8N{tPG43f?6lkd97Z7jP!Roqq6%=N3(W%cI=%QW{gw&0zt0lVSCz88U)POeIRv*Yi0acCU@ zN;P&FJ5Qe@#OmC=&(IT*UW@D<@O?lVMyl2{u$xC@KP})2yf*gH1zv_8OI{Tm?Z}Fe zNL%+#(ayPww)B;k5@gWJ3jEbJ%BBGZ$a}$xRDB7W)E|AOpU1B^OPY0KLNM!tV20;y~L}xxE(U) z*8W+!Rdf90Xf8_lMY3EneA+JC*&fn!x6ftrMDychf_}cG!Sct-j>$<#W43*Z9GD3#{&6)_O-LF`jYhuwH^lR049)mn~q+-TRDBKkztV%{fG}J^SE1_WuljVEtWF=ad>ptc#@Yux!2CS9ZJP{M3-O{GnaNF6%srAt z&2G*OMukAMz4cVQDMAxR-FB!q2Jb@khFC7BaY6A8@TZw&8gF>U6D+Q;@q*%e!_UtC zSF>%_PrvDS3f}-hx>+{HEk~(LCBc2ua^*a4QC+e(5&hmS-gd^o+7-bf63Jmg!%jUj zNJTg%OKiM4`UH?jYlzC!rKR|m*nnszc~Oq58%B(v>X=>qAT!QQ+rnV|vwfLPwm0Nq znhJkKLpna5qvCQ~Mmr-G1&K^9LzB3-C8bTxV%K9xRU$Xa6SR%*bJZt-D_S1Ey6sjs zkRl_rlgeG07R?R=Zd~+GOfUZTHLx zez9olBt6dl1}X*?OQYx>N$4?8yOT;#RTGi9q_DNzmO98YJuH~zr)5UlmvPR&=itx? zZ^Sv~VS|hc8qZoK0EIbl$*_t^$p=VZzW+HWMc0v5at3!X=66G<_E;1UX6>J53W@dM z+_;ckL@0Ehlk*co?km7lvcji zwd-u6gCjdDk}KG9@;<4}5aQHc_#EOkj(4SvRQ8Wvu(p{@hfDUlIh{DUm@xJ6t4%@r z!~n6N?W!R4D2}qp$+u^6{Lmq}{VL~Xo~nD%sMtTP!mti&c9ax+UDT2(oyCw=#CIu| zkfAPlnnJ{n|T&Skn0gzi%#M8GX`>8QT2-JY0-5)_lmVDT#4}+?@h=liYgwAqf|o} z3($VH;105;RJm83_2l7H)ZuLqlA+~1w_+Z`<}>Rw^rDm!d}VazQ}bO_5Cj>LF{D+L zNEK}2o@9vZpDDyH>z|WKZB|O4ufBTorhR;g`xi*D$wmm7lnKD1#FcPkp#U2HE6Fc^ z+NG}Mn>K$l6Rk7o6Z1&Cn!=gc^taPDrSh7pwCKF1#+KVx=?}+#As~Is$;LkP@vrf!8CcHGxbj%cOL&J=&=+~vmj^3qNQqp|N~I5LH;cYcF)tqGxYjbG%{nL= zc`CT7Lvik*Any5jqYGAXwzdQ5Zk&ULT|FTp~y=qgdD9yd>28&_6Txq^Y%?6!L^Cg zul9C2D&qV5&>Pe?@}-^PNz(#Kk?xcPB1w8H?Mks-7&T+*RybuNfgB2h`eITFy*?vl z3>d_gjiBPSQFf-LT@Qp*e0Ia%Z--Yhk*0eW>pHPII>{Ne7PbU4;vqrI%FB9K1M-So z-TA^Egpqacb-fHqgD3K_SoAMj->T74eb7!g?iH_mK zOqWu@kBe>xPtjPl)3N(!wc}CPhiy%RS`eir_2GX`Z+}NEjx@8b+h$m^fqKG@gdgA9}*%1GTxFOKTWgQxhvF%6`mywQgcWm47`F~eS2KZ4zk zPJ%?g=X3@Jv@tcSr7CpjmdYAyBUJu(lfsB!lY%kNZ7#ipCuN*6!9PMwI!)N|0$Y#4 z8He1Wsya)F=@7h_sb0DFzV@NE&n^(lar6k?DR9PQd@=52*h%0ShY6Yhh8&NsXX}E#hy)DaR|Rbp5JY!-GY&Jsb|dBf-KT%@!otLc>2$%ZYY7Jd$oASb{wU^bG2ot1RIVu77CimPY}9>%78d zv&LUMm+4sI^b!{2>uQe@ZGkyB^nCZH_vUV#brxyK5`0c&XfD?j!wzq_*|}?7E3Mr^ zOiJiRnZVrt;Rpp7-eeb5KL zo^sC9OB7)N1^lBPI;{MVnNkyiOhD9{03?a2KGSAIWo0K7xz{0d$??VAfK?{ZxwCMI zCwI_bVO11n&W73049GO&6xeO(2n7cs2Ea2yhKP$9fOxo7MIvd#rR1Ao)MM-=`7q-*YO7t=DGx8 zD!Cr?RXvR9jfr1kep>0hT#X0aO>QXDf2u2wGYpp|FgMsI)B|^1JZw?gRBpqfck8>;sK`@T-S!aL3DT5>C;m! zWvbu=oH$m*^7W_GRi8^soayc>RXFy0>PIQ)b2TXC#0HJ2X2E+}Q0QfFG?b`wx$kVd z=VP06{g*$yk5C@@))5-r%cU2p3#N-=r9R1^5(bZp_H1A&Stqg1>o;IMyU@vtUTng~ zL)8_ADnDlSI(%bRooW9x-qF$ndG}VzkyTTx5%&$lqrkn+IN53(zrD*AO*I$K zn2F?aVNu>}Iy{R}ypfOZys)NT^2O{VbxPK3Kd8KI{WeZJUqju#Qitfmo(snLjnqfg zCIq>IMuiNL*MGmY2#G9p7CQ6zjtX5J%g#=3hhgvJm+nV&6HEX)p>VBAcKlkB0-m~}q zKG)$m&?_4ux5B>h4YtK1@QWF z+4#%Y1EVlHZ_~h1jn2NUdW~3Qw0-BXtKg$ep9E)$Xv3j)x^_AWai?=pV z`-L!j)%`Mu{pF_2=vc|U-AS0P4wgD>(qcjAy)LDR6WphD*E8oYupFO#kUjlpRL$2B zT}A`>!lABLsf`Qkom~*&6$f9X2b}ClDserd?*L{W;q41YAhJ@EJ#ICoQqH!l?6NE0 zQd<=~kztX_mi1AoK963Cs{=RkzG}MYWq#>elqT|z$UT7xU*8SUbK>m3>L^|d7`x$Y zv)djCY2mVy>v_l4w*rer@+2<1GUGqOZro@%(Z*`W>CFsN_X+ppJc$-{Xl0^|f|QaA zHd6T{Ar^aT7oF-9#nsW39SM(KQ{TWLpJE~Z4Nsl(|AD72uA!l-Ci#;aDr^2v!67pj z5rB*Rho{cO#7@M)!S;WH!ymZ%{~u4C_2;|)Av(0Nuyt|zFY(}iq=Ek>9_0E@_xnHb zAjf}ZqBFCzv;4Q4dgh;F|2rT2fd^PvJDWNZ{Rcwc*;Lfj*v`cChrABs}?yA;kw7wK!v)*j;Q#($)UiHfL+2U5!pA@K4+&}xR#j3*NDrNeqCbxY zgt49ld{p|YVPk4F{5{v41yy3*&r|}|{!4#s_{5KV|2z3>`TXRIFq|MX9NNIrbPtY^ zy`i=~$nXWzPRaG?3FzrN?Uydz*ZCV{+`PaGP|~-(Bse1*%QxlP(6IQ{=n|YDwUOQV z&YtxVR9piGn^Pkw)%rTl>Li?M_Av+^^PbljvOqi zjgDVomQJLZepGKQx&s#iF7YfZO^$V+)1D1}FE6D9B~PyG1m6vZ0u~=$A`sxjHeA@~ z8Q(VkejP&>vKPOqF6e-0roQbh4$R+rLj5|>qbtAW`M$yLw{1ZfKLvEBMptM5;pYuV ze%Cx1d@SGVOI?49LBp(AXx7BFu>VR`jZbaqdOv0evoHo4BY8x#GMn;wl{lcU6gc6 zAqHO_2C4BnCo=R9>agc9S%F9aKLkbyGt@$~PO{PAk-2nkNhp#ccBb0dATa21HD?~% zE&nrUufp}UOL276NL5V*6@$WB6Buy0T&Thz*gZdB8ezOEd5p1|T$*MscxQH=g^RuU ztT~4LkAq0v)aZnQ%u_na6`D?<5F7!6CvQSsd=6CR+NRFgLzC6RB&HK5SwL{i()`MLIGx6#S(=$n4 zy$C&vpBHkoA>0VDVkZu^x3F}X*t7xo;&LXAZ)@=eJT>CO7m3U4A)mqL3@(!@0I`&r zE`Ubi(;J$Z8|Z#*7JMHwB%>zn@K@8VM-~rE;Y~&3E|`*mrT!A-7Q$sQoz*itrdL#T z#MdG(6&;jL?@j(_;ynL0I1k?+#@m<@3+ZbG5eRQz#vaR%(>F_&?8ZxJQ) zS55Z~jz=_!T?6PUxz0YV6tsl2YVu$w^30Ygc{g!}4W7>9N3C3u4w=+r{5DRb3|rq{ zut0tkDrosW_k)P+I-t!6_u%94UyDE;fX3hDE$I^A^4%JnBSj;)@C*{ef*@U^%>>pE z&1gKc^sqruO1vaW;RZL>=C~_u-a+9rlu>FNOQ5O@o~UIq7CKzS_&oP~N@YA2ru`+o zD)2z4VDlz8?>v6qRUHRLr;c1-jo5C~hyD=Oe4ejK3RU+;u_k}}giXwAA6&I;SW3!i zH}30^ZJ5N>0!2;6alI1iGV`xky@enLTYgQ-Tt8Wp$lEnZ zGrJ5KxRc~;vt;};*M;0A71{GIr~S{8 zhsv2ps~2Hqr33I$Diej)Eix+B?d))@C?)j{UrbfQ)_flz(v^$Woiyy@ptI$40|>V* zM!F+ZqF5m)TO97x;J|gxJ3h;my$7wkIpB$ZSI5D?RzN~JNQ1kM;I#)rhE52s&%8q4jT7W?{PoY~ zvRyy_+GEfy8i4~36cB!f&}U?p(2l5gKW);NZ(XTgp+Hw^b)cUDSpn8S?{XTUlCn@#LaBA(37TFjGFhdD z0Byvzq8RMQ?kVt`+9BO@kngFj!V%ql&pL!8HL=#`GX0KT^GAU}H%MG%q?X9#`K&Yo7*NsE+8FzqL-% zxx+~1DSYW}{+$ z9Up@|gMJr(ibxA-y6Nb1Yh$`%mLKC-o#G~EaQGBjDanA{o_Ivi&$3}k4FqjGtT!hUCu*pJo2$Oz^pR6` zMvt^jpy5wsK2ln(7VHQOU)^I756VFi$j4<7eH-CWZ^fC*O}+R3?h7&R;Sr&=6(xm$ zSh=(izrT~Be+& zb~<*ollri9>J8xlUh;PF$^sLu0qlJwO@Nuc&x}-A?pm&pM?@*+HnABz>mV;(0{>G) zNQ@Il0Lr0(cX0u##CQ{w1Ic@Ll>_xjX6&6wW6whf_;688=Hm*^s~WBgY`k;pA1&F% ztHBx46lHypYiOof-KN$3dY6z`Vh{rr#?PgE<(=lnWCyb&j5Mpu5aq8a73!3~Ww_|W z5$kXb7W0idb%hPlJBre+gi)|GOsZ+*kk+}YaaKNX9(zd8ibNuP8r@u^9f<+k(z7zj(u^)^9T}kNl(RVzT%M`I3YT^+$iS zMbx^S(MZhe9jv?ll?j0Q9hZoCtUydx0{7;msT3R$zQ2wAU&jO`nYbTDfCkGNznnUZ z3+F@(nJq?}&p80sqtL607Y)$Cfi$BnAhVfhC@nM3OmqPPQKjK+)|s?`&y-%6>Ae(k zGiq4<9XV2R=BdE3OEs33HjfyyitQXU)%Ha+!cbCpEY(Z0&6Z5$1aOA^ZA+E(}1yU4YDsHGjH3wBUJs@S@@Ns`Wbh$u9lA{ zM|=4xT#T`bKB~}e4LZXeyH0E#TP@oK#Tk2Y`!d}3Wz=Y{N#LhXk9N!XorWq-gdo=& zjattG=6UAmuDe%v!}%q<83p<;q_r7R4+K$Q$f~TOY}L9CnryG{-|}ve8XPHlj~V*O zP@#TR+jBQ~n=pDWjDCD|6*RZ`pua$}xuVJ{DGA@=U8`{crT;y2 z^;rkRJMD=LU&xOB>~^PZ5yEowHBa@SuM=n@&@MCXv)PgM$VrA2UU%-2QbRNU89y$)#112z+P2oS4)%(*X*Tq{Gf%A@}(vQBL`ze5Q8bFOIJ+ThdB1s zL|Ht@D{f{38G6wBhPhRpfx-dEBOQsX z`tL_3Yo|>RrG&5&Q+3PhV@2X;w2a8omf|R$vZk1$4tak;*kqGbPx=`kIvvD{gvBRj z)ml_?U0k7eV6X)&zbbob55CHYKF0W$_CVHMxdZxkX6)*Lg)&?Luqq>F)!*RKz`$%w z!L9l6T5b%;;i9k{?pkteF8F&2 zN|GP^%XkOLx`dLWZg_g`G0ILtYde6RX(S|-anbGGar9-_w+|zXhP1pcPWcUSnZLON zjjn-OVxpkld@QTu^`FcUK2zS|i=~Uqyj%CZaK`=-!ZumhxaY)3U~#M(9=;LVCv&$!B^ve1qdOP0VqaqhlPQU?V(b&iN3 zP62)k4ntL0^h-x@3+ZC?nG)B-68F<|14u#kBtawBJ<>lMc}7Z!>$ogEQvTL$zdmx9VQL`+L+h^wjOtF6>XCRG?crB`GwV z+O$`%xM&EDa~M#H&|-IgXk6+}8-3e@n+s;=*<^EVT{C7-C!{yNM|~U2h*&M35Ymm! z8K_F<@r}Qd)3qvMpFPh+=%jAKqr^a)ow^ese7%yU`1ew&3{iT9&cw$+=dc}o2In!Y zKD_oG&VYa_zKw1K>E~SfP8pQ}-K7kINxPC{vcb%T^i^C8i z_@bP7(W=exR^WRUcs2ygs`korZAqxOoLo7>$pyd#%ErVvcRzbu2azR2)`~5r@gTM} zVE!!A3KSfqt7>l`o42c2`50!9^8=&$-T<#?T}(cLWSGjQ_AG&Qw3PoE`6%A67Z0mn zHxvdX{10Fw@McUcX3kAOtN}lw>Q|2KCD*S|jQR6iE*vGy!pKlcjuo?ur)$n-N_zuo z%}f+e+C>ipW-M=vR^Med!rF!|mh+L@hhn}kweO^ zEOq!P;7z@%VLFyP1`BQD=ywMRy?ot&{tq1F@ZblEzz7-U89WGweb)08sR8AX6%9I} z%eNeGcRGxZ?h{}Tlw1-VXVa%s1k2Ec4*phMC}c4hmExTj2G6CFir#(`;LCpAunWIM z!ca2^UWV;I%S2c|)NVTM{rOl%lBm@t8Q@+QDg8Dly)wX9M_5OO{xH<=QG~)_G##FJ zB!%BKrKgFXHc87)XOQkq&SR06w^pK5Vy8wB9L5~@jc_tmakTgC7ghG*gE~OKviJVTzMlxp> z-=;eu4ez>a+XDV}GK#AM5YDUVQ!*wo{8dFzJAH1IM|LS0U-F9mGbY+#{O!3lD5;<> zqD2yew%GSI{KaM0Tf29sGB_fprM8=x4gZ^9gnSpA@J7WpTwnW%PpFzF5}Nr}eT29{ zlE`GZvP&gH1aM2eF?rmqJ|m#{hj(O8Z{}c9o5tN3tA{+RiTjE!8RAAr==hcvZq!8v z`eV<*Ab@>^3>;MGZFneas+l*_;4$hOSl3hYnQXo8^7?&Y=DnezGTCBHnZ?mP_oLz_ zvP%~JzU;lezNn$2143~20MXC44yo{cz|{Tj1dAt$1nfH7>R|@kR$7%cNSzIL1v7M% z{;=A_ zX>&v)!yd%b373f8k8v|Mb&slf#tu)*$rR0?qqIiZ~l@YVHvaQMxCiINA@n z39QbIQo_TO8s~E(2S`e8-ll(!34{D>w4jot4xk1c@LLrBYswvUPXMk&0bpXOsI z$oERZ?O`)Uzl{!nee-b?%NP{dOFf9HfO;b#P}5!~zlR(hfTr7GAdR<`@Tswv(jDqv z;J^l&`Sntz#o03G9t;*fh&+7)14qiI&i6}^DD}aymzQ3zJRqK0Jmfl!y7j5@O1xiF z)yzlXgbQRP+gRCrwda*}rZ6>dKKgv%u6nNrvZK4`+9lQ-rI;w{`0Fd=DnZz`9BLf% z2+O*gg7vX?&e`&-V8>9lop=q4q@+A_6@)DTaXY<7u%D1*@0QPUsA=R69Ytl90F zXVMgfm8kWjW&PR*nZ5?b#hX)va;1)9zp?Y0L*dH`w83J3v!Y6npjHc{itL$uw zc>d`FmYA=v<(W-GswvaR5WXX);_=me^sRbVg^ay$&Pad!ZSl@rh*DrPEm@$PJQ?LC zJ_DXi8C8ro%bt8*NR(MBB+&{4;-b~}g+YNZz5tzr;(`4#MhA3lAhM%bzYsmYU(xGo>sT|xNNOip#; zezoRyM9&?=FwQ2Ta?4D&oRWJF_E)gs^1oOmZ>w<%cyvIBvY6J3>=7PHg!lEK2a%4i7dQC!W+Sk?I?00Y8vY^&rwe;7_0}^H)0Vbee;_foXD_2!4&(*L+X`8sJ5?*Lsa>6?28iY{<*1OjH>9%)msxswEnpw9nC6n!*! ze9qBdLtym)2hvB+>U`NQWFV?!R@o^EI7q%B{(znneX4m1{;~0}Bu4&lw*B5U0G^2K z?V*^kr@E%BYL+oo+jgL63URj@#?=ubSqh%G2~Oh;hf#dL?xZOeg{H!tnxevB`3t?vS1}^Rs#qsWk3fVzk*_*9Z+xP|k?uhsy;v!Vyxn z+)Kw7nj=GLI}jV`=cFGrbzCu@&RE&R39XE3~A1A5?>hB zAvEOF<#{ZAAS0yXcfZUSES}LieXMLB1l|APo*1%SxNOWPpMFza$^?yapd@4wA8A_p zm5%Yv!-Zl?X!5V40W7L}yWjZ?m9-mwOU4m#h2Tv2HWC=EEoER6TaZKT^UtirzVPE3b?;R2 zdBP=2LFpEG6tNA)yT(703qsSkxEkR&vk1oQz^3Y(DgZQD2hcYA7hOn`PE9@H_hiW_ z{5;o{9RmIprKk;Gu#b(qo`dPeb-Jg&OO}z|*$2eNeKSYU!>W!Tnf+U-3#GJ|gSb=E z4Vt3e&P>^Bv+uXs-93xW4Atm86m`A$En<8mNEhh8nRA>+dWNESI-P(b;a_SP;aewf z`}|v%4&Q&x-xpoxshD;c%mJue((Z3ie9S0}^l~MRR$Rxo&9@OE-x$2Wi*gl@aK>LU z`D5mM2xhxkzxxMn9;L4mLK|#Lp2jL8c#mlhrPOt=+F*xmF6b$+pflRyN3gF33V+&} z1uDst+#TWY>#t_jf#z#8TAIX2`R{p-9&FU~Yt047;Ri?A3W&lsG;~uKy8HSZVr8oh z!Z?KU`Tn2?k(0K!AFTfN7`8u3VnpCH>cd+O(s~jh#3i(uWf+f++c8Hrs6?|mrF0>I zrpOtJ-S;eZLU(5%KtLcL7T1mZnd=-TqnFL5p4U+}8QThR7&Y{|S^ z-EwVpo=lmF<`|qnTs{nBn-DF|p8MuChA4*+*=H%tDPRFd76BG?2dFwZ9Oww0#s~B9 z%i$42+43&oJX(K`r*$Kqb+2puwd;b0v_p!p-ed(>*>(^`9jjaCt^L?su-7MO6W5zv zQ@?;sWk@5{X@J^r<*G4TEP%?LVYUuRc4~;@U?5iz61n$wIG{N9gc zSbbiXQ^DoKqKewVYvh<{O}*D@se5hfq&Qg!(I$F0ngKu5`ycbtG5KP~ey$&ZinRz3 zbM!Ulq%D)`t}nYC(81S`Kwoj=%35m9Es@noO&C@mbIg`VWk#(8;HO=C?_lV1>^NzP z!oWHOo-$NN0y89z!D-nE;FIdCP)1!qe|bv2uORGPmh%aZZP5fl`!q>RXifH6;I{is zMD&=u7z-FfW|~0~ytFncT%GjtfVIhIat}gOtxK~*7Arn}?{!iF5q5h&Uo~^QGCFjp zAtLXa)h~oCB;feT6wdYt+Tj+VLT|)Pj!HR=6;M_zN)cNmIm%LPGBb#XvU?K5U-PcF zOmhDoxD#;UnlF2{a;Uc>mw`QIpTkpbIbrT80rdDlYuaIhDfErw&YmJm6(Jvq!Gy9z zWi+{~DD#+K8H-QaLzdXq%nOC|AeHzYdcew(SML0DBkjj0lIFgv>u33|cv;-F%j-M9 z?jBpO0w3#(1csOVy7%hj)QDtt0k`F_(d*kms2yU48+6y&M11dopbZ$9K*Xll-nEdW z<(+`mJX6l)>C9LUQaj#@&SzkNl6bvm{uOOAp&@k}&;6-Ii@@Xqi{|^#;cxv!v^M zr7X9<+Mvq?e9BPz?#j1g)9KYENrD5O3HBr+8BvT_-0J)1gW6mIKSB)V4+IqrVl!yl zJP8i!LD-t}jIF3H1%8uJl|i1k*Y4>1^fAJ)3`xYUuB<8GeqF~@V6s$z3j^v67{rOF zmLNfrO*`Fd1mul8vc{K_Uy#y7n77<&Alh+CZgufRD61XIKZ*=$U0rqhm?)>^1&>={ z?C)chbQ7pJG0LwhC6ToCCp@3fgMt!ltLNs=0Dmj7zwh(mV3V)J6xPgcECd6serjz= zshIWT+n;GN-+~~ObAz9n4nYK}*G=WN}W+FOGPgV-rd}y8dt`?bAj44(bm)`m#BE5|30zOH8D%Oxe!I9+GpYPW{5&pFS6 zB+w-9Wlp5WKTJ7birObL8y+e-n`x0aC(ra+|yoS3+M?q*@0hBYG zHsc9=N8WqU@z0GEu-P9eV<)*)>(Th@i}c`JS&!_wWCLW9^$yjs6gq3-M0T%=EKghG z4+gsol@fdv3F4<81&W+eoYHv#`KQ`iTZ4KzpwK6!CEkC(j3su4y`ORVgNC(7~Q@xc-0snmy8))2r~vvS1e0@4pas88P=T;#k!VclZwIokD>5FLHcAZ-lsMlq@&ryJ`!?gI3$0;1(C_j#<)iEQvyW_y@*R zcZoZ}^BjC&;q8td0E?<1RQgK;o-dyOa&M_h39(4b0sV*>B*Z& zamg&!FZey2^qxr2VFK%7*{KCfyy-gFO5`%+eKmSYSp9I7hM!(T-g5h4Ux{#av!;YT zrzQk_hCUVEE_I0AT(6)HtCE=_#xdy5WUMM1|@1f zSURI>Zf5>ebAn!OylA~Yg+OKeJ7In4m={199I-%(M)U!|9MYL@U`5w8f3(>`n&~&X zrq&Mh5cJ@5Y-b%|v^BH;#bV-NlkY2Q2@A=$N52@&RRd<|6n9wA$^YCdvKI)bY@*&m zG>rjq*U_#U;&_qmahy+MJd#%Pr9x_d{?ZA7O_;klK0VZsvda@qSi?4Em<&BTFi}f;dzGq^&0qT{CJ- z{6Mxt83ZS=xfzSw*LaBww3YS2a<;9MY74&Yx-FfmVq-501bIGe%71`*acuYKFp21S z!_DU=zutX0jif}%1+D?90Xqi3k9n_w2t=<{oo>xCyBA7u}UU$c6C_g zF2jt)Z>4cT1XX3VQci}OnLUR{R*R6mIkKha#3+W?V_)?m=H_cTYevLV{L@1wc{%1v zB_+aXy;#?GQ6XM$&HPK9Y-NrrX%;&cN+EsEhC}P8nfJ1?j0Vt89-&4f_)w ze>nP*e~Tf5jlxnW_s1V@h`!LC#W!C}r_$_DJsUVz*$~pgq!_IF%UN^62 z+#H4Jz)o~E0ToTLOR1FnW;{|{5p25OPBwi*VCRWIs)z_j%xwdu($^iZsM>*5tILm# zF;{n8rR;Tz8+@H94ZZPb(^iA&;ye2aBzA4NWN{#z$S8-RrD%r!oFv8F_9iM2V9=_m zI$iarXVJ(u98Tbq@+rZ~B2<^&WR_udJ6Rt&Z6BQE!1ua?0 zU^2IVZo&uC)`pI2POA!5n!#e)WiI(n6I*_1|3D4i0e*A;X0K$9_>{Q1eiR@hApnQX zwCK(88l;sZRWxNnTjaYr`khfT&U$FQ;bvE+cUbg_Z8iu&v;`d1j&bW#sf>n;*Jz zG|^uU97ALy4xRfWwmVan8l2!MXkQgmEFL^`-D&Wy~I~wskBp_ z_nC)D1gu5OtZ9$RN6CF<$B3Ze{)86rf%yy|Cs~o&Gy`ZpM7;qESA1AaA)`A|6Z2JIGNyFke+RqE{~E*2M$6gj7f1JU=pwyirx@`rDof)U>y{)tN@v`eSmBfjr@ntvtMiP||k*CdG?p^iT z=-_DHU}gkJ(W&vw$iG{OH=JmVj!1s?jblKEN5jM9!|j-|DDJTw9FBVfOJ^)s{!lOr zt&psrzs7q7>R1+k1aoxTFvIOo`!nx19?d7~g@<9u?8eYP+*rMl#vz-1JcaUo+>S+C z`Q`U=Vm)>lN=#lbbTa+U=U%(f*@>ZDLPA(0ui+D;B#tJc+heRA+5~ynMZ(g5Pdc>h(@9fz_ie#O zNQDTReKyac5^(ae9r;R1ip39o*b;klh$-6&RJ93ued{+5HqfEG5w{|5j|UdNr>sxG z`e{6y7}l3WNW+nK$Us5KoT5`RO0J z=o(emZT^m6-_mv8T;uF9Sq+2hahMNluEP8_nis4Wit=btizGv82119deYc6n@9=lh zaRLza7<4Dss}9H`!QlqJs_SNB?>AvZ&4X#6(u$5LW@$%V1%%@8+=p}3aedxmJ>cd^ zNilI37nm+Le5uwp4KC@blC`>5O&cI8ixu)zMKX6KZQH{VE9f5eH3Xc`=jPehBaBwY zDuuK^2C|O5*)gXvO+HC)6Lq^|a1TX)Qk3Op;BfX0Gj0OwcK#$0{a!4$(4-&VC6Te! zsmNe&3cpaeEsNjCgdy2r4txux4>vpvm4+-KyE=PknW#}P7EvLFYDeCL3(&T<3Qz4T zrjEP4;jl-dQmH<*o#h1vB%&Q$gjM>K#cL%Y|E(O_Yoci#Xp@(X%?FJV2)V#lYjWFn za)m=HMe6rSl8*vzAAeaw@OgPA!H5fZZy`HjPww;bYe4n18DV~i`M-0HNR?>nz^5(5iRE~S$hTe*Zzkjfz@@h#T zZqOmr%co`Ekx7#$QR@;TEu+omQ6j4Qg_px)z1Va%UO3`g$pg#h;J4~Z^e~`ofL?+X z5raxZ&lYJKQ+M=;rs6HTk__fX3@&_OYUiNav?o3K%hCMXxgw4J7QN@^XS-#w76dr@GIGg zhZRPd4ty@8MGOTKwltvMW4FR&pk768H!BKDXu$JUU-NsVHAJ;K%enm^K7^2Ix+U~< zgnS;`PP1Y)?<@ZuT#v|3UDJUguL!4}YZN7XJx zZ0;`eX=Rc2fre}g&`$zfqY%A%ukx{m=36-VFM`YW*)ogv{Ny)yt#z=yTX#_WS{d4f zgj$4<0Fg__pXQmm1f#8^TR;qPhkK<%ynjIAZx7mUf@OB zna`!kY9)7mNPSCo->AMIq5b{8#~%^KLaa=Gf-#ena32?=yuh}MUUi?-(n9i9*rWqH zT#V2)!g!4+0IQ>N#10bytd$E)X{PB1k07+_!?f24FYc8in_MrrUo522=wY>&U&7*LCV^uQ45c_j zL)4K4P=AdWLbIWzv1HWRGdi0VvWDTsB{pZeYmUeRt7fc7Da8rCpr667H=n8=4JY}= zt~ujAgq0&yMQ1PyJ2?6 z2sr`gqd9a10=Xpa0_LW$0mixXE}vtl7Uh^@yZw%*_G2qjDz4=}$6V_{va8aLa@aip3z<3BrzeN@BX?pMMuLWYLe;MgT{ zcH_{r08{kMzSLx;g(hO%^g{;e-U>$@diMgIvo?006|;GntXS+Nvr_PlqIB`4~)2rhXE<13c)r_+E}4-`P;9k?>zWiiqovv zvU^inL$~yF{H-u*-%rQ?bi_zOn1}3Gy0?dQp8z>YZ%|S3X{9?Nul=)KGaF_^?{Qxe zKqN?O#Z3Xnbz_HzQ;s0gwM@x1J7uKjgTjgTHDK%x8bd%qt*bPgo#ud6Z^uH{)BJFL zLYiXVl_VlVbxLvl!Do@_^V zDwvMD|DN@8&DT^f!pKycR&#A5pY@^KIkGndf$C?=kC)xEfcKMi`)C`qSX8meh9|@H zFhC{M<0(BfyrYuqS@I94obipRv#Wffja?sS+G71Ma|l9GfH#k^|1~0=fi52W16e-lvHhz5j*+Q)keWLd{df}M||jI82p8yg4oft!TS0(g}ddcj`|BRCdR; zPK{~j6d2_`QvZUiNe5HQ%q0bIWO$9Sk@by0OyTTu*Ro2vz*b@QU|>6%(c}O+F2k0( z%=7l450s>I%NBptX!N=JGt@``(B`T&(^!QY6SSax1xRA)eDlHvr3qHyw%wji|I)XM zYy0Eo?Ca6!zb?n09*9C$J#9hHn^LR7#-K#W^S%w;Gd$>H=}9RlT>#CqARy{thM27g zHC(ppxoW6;!J{tb22I>X6B|#U@fnS)pZa1{;KJcjSw=Y3TluuN5sNHZtUM=em>jl# zJMh!BtBg$;{xLG*d|dCWBWmYw9L$Q05=xSb?Si{_ zQdxX{K+E`wwMPtnWn!oWAKE~Abepp&w3f}!Uh?U7PL0_EM0%pYrqlNW~zV|?mpVel;5X( zXb*udOPZL(w^|!}38EILygBvU?kGrXwh7dy-T1oT{gq1xVyN@X4pNFbdzjnuZxl}$ zVm6W%En2dyaj(EGiy&VcG>&#TtV8rP$lfJ=IJ~u#wzMm)*Ud&E8)wgQISp=CP9or( zv3!wSsQr_0L_|}M`^cstue1oH@|TD^)W@9zW`=fjrO{RuT)>zHxw=5JX>D^2_g7Da zj_UIML>pBVd6**K29eD%DzO%J-(8sLZ^1~8XC`wfyQVB^r(lJ=AQ@d5mTF^3g zwzTIlRsw0BR?{pD{Czy?WBhrPafIeJM%P6zNZ)8&FRTXqJgEt=LgD_5jLlWOnea9S zNo0$?i+Zc&H<3}Ah*n7xcC_#t(@Sx#9%8dv?JFG7MS@O?q}>8Er1wOQ6nJsl&^bG+ zeE&*x3MY=yId|b5rVJrG+sLG8S_6@h8~*`E^C^?6)h|{q<4r2JRG7(ibb^N${4!Hm zb1E3=r!Ip+(;A#W&=y^9)GVUB439P`kpbSv@q*iiC>%a^#1n&;0w^2uO_JR!?XQcZ zKnn1nDZMH8hCt}?NeXToa1S!2OwJNlcK5oJA&I;C6)dKZ14|G1tNPkyHnnbp7eo&t?|!GWb6VaX zTJY4Ko5>!X1iDs?i|s>ga!6N=Wu>qx*ozPPfDpHp$!HN9!zpt-r~;kWZVcu6d6zn= zI;>dt%gy_|r_-~vlK3`7q#=7iZIH!yOu{BiZGGb)>@e^+8HH+>Cy9^|9LJQ%8$gY5 z(&!MO$7Etdv&m-551mlRn0Z;sN6&DEvVWlmWnIW0|JfRJ_^LPR=kf2Th$yq zxr#E8cFS>NZ-ead2ysJ5T+?BSl0al7xIGVKpu3^b+)Sp8DyN%*GeZ$>!O7sSDYK%) zrYS{=1ErFB2k#Y;X{>+4h!D32oJ2vTtistp2P|*!aa0DK6*!#v%p1u?ek9q_gU(0I z+7e)l=UC~B(wIW6U>sF?&|u1^n;h|$m3}BRB=vK_yAKih!Q}P57};ODl7rAdU?go# zKuWspEys4OfiipPh`H~E>~MhUm~hp0$Y~spH4QULr+7q>YW2wC6iC5kda3mYn1%(0 zSZ=YKV1Tn+Qi4G{5u)t7QU1O-9CudboU0QSOPbo2->eCS2-#Wcwrw->p1xAXFW)E= z#zzlME#5$yP*n+VHfZRly@uk_*ctC zTbFBQAelN2a!kQ&(K0GkAK10jYy^^7$d;h(?O(Vc%TFRLrXS3%_4O;e}l01Gw%aQLR4oBskHv(Xp17 z*1Q2PXobBHZ8T2Hnz!EEJlZrLCMOt=2Twp6-R@ZVN9RQf0duE3Z(H<&>|FM+dc0)q zTE(S&za|m%$y8m(>$fb~oCPh>aqGXDCA; z(Y#Il*AJGO$TXFTkBSmu?a=Uaoaep{iiX)l5w2S(Lfy_0ecHUX(>JvEzV97a(%Hm}fS8of++i6! z0#AF@AIQb9FQYSUdq%QB5za`yHWU|bm_I3QB|dYDWW3cYvDM$5aJIx$G0Oq<6tA_+ za&%23ZY>`{sYGFg3^MQron6Cfa#WEIN1kjNLRVTst##UuWN%+aGPEmw5$YFcE>qHb z*ngH%KEvg93qr81-7N{QScUK!_X4E(vby;9>`L~v*EbA6jJy3Ce-OE1mR12`LJ6i{ zy#wslm~yov=S76e8n>8)44s)5Y0;`)%c>R91xF=Q#8M0tzS*!&cRWsa+>f#@)ceju zs!n|deD2skaEIa$q=E*sB|5hsi^~`wi|=bb^2aCFgx*$|z+oNse4#T9;LMb&|1%4E zTVUBPzanjk-#{(G_`PuEq$TN#(tu7g&n&R4x2pBmUvngfG@3<$q3`1b*|AI$g2DJO znwttdY$nBFyLMZz<zM=Go#;%Owvuo{A3*d` zO*cNd4MN0vyoPie}6_Y!!$FwqrWVu5i1#lVnITjYox7uH*IFoVk#)PkxKDe4< zIUNm~kRE?>M>a&1uSkX2v07joCz8RMq4gadl^yY^oi$aWzJTm&2k0*QSTa!yc*l80 zwoJ9>E+Ftwq5=!dNA>)?GGsIoP(Y)T@QgV6XmCF_r$fQ_XQl7z6drw^#2?;4?K(SP zlk>6yPU6`lm$&(Rvq{l&b!+mui?UCGvud-!i9looAHp<&Xfr!y*;%%crMVCg~r#k^MulF|lqZ zPmk0@P~0?xA%}$oR3tKN3uj*T`i~jKvQqsj2kRgd0&$ zgKieA)UV$G$Z6&d5m!8aG|hInZ&6E8PCfFTLWuAZE~%KFDekD4skcD;I8Q(a0ZdXn z!12TI&bHw%ad?LfWv@k+`$;q7f5$3C{arQ+|ozSU(R zIK(yjH4H&CJA%xLnYxH1R(Z#nKd*lj*w7OttR4^=toy$hJI5Gbg0IhyZQIswY~8VK z+qP}{j_sK{wr$(GW82vKf1VfnY<6F4QmNF*>6}igJKdE!r@o(xKvvMB3wQDH=g)HC zYC3d7jVz7Z-!Hi z@$GYJ@}M1%(X99;W0nZ$vyvp&U!9D$CYj=3+yw1Ul-h@6or12 z&2A`4SJNS*j**7*`f|tLI!-f2$QfsK840Qx1j72VwK@Wpd;&eqcA0YWD7q*)<&u01 z(!HQ;N4-EfE8Vn*5!2w0Woo|@qS?+@a^{}do5k9a51S;>eE(V_+LGxJO=08rjMLx%itn`vyfJIiCj%s5HEpV~h(M@EY1X&=nNhgcwYTxvTMq^9Z z%>49cbwg8$5kV`kSr|<_6=WeKMup%viiC)Md-$fqQ&0j1W^&3b`xcwIliZ`q7B(D} zfj%}wILsEMKN?7MabB6+aFqvW2t8_bG$FY&wOq{6LRG(F%mbBxqg4b#8g$MbMl@kM z4a*N1;TVcCD)MQ`hqZHQC2LX~*`$3an%C!oJMLD&j zeC|U=MTQ1ySENnECboKEah$psmfUVpqxBkXd34We=ve`AP+?;w%?I8R#h&}`*&8pA zzTJ+$QG1(552fi|-aXC05)>f%{5C@Ut5~02joX^>Gu!D6_L+$8I;wVP9n}d?=M_oF zO8ylEUp`S$Ca5J#{uZRQLLxpeC=f>J67x%7cWP?!|MUmWrZ!#)jQ7BIv{7;jh*Czs z9@^*ii$u5G?iz}kR`rspwA-(UzIaaV9d$1PvjUM*An@eHpI@%zL~;sHBGhk57-d`A zz5j*b&PCmlj!Lf1MP(3ht>T=JbGPKv#6y&6UU6?^0v96j@$&7q&_5@xjrE5*lNe_q z?a0xl$UY21$98YvH{!;ZnErVKj8@nj|CKi1*>FYopQo)y&0#RYfL2b-i%_ULFZOg` zgu>><^Ast|r073>heq2_#Oh0THHfPhXmlI)6U*2AB{wkrY^3s)rw@ui7{td8QMub1e(#coIQ!mv^4UkYmnT>xo-Njif4od5Vu35m zZipj1eXv1<Qd7p?2sA_HiA!*z}uE6U(bKRkH=e(+3f!D z%1j+mSW8dxr>UTkIyzt?Q5_IVC#r|Ge7wF;q}nerdhq|$)UxfjYgy-Gt$LJVv7l7@ zc$_j)3DBc}XC`(pA-wV3u5p&*Nj%Jv1g;u~*Q=Ah5CnPN5?XJL?G`V1kQJDH&1#%1 z5p76vcU?Kj-y$=`jf!7MqPr83EOyV6YgEv^D7Ikt!)SXz^PQvbY&;cLoJ9k78SyV(grHL_rDoSObkq?l4iqllcp$cwz+}f<8fhf;TuE678 z57V?BnB=>}y!=>Hmr2u!??Cq2HMIRT=!tNS{Y6A62|${Cxiju!H5uS|e^zhcO`Jla zuv#073#SLOH9;>IuQkFrmS5@j_p+{1&3Zr;f6NQrS$(9Koh{4oO@jFhnBs-= zCW?CY_XD#pF>w>S2fc3#41mS|0g>fJy6n{E$U)8|36F<^Okr&E|5x!gyX=I@5mb@{o*J(#Ra$FgK<>WtU6f7eW`jR70FU-b;Q753MxSrd ztLww8%UhjId4BMmYe%l1T#0~NNTlVH&g)g<&r%T!oy%|;FoUqr<)eq&5ns!$cYSXqW zDHiLucUjyaN23)#G?%k-QW{(~`B~H@hVc*IIs{{XrG0M#)A& z7ovJ`e*$DY2*DNu{aA!ra{5=V^Pyv&zh2-nCFVe_k>c15*SO#&{UQX)YqMHgZ1gO3 zcbWQoq4gU}B(BFt5WdV-8W64fSybK3Z4~?(Q(=vqQ8qHW&P9NCtnpo@>?Ky03 zuwE=|ZcKFU?H0>@^P8D3TLZgFQQb)Q&u9;LZ#WDQG~Q=CyCbnHK2Mk1mZ|gZ6{>V0 zz3)<`Q8zBx0h!-<%&ogqMD>oDqZ+Eqy92SutS;?FTR`E`P@8XlxGW04ofnDHuftCj z(t;FIsF;ZiUbU-EY))X4@tvyVmdhC0Ec%khF$o%+Di#4a_dfN%DYtcEzv`DmYY1?} zeZ0bhW01@-0v;7I``og8aNi9Oy$7FNSbUkpl+iG~;4~Kld4ZO=s4|_Z%s2=WIBq3{ zOp|h{=5IlWXHSQc-KWzMsy~{jb*r0i4(~2SOl0*FpR5BVPbt7T;QXF2*tO_;)dOa2 z%p0@9oQ=0~hBVSXj)qLY2wd45dq_{>+>5ZJ3Q9Mic1j&FUWxIr>b70!S63nV;Sd6L2+V33JmhwZEo#sAlPUZ53KNq&D?eh=lz1ePb+zyb8-xTo z+yD8Vo7#pnE}S--#93lVQ;jB*ZngT@suHDis;JlQ?|vJ?Egz369$@8AaH!OoX!IZ- zh#GI`(nBce^wCqtrSv^Y6Wx)IBBJmDcQ##^dD>Q5hR; z#k=s)BVKyhi>!a@s@5-YM5$_*&%aDzoa5PGM!dXJ47*No6^I|;I%W70&B<5Ms=D76 zMeH4t_E^pY@o^crVjG1qt}lfxF$`n{N#Y$3gyjFh$=;VHXj(~jv&(R2%-=!ESY2y> zkVp^Z$RYW@JPWhPfH)p*u+&fO%I3?3$83YPyDQ@~2Mep{Apz$FE-NGyMaBFXn_y~y z<9BuGy&#etCdpJ{0jiPOd0f37Lkj;qD>HHcydD=&rdl#ECa&80)M*x~55c>rY}A;^ zeu^xb^2~TNB`5C1PjFRpoL!E;cCcM!>v>|}L24t{v-3Bok54JiKB#OfOv3)qp(U({FmL-cdBi+# z7$^#%D&S*IdS%n)T_Xtb+ODmy?y>Cs&X7SG(M{JgsY zuJUzl>_~P)(r4z}dDMAyuaeeoMfN5ZB1~%w3#5APifOd~ve2lwx%J-G2Fi^IvX$nU zlN`tagqRmS=vJ{gXwA89-xMXlrt-fB;!dUzQ(53)jsX>MQF&%(U)i%z` za)QG)j&0}GycH^9kwNqlyi)gbu|Hn{xI}qonR%1O4o>idrD^?yd4!SM9kkk58RQ!A^Y8%=xp-_XKE^THi`z>fHR+;IpQG zEAklG$;kssFo((ozk^P*%&J!LOjXbf50pG7`R&_evC~rN^9 z=TokOgXp=LZ>gg7{Fg4z`~wNZ(o~Bbdhqm<>VI`7I9(sBD~WC2rld<9Vvt89EojuR z#jwBt6BO*VDN-XYRXZn749dX*tdv?v4SV^_JMXeiMdZQiX<#;r!?N>Nk6c;Ik|yMb z#tgsH*ufLm*(;obZH2m0#DXg}>YS(+J(p>scg?|$c(z*)j1&Bd0zuKQH6bHCifF#X zPpch_1iA{M=Irgs!WLrLuK`c{Db?cxiSnjOwZaJ9@DE0 zf>8krlkkOjr5*DyQuc627i@{a2u>QI~4eIn%_!xzty~a-_eTdW;%3B+w+#d^! zzb0?NgOC`Cktr!%5RU~hip!LkQ){wH@aEEzRL$bmglE^2o(&G>{o%N_gh>)6kvcP{`8SFi&$-9ruwi~ghvn0=4@qdCBr}~ zK27P+C1F|_e%AO!L-+|X9_PqaZ;{UCgvQ5QPujzKBOCO6Ft<2=A+BuI3!wa3xZ^YB zdM=JYFm0bl%AY&|xBRtTRkr#IU~9moX!?rV1JNKsF)+Om2}11Xe$34{Zn75b9Lism zF18Er$8J$)(fVX{7j=u(k5uR5;(K+&-f2b^dPlDG+2BfHCmO>c7?Ko&;oyv#>3mPZ(1;PaOYBpfe`j+0X zfk5FGyCEdnAxHveQeoz2#igI^D%wm|t{`opoMa^DJH=-oFIVm<-RdCpr_e5svvDd@LOAF5lsi ztDFf+Yi7;e=BZAu>eS1xfM`%iksb_6mTZ3hAeif}9=M`aB1m1@6Gn7~3lQYdTpaxj z_=)BKNWI01m-lIRN&2;8l(Nj-WNxo2tlN{*=Lj31R|T&dFfa`(9|--HRwT6!*+uG> z)swzbTgL3e+!fEkIrKqGVM7tpCdiC_4hBWthQkb8FoNFi z)}ndD+TmAwgjvg6!4<@hI&5tkCxhSj`L~+(jCT-^d`~t5%vl6e)5e~+qZ@^MFR~Lm z2mN@)7>4*1r8|jYu!mO!QxK9_zlti!!GM$k#_4DNM9=+3fx0u?0FLfRLi~7KLehKF zRqXL0ZFETqrhw<^lWxqkvUC2EGh{yz_;b1xb5mnrV$!fu@i@V7j0`R~@a|Xx9n=`D zKo}5C1tbaMz~>(F>*ij5xD$Vh*e+JcDN?V^xnQs*lPF!DW+GfDM!Z!C`1FNTFo5QZ zcF!T+6k5%|I+>*XAkRZ=HQ#j`i|eqAbP{>Dixs_I2{!p1xJM6FPj4`BalF;)8P#aZ zIFv!om|Yqi53>y)SSgp3gkWif8uyVgudmTz9=|PJXbWe=z{vfUW9I?Ce6)AMvzWuy z=xLJPsOO8Qs->gV z%5k64rz~-G^3*J2CTCPrAbQ-xeA(y7yT)!@g%@Z@oV#el=!};C-g^xv>x(LQ#RBwo4?ZLC8)bnKh17CgRh=al3>{4Sy1VJ#+LD{{> zV1DMs2PCI9ge)-Ca45}Pwfc-tmu@NT(ngchAE2>Pg3EceYBtbMd38mDO?cc%&>4hy zehTo;KEq~G6`S}HY71`^gP zXtjcCm+qQnigJ@Zb+DRH*5-kNSgRZIV--g|Qz9- zPe-xI$>{90wSe~LX=$R~LxiySIe}4%fgEVl#Lp{vk&_x1HurB((`xFUo=be(Uk#M} z^C^D9YjfXd`l4wTj>Q}g(e`x@&sT(B@Ig$8U^Y+ZHc(Ov2+ZO17D5$GFI=6+wkSxR z%iyyVC`G$jvq1*4hC;N;XAt&E1qhbg{cBPRmwleSERs+oTxM8jWilew8!io`9sRvT z!22z+TSqK6`g9w(e^bu8NZv{CPA<%&IM&ZR4{$T3Fjp!>(Yq_3CNgbXhz%qv$M zGFtgD3IpE(bN}T)KK)URHU$%A2&$nLN`8-0!6BgA6ET$BMNzd58z7$QQf?GYNZ$*j zhTYV88`E9k6VcVr`%6M99oibOf&1Mlsg%$v_ic-^noxXx=9yw`km{|9yBH`=5UiB~<+FKi*n-TXRkUPW#su5HZTbF)M z$~~r2IY=uo5X(Oc!`?uaf^%BNAL@tfHn58BS!%*wqdB@X!-%;ux21mnLiW zRf0kpy;Z`TH-uec7?Z$f9qpm72I;$4U?;a0PSs-Gx2{9Y-=e6A9p?4a)SAhVU>i=USaUbxeKy>;&Q+HV zk;@2sD{j`J?V_QA#;LD1lepU#<;_uC;NnJRMG;PxubaX9h*c1H?%9k6tI!Y#NBqu@ zuSTaANfD6ce^OMc1^At`p4RK#4nPX%MLRzrv>xUqtH|Rji|+NHk2uW)w%s}vn~grO zDTmoL@0H>X@Jv#ZA>aHkl!zUbKKpYYJf(FGk;j=ivQn$zcp6!X5Xmb23`>FIB?@=Vob0r-CDOai+1w zlaDd|Tt}}P$ji@S+v1n;bsb28E=elM{*cm|K&eJq&qU&k*{E>Sv*9nTr6BubxwsvY z36*9iE!T|0(BMVX$dj;h%Ss^R9;%)(-+}7+8}qD+B7c?LLYx{5#^nXSz80DyBYdQ$ z6t>y}@2l`a1wN{S2Hm|IXy2j?mURUt{Jz-my&=#?GPV&Ih{1%~C1&3aYH zBDzO5d#N(%9+IF$88;=NXegUZmx>I+yafU)1APJ}Wn+X!;2eT8e}4V9PignM-7~n6 z@yIDQ@J)}q+5~cV6qH%)z-vIa@d9F#fXMyaD!!t2Y?o`Tr~OjzT|PVUx_}769+!0^ zOOgpne1$|FTIE1|E1*a$jgd1m3pqfQRVOb`@Yd{dg(4nSt+gQHNChwRMpaGS$%fM3Nk} zrZ&w{{!jGoiO;Ubdi6y*5d5z`5L%h%t;l5KAM4aAMH4YlVY?+a8J2kzRrAdhR&z!r z2Wz-&(m{&bG*&g9tI!*UDBGIDUlM+tH8*<99;!1j(_zSr6+QR41UfXE0hZ2}v!Nd% zvAW%iO}N^tN24oc2k}xuT=99uZ?8(PBA>FW_~i$kM`}$i z=0aOgj_ErMbZQgiqCr56$>=eFL$FGuke4L38u9~S}N_xSu)HJx) z$%8-e-Ln%Ntd?+Pu_Fw1N7|cwUqA6J^6V`Q4twaeev$<9#R2;KvX=*mXI|CeVvr}Q z-RW}Lz^qM1Y#|0JS*vwq3s>^$XAJ12Bz|o5Jw(Kl^fI?sA?ANhV+hE4`rcG;|KX9x zw0rvlbD26K?9a~{=Am?yp(v!5HD!>`TrCcYs60EFtqR*8M}0399EpEod;*aw$}@(O z2!X8(jgqG9V&gR<63sWva0f=z^K+-wBF++(}ijP|18y$(l< zCgT>dKVhF$WK!ZJ6H`AszFheaBQ`>1qWt9f}=ot(G>=7&l6Ui@4G1-=V9RK_w;2oH#sj>qksRbH|JL5 z%U^5av_V}r)oy~WyMoA*W`!zfPWT;ni`{dX7KQ3p;%hN1Shc13Gu%aM3AUH$f+!Ao z82mtxfi>&91>Xd}hO{S_Au$Q)vQ49gU0Q+-6nqmGH3Y)R3g>-pyb7L%0)=w9z3j|c zu77k!e9KYOHP?Gy$QFC#gBl7!4#*R;rMNhoGc=S{>0vUbY)|n#ijn z-|^gFVUxP^QL{;MoU*uSkj^D<9D0KMRqWXJj#ngzTLi!_EyUCfN6c8W(yZQ!8E2cX zq1I0cuZiUVJNEc&o`G8x{jUj1x~5=K97lJ&*wX^AS>Yqcs}Xp-ya}o-MW7RK6f{0k zu5n9*QDXIa%-Cavag~@X{70)R@7m{N`x#ztR1J6l}uG;`>ogY1RcQ~;t={hVr@p5ac1h}O63ph#AGtj(<+TFH1&IPCfx#yMSWZ-DOj2@Dcb3!D-~vj z)9lfdV>wbNX4cIp*~IVoFr8H!9<*pyN zgu2uXEnWMOm0teyS)}sz=Y`b;zZyOxoH=+E1AbAfJO+>@0#PQRrnH8qDunoZFy|9o zcf6^sFv7=17sEfF_KVCggeB3iugsq0Lt}{15$$TsEt2=~HQ%zRV!|pAWiI<`sG^jt z-z&){rupT2sEZiEM&i1gHeu7z|YR$MQ zF~D6wF0J}v1y$^vhXl7xEJ_d@WFV!UapC++Lyk(4j&nOc_Vb?veN4UnH4%*m)IyD5K_l>@3KAcYyT&Yo0{!_{rmeG0h5*j{ zdo~KIAY+g+i-(g@GdH^`edBen5v!yNkP%GZ+r&GQV*4(iWrW;0)|hCGnS->^!Z?4~ z`DA7*%1?W!YUTH1bgEN5mHdx~5$$RkfORN?{61-WS+tqXRF5yr-W#&cSvnkQ4&tm@qBEek_jBFlj^EkjV>JxNC}mh zInk{#B3Qwz?`0}t@&eksGfB71eKYkNieORZ5Cb1{VT;GUdNPmPQQcEo_Ni=_Tz@eU zn$5=i2~xx9BCTTv!QAEKahn8^W5_fcgKI>%D-vqgzRt~fwB+Hz<7PA7#FTB%^Tc%z zMqD7PPCik|hfN1a z4XhoCj5;?i2v09FP)ib@*TT|*e*L0iShc~n%~{W`rKr$>2zR|AvtiCzd6*c=D>jad zzOf?S>08``O0=t72#6L8w7mh&5T{3wdB!P9Zzg~a_8%uLO?!+MlLMt zcis!YMoK}H<%Yv$q;MPxrIf|Hnd9zq#ANOrey63F9H$f#+^ zP@8#4Be=p)PEKpM9cHYp$UevKU?D)h&98u{yg z^g1bRbjKR93NYF5#q2*@WDnF#I~5uST@AofbF2yPND@bs;92nfM)(Ug^!DC#n?K%1blEfhz-Zn zVP?f+@kz6ZKWvNvR3LP-$rPr-UKNleC?EN{>as3cxlK?ETKBhM0xR2+F4CiSv4^!$ zK`~k69ma$*%9v00nr)$2z)5T)Q-7&Zcr_G>J!s%IO^ThKLhbii+=iVAF42*el#6bR zUD)EIyAmz&oDjzi)qau4U2o6gvH;a8j*AgXuv=v#r`P=(-HB(seJ2TtQ^O!qAotsa;fcd#E`SPc?uN z)LznU-Ti3iW>v9eNV`mH|3_A%cZ6%ol{DW}2a-CdVQ!`ETsL{hV)-u&VX_GqGY#3^ zl(uoBKiGr0DVrG$t^jLR-um0X`7}O|?RYU{DA=K*1+mhmdaMulR3H@+hW4pQJOUIC;m4dwnH;8p_na>j>5y+B$MgN zkpDc0WBX;fd&AX10I~v_KlgN8mGGw_&-;%zNj(CxEZ?PJI62z<8)vq?@*V#*_|u>n z)-WXLNqR4Wv>iCep0T5C1K%O9lB2t09&9aop2`+m1 zSiv5FW}`kGzUwgPhs|$9`NYv&pLBq)4ux_(0A|glroFglN1MVwf+VWZ2nRWaKuR%9 zfz1TmB(_O`cJAmypUz{Fll_1(3?-x@XXNZ(GP%~`-~)-Sr^6t8fM6ryI;ohN4qaFY zx?n>u{`lH~YY;VVYbdIrFnpe*LdbQ;RKr@tWGE2I$Tbo4kO% z2|J`%B@|~*pkS_SCNo#P`42pg?uAL zdAk;%Hm!c=0KubMr8avJNu|Qzv2;xU-wx!Gxu)M+W=T^7%*{+QrM2mKby{nAHnHlo#y0KLNg z-YU$X_NDL~PA&tH0B@9i)lT|N+^X$-HVy7o#ue_#lj)FT7l>gN;w%$!@Nvqou-Y@T{GWsb>8pGxpb@8RHW(2jU$0YrJC;T8+yHaBu=9-GY^{X?HyBQK;Jr zbLN>4TC&aNq^Db2Gad!In55iqcpxpb1jt$bx+J-fyZH!udOHVxs#?xD)!4+4c~8?j z6YG*LpdN}C;HJT8LX)p!< zu>6L}BIGi$Pj14np$33#N5;ypvr44f&iKsSPDs<~r~-Tn-;cQ*oc)7(_kDzLNNDYf z@+RCs`j$|snr1L43rv(!zZz2?%PSK3wXolFVdYOi$%fm0Z~D^HTd{E`ci-f*hi~!D zL5>NelI57r;yDDb@g!KG!HRU=2*ep~9$u|v{|?6iK(LcQQhYa$k#PS_5bC{+IqThX zo_7bjV4uv*fTd}}@HofYO7p_X^3XA6#07MEBEg-j;6#TqG5t?N=P6e3e)8xIz7 z>Rp72Q7wW1ExXYcgB$&lS7=0MfzoMM!JUP<7~7*=TJQ-3N2%Xn(p;#8Oi%sgmT#uT zhQMTm#={h{c5k09c1n1eNwWAqeVKd_Nl`OoKppH7NmXhnCe%s(F_6l@ZEsxYI*gA- zy8ZK`n1^0fTtKns+|e}fmk|)0T4gaMStdp$*8jdnNXXuUNSmIClaq*^h4~+;iMl^f0Me{Il2B%QrAE8KX)>B&|C`hG&k(jSbW%2TA)*5O0tf+w0U`iV zfEYj=AOVmBNCBh)G5}eC96%nR08j)d0h9qM09Ak*Kpmg~&;%F)3|#<50Aql$y^X!y zzuwl?5MTl@1(;fzTetws0A~MbmVp0iZl(Y;dsiobIluy7;pt#uY6q|cSOKg7HUL|I z9l*}g&JaRP02~aROzmt;&0PL_j=gWNHdSX_au*d#`8vCE@F*c_E@zDOC>@g;0 zRxWlJz<>K#{xg;TJtSgcVdVJ#Wsli<8oQM4-kaKNdKz!GTooI4N$#wjueB^XLgH_> zEZ0xRt?z$+zsz(unyplyNH5puUR-XJ^<+OOBuesdw^@4e0I#R7rE9(c<1Cy@*<@!| z1F4xIfYH!EW9C=jj?MpCSb`rzoCDSZVhxZ3Vs``Lp{2zIK^GjDAKwHwF};GwXDj=_ zgqmw%X$D#k)&bgxbBiM&a<&f04-XG753k~BjP7K75RkSfvN*r2L?u=sq}K1 zUs17>i2Y5@FBC|fi2gR-{= z?Be(#o?a4N#3v{zr7WW*s)tKac%S?u*d|m^^Lop z@y)^fVgI;W8NJ#|Y6iy69soYJ1#bY+1j0pB_Ze^Rgpd{obnQQgaV_^l{Y5(VMCc2& z;m=zPssTj*W7xU5PMx&@3fzPe_bhj9Cx{u4R0KXZ44i*$VSj#0m{!3zHuLc_`LPSf z#j)t`gXCQIKFYP?FHEFvnSePbgnsxOznoU;_M`C#(1Cot@m2)U3fQ{(*+%>vjrX@( z8kp~&`S2%vo5lL!P7sy3%nB)`8}!HA(>JAtbQXtA2u2qiydnh1>e79~epXdm!Mwjb ze~M4}w?Owj`#X^u=`>D%+vf%{;~eIvIgXH4Qw zrut8>RB7$+zDpF*QrY@=JDZkYlxw$EKQ$YuzEjU+cRk>Eo-h-;V7F)_-^ck`G+hlX z?;;{FyM(b~t?%+D27JIRTR5*6imIED0KBb{kim&NC012lM^;38gR&7wds16Kg-e^) zc~%%gmLh(f*zuB*1=rHAaVu>x^O<3?(SSGH8;cz)eO(w(@E`| zfcrhqe#vCt`pok3)(kae>}84{&BinGFSZjvFE~w;Wm=KY>FH?{7rmPGpxun*(37OO ztgMlo=4kDH!e+{oenHUzoNv4h&d&xlopsiT%CM5Qgihy=LI5ymWNOO)(x@vF*oFz_ zk@ImxBNK7fVV2%<*w&GtXAseUIOE(=DkCp0nI2!n7;G18o%nTRNafHkwm`kYB=|jY z_rsqz-A*WWbuwsOL-P-mCUb4m6b$^`Z?`EUObtV(DqwEMu8qE5Gv25@rlY^uJD&1| z=-;n1*{$go@dYt2YE)Y0zD51_t2>syhNtw?lTe6YAhshKI4hRd0TS5FE?^UDxX~3{ z+nvtUoW>l*?+5szO6WMVim^w8qVy;VmQY67WBf~1$&aaQxVo_u^z#5>vNRgB`XrjWQ%`M<P&r6TRh8(#Z1jm5VOv>S){`L`wEyn*m%bm z+HTPJ1V{!^Zr>*CoOgxt9=dmtY&C3}igzOI2jf_kH@vHX85%<@tvN58L1|ZL~qMxXVAZ*-^#sb^*yL0dsY+mbUIrTmDci!oMY4 zZy45d&fwgOa6w{M_v#yplED$}kta?lpR%=g=vA}6({5!wutoQMGi@|3C*LO9_%r8w zUm-{m(w0v$jWVhcbU zt5c{b);vP`iI+yzRice>Ze==gBadI?Jg}1J=U6oqv4vyZroDHYOqg?HhCf0tJQBA1 zg-faBS{tB(Zj0tFxE8L`mLcUaK3a2dP!$lqesfB2G$}WfC;Q#A?oUhGU6iqp+ScWT zQm@hp6M5!oIp3NSE2qjDlzijQ-U40xlDF%_E_LbWwUX5i=M{amE|k0ZarWP#;vS{ak7FH}zyV@MGa6w|;@*{hLF3U4G6(PM_(TUltw!phtb1ac^ zb`Ogx<4Q%t-dFT%-pD$d4GtxpI-z&;Gn&pb>)jAq7hbWsFuPsmf60(!J zn4yuIB->OTM?%_6^rtS{c#j6P4y<r&1i}p$G-Dfb{vr#?1IDPL%V|Dr6Q<9`cn~@c~zRsjX z-&?omDg0YQqgbXs+K1B>kPEVDkw>v-nmX;MG;#BwHbO*UsV>oBa|*)qi7Ck6uvWJe8DXRa`c1smKRD`)Fm~1}s1NTwt?8@rl;S7RL?B z=NM&c4j#?Jd$hyeI7Ajiz?*w9=j0kUbB11zgpIf8_n<^25An1ZpUIhqNLRPoFmD#3 z!(T8qttxPnaYtbMpL#1*H8iCGy zGqkfbW22W!Ej!ron_|biB(x_E(C&?xx5dszhRi4qnGT&z?9Lz-`YiuPwh^KA7qcy2b zUX~snJ41ho0bOhoE;#@FhU_yDuh0L(=EFZ|{SSb*S2gmrzQEfEmD{?*1j*SG3)qrv zC0jtP4G*&=_wF8!T33=0Z+%^mSq;h$Ik z2}qkltv`$#C&Frd4V2mfW6F~if3S{iamNC${NKOQmI8BZ#?98IdYQp^v!k%DbhcTE z0Wl@tpK2s6+*Qj2Q~IqIk3hqP6{$NgRhtPI1NlpQ!_|9Yn{>JTDGiCvXstvq9Oe7r zJvL0Ni)=sfj|K_!kfA-zI`9NFgov~qqCgTLb<)fI**BP9Gn_tn(rrS<6f>rYDx(fj z$e0K~XRe&G92V3Et~@1Q>wgFc9v!i>{EUl7`oA29rqqg9SX7qLNhI~thp!W`70d~a z&j4MvQFOSQ(P{_xL_t|YaH<}fe=)`MoJ{RkIb-E8RJGabym$%_)uh~~U(8cR`y0e} z_Z@NjblA_)xkbelJ2z)P(tR*LRV;aRG@U_m_D8-49I4icxbrVo zd?kzjpgLlKvXB$%Nes_GQ@9lGEn!Y^qPgcN55^;|(8AcUNjKL^xu;rt=5(v{w`UY?sAW5kK1A7ijj5C4?Cq7j(GV=a*3vESX^FL@62* z*~LAkR+b2fIuCl0h3B(892744WTB4YsERCPXo87BMH;~lRU8N7lx5F(Xaua+-HVVm zJo^KA2|U^PlESn3rVD>Yba5?twn!d`eo&mXdl8y9@ng)$4g7GDAY08+E07L@u<|PY zWyP;lu9g@lqVnRa{Sj^mZ#C$)U*K@5(g(tR%Edb=U33{XqjUNL+GJVh3l={0fDbnJh5X&s70E*uF=HkIQL5OQur8hU=}7- zd7U|)zu$-}|E3fEHT!zh<6v)v`Bf*PgmTD`z5+2rQMD9{SFPTIGUbWmS0rXXHVH4& zL;InMY zB3ZxUl5j$`u{!X;!QNieMj~4f-GUt&v*TmgK3Yt%$FYtzew9Fqs;ne>keb~@*SMMn zp$d1){(^#>p)eY4X4FWEl-lqtt96nTd2~xMbolfaJ73PSf?CE-ZS?XPv#*9{d6;wN z?Zk|P7JjQ4RBddd2*x;%Qg7^g&}(%Gr4GmylA>g3lzZv{g0qYT5(fWhF?tbnEJ0#F ziEE5q<;#2Nkq_rYc+>A4WqW3_=KfBpcKG;silI-DEgrA-zf(`?(xj#mllc4V!@m`3 zCih*w)Iy2OSI!Cwt|eG* zaou+)3CVAn@1UD=y!R>SKH7DMPondBfAH{mmViBbi8GVlR`)DoI|w3^ArM}47rknN zA|bsmlR|mT?az#>LRKD7HPBitZp1h^doq=df1QqE;YmY72v9erdk&F%0AQiHx3 zQAFg2dCkcD8fg~V;$0txK2TFlH8V|@zx*`=$G*R3b}|iRrav%YvLdA z4f=VeSA~~<9Njyh8uV(-ppvks9<>+%QUgYJTTv!}o{ZbhgYv=Q_s5#L)|OiXdDto> z7`sz{9u{M%d79Jz-v{#cFd1*?bWbymS3P%K&Ncpa^$z%-6n=>>OOc2?Zc@}|T^p~} zqkPY1%3x=Lmne!VpO=AtauXSJ1Bt5;Wx+AXwG#*0#Ln!=SKkUD7v!5T>N8|7aw7D+ z7fLxWif*xSaIz@WBUKj_5_wZ>qJ7f`g}hce%wCNo>|bNaXd@*Pg1>KKb-!(ghgKt`SRego3gQg6b|lEshomH zqVPFKc2R-XB{Odn^|o2om9v!p4!~^&%$eQ%Ll9>`+RwK^i0;=h#xXNq_9Rv~5N29; z^`BfP0wvXnNDPjlcH6FqLD=^cS-o34!X9@Zg!y5#f!McX|D$r?b59Ut4)9b0#7+qP}nw#_@XZQHhO z+cTM$mzPRXsmdz7>H4-^z38rUe*d#*mvdfWaW<;l12n;71qa7$&a@CmiEX@Wk|55#Y$2D}aMhJtjdK~oYxxl2Mf7NZ!> z3eO(+k`vq<9DZn!uL@!Rfn~ITeTV8d_`QoY6az!@ka}AA~k6rrMtJ!OaTRrmZ`@p^U2!$ zpHPR2979umLhhDte|!r&lf+^*1Z z<3U=6E=Fu5@{ZCESk+xW zWTb9|`e$Z@vbW`VO^^$7wUCLh7n`|}lm*OJ_dx`2vX$Z`?GHgqwM58}&& z$R36@)i)chSW6qN}7q)3pDs#b2POz0{%QXl2yr=TDOF9A1 zNco(2^SjV?m)c1Akcm5?Uhg0=rqAj+6xG?gz18_}zghm)hBn>#FiPpa6d_a_xr^w#O%2c?FurN(PM6w|Ay6f#J^#1+oY_{1k%Umu`1OcM1lrB zB}vd0?R{+vF-OVCV$qtOB^d&_00W2SFccL@ET}aEQ1+Caox=cU;rZ$B8)i(hEr+{U z6BL%Q4ACc!tQkT|=h()^3YnNN-{)A^xAKr{vO6=h85h|o^o$$8qpeR?R$F(Q^)I~(qyw3^9ToBl0Durww{mrgamaIUx;psNqG!L?gt zSA9+vP7vEUD&bc9nf!{0e9b`T7!d2%_%j&9k z>P=Oj_c(tmxSZpkzChc}d5J2eGK5+8lyPm-7r=JLjTm^yd0Bf@sD_H682e~4XhL+^ zC>n17(Pqw~tAq&+3G<<`IM#Z=L2&0(4$-$hEL8v5Ly!iWmY0$Lcq|7I%z2IT?0D z$esISQ)Bq#?->-fs_1dKLX&UER1_Q^>fP#I|2Q{&UG7UshIG-bcSXhU5B@BJaUG=56esSh*61;{_y z{O@m42h^6c&BdOv8~83HRt!Pv<4l!~A$@WpK^resE4K$E50kiACUrOy`@qnZvCvv< zTkPox8ot#B5y!*K?`_Y@p&GyHSUM42%(}-r*|HIB(FW6ya>x;Kv`@;;y=@>NcqdYW z3`U8GfiRsonwyKoe+)kj<{}y5VJ7($keg~dI%n7?5D(7=BqA}QNQyZTf_rqON3M77 zQ}1Lmwx&Q(5?Z`r1@=|tf26EL+>kV%ZkvS#2g)Jj-W93 ze9KfHOj!#VaIGx7;N5)RhNRP!dMrSYBL*{EP)_jeqP?r>T zp|RQWvsL~zJC&wN+ai9@(r8EkSwg^xxKYX*v#J8HcYW6hOXz1`Y29|XB)`SeD|H@q5)E8pk~*=qw#IXJt-e%M5}=YHfuI}kn#g5(ZYuU@nNkz zz1e(1%jEkmg$7b`)C>$>lCS~YphvY19qlb*zWyc)OwjO5EE-tNh`QDTr0EZhE&dk0 z#Uh#^OUSE?j0Fo_pk{a*q|^LiFXa_*J>NIp26w<@N>az~VD1_}#3d@i*$*xb*{mpS#|QBfhF^LW+! zI2-(;_-viZT!^PJKV8FmD!G}x6t{$n*i+77UE-){{X~8mF)h8;GL(v5C&sdh9!zS? zIU9v^M^Hz2PlK*TB5E(wZ)v$M^gu-0e}o8lEy(>vH~Bt#l}qiRhFpG1g){w<{o=h2 z#FyUpd@3Rsf>@+6M^?q_7W0bvWp)^(VtID%3*z_#>zJ;X!J%k{Rl3Yc!0B71Ud{kW zNINsNk|KC@-Iw~HfqL+Q1YOst9!HA)w446O2i-QmqKB^V8#r(Llf+iW%p$hZ>) z;v+M~wFvjAj3ATO@!&Mm7i}-j?J=}r#=pJo{~jrXL#`hkEz=a7kmA}D`aX{EXI~8^ z6-kl(m6TT_^TJAHKhp%9YV&vS940{+cl3>eGnw?q#CbTdxJ1!T8lo)-iuCd4+$fYe z)a~o510;iUGnp@tiT=iV^6@dC;gW~PVai0hKW7D(#XmNQmbY+Zu{6GK!>ryurqj!jBUk{WudOvvDcU_# zAU)u3*w6~sMX0u%Nct%WIIkQrbiL?dZKeLe+L;*o&7WRaWcF8k*!_K(2Q||0(!2LpWVPR4&j%8ak3<*xtEssfr}2b+O|}MuwcjvoX0VO`x8$!aC-Y3qyQ9pG;;p^eG6G53k+}&^ zS?9gMzZ3xJ{dRgP0}R&QdBk>kT5Wm1mZRw($yLkHi9k6$H#bUjyFFbL_%UXQyBCq4 z7_=x1n|>an?3{fztaWXF86y;ti8PgYtAA=F>$UTLM8*6X1CLBcV$P4d{986-jaiSGFvy z=S3rM1Oo;LCWvLE1WDgX`ZGG-8uxrDoN!Fx}iv z&jh}@cnrzN%3%gOa3!Ggx<=h@n#UF9zxpoA3|2^#CgP|F9Pd?-15;`i2a-5qbS9MD7GW>^uIRjIMS06%BIx}er8itOr5HWa0qmq#i>UVfdgIeXyTH|rrXILzalYh zq?kk-3Vz{UI@955n^@=c@id>w` z(%k#=8j?>Nki%w+KRlqYd(E6jn@IbNM^(k)NRwVz=&Q|@-(HrM#_lABtSJFF(o-$u zeLEO`5IXn)w&a4YA=z^BG&qqdNfq1MrQ#c+v?5bbMLkL^`T+}Iv+0eaWG!1#lL7l0&Jh9xqK6 zLfh7nO&839QSJft1&@x=L{H#pJ-wfNd%AWmDfFXNT^dk>i2V0sw&rbNPx9PXos{Sf zcOE7>SisR4vEz3P-H#I&f4)8L@IsTamIQ-u1XZW>Hg!tsi@-qcDXvhVkIR|prD@ld zJ-mr-7|2DaNFIr=)XTL06mBx>%1Q*cNg(C6fkU35iXRQ32rzi-I=10^d3v4Bnx%2} zD4xXT&ZVuZ>@MnW({bc@8C0;UNaWD^MSDjbMVD^;c!3*=&3kh2-VKnzs*p?P-)?{R z?Z>RE2K4L%-u`@IYD@raz#(I`kL`#hymW*sL8?i89bR`D!rl^vyK0KVfnXmFjG`+L^7^OA(B6Q3P$CHz zkxYfZY8WvxD`z^MbSRT+5##for2JulxkaHlhK*L{up$}r22I-3!iYL3lUYxk*M_u4>Me&iF@z=x0od}sZl<7kwK|2-`dx< zfxtE9x7sHmBAG>+ae=A2~7+F5I1BO}{_rRPCuK-x| zXCYJ6$Hk|(b)Gzemiqxc`hr*BC+IpDD@^bSxs~+1fe;g%)Z^<8)00H2u{9g7 zsOcyR#X!EZ(r#ZO{vo@lIX-O&L>mt(d2ttxlbRAY=a!g^22}}V#iek=ar0dpmhfY2 za)R=%O{6h@&xfPzl{?-o365CxHU;?m7o@A+#}=%FI#wNlss*@+PnejH6M(|DT*aY^f;__EkZ zFFs`}D-D<{a#>8Djui{w)%lChJOuM+j4^HT7lu~P72JX%zC0T9FM*fhFhXP%S3>A& zCX#uv_%EE72{oKO*sX$ufxitM{oIiVaO17qxNI}@lXJ* z*#~T>L<&-OVtj|B!pW0ljk^eN3EE70NEKk?yVzIa<$ke9f6foN@r9DN=G3qWCDsd$ zw>V9+qbvypv=peQK+yE4J+qtuT+CLzN>ACJgc||ZdpVenO+eTmlFSTi!d^u>6w<2V z2ShyY|7Bj&U^(I1ae6VoiAjw?qg@8M2)s@6yq`UbbdIG+DuXtEPrhQPfQxNpY)-SX(`48NqJdSh&{;`D^tr9A4W;4KX<9A{M zd#_+X!@n;qN_IqX=n;0BzJ5?j_I;}yi=#O~NIb!&&XQeXuD|JvSCx^2QomG~OV?5a zRhnEZdRA?EoK(PTn6a-*c2UJB9LW%2Vv-Ymser^m89w)CmcWXcNW$yRiaE}@#c*ZO zMo(K-0EwgX1TGp0H>YaQVZuAI3Pdj7{Ykxh^#cm9C2^ARC1JA4EJr84(s(E7KRBu#W)Pwnw#J@7mP9Zt&CYR5& z2u!WOwgHrvuskM$ik(s3%BuO&!s8+KY11V3-8sWL#0+4#?*=*oI9o~ko%z^+<#s#9 z_t`l6^iHR&M1l8!bF9ktBe>xYBvuyxvaO0^fFTt_Qvvd}^|u$q?2X?`Em5wNRQ~%T zyXW!}{A&FPw^X&Wwp!$_D_#E{Nw}`9n%+N!3iAlk0$d+_6EZr&=o_U1fHb*Z>v~S; zUCC$M4Oxowp&CPBGQR(C;<+Mh&T8g8JQxSc5l$HP1>>$(p69<;iewDAp5d=#+K}dJ z9JFXWyCedkk&?p!O?P6UsWM8E%B_X#p=5wmU=A$=~JX#P=?jv8IU zu2XY^_ARX&(xgWgu&_Pyg2Ln<{$cq-QS5i*(8=QyR%rf`X_6vVt)32;)qGEyXApx_8Wbg`Vp zX`h^7nR~U(x+;YcF|fAPo{bD{9i}rpEN@hH>z=E-cKIEY#HH^?Df@Mao|~ycyW5x# zgOGcR@tqXy;HtCOfRKyG&4GHx(8$sg_T_i?EG;b?WdGw&Tnt~{YrvuC2HyNnp3$m8 zK^C|RmeIiZDxX>EE4LU?%jbd{-ixnA&!Y5s~y9Q?NCsC5}^Ubj?xztEQDcvy7aYBiwOQ!f@32LcdeEa-3x-8(+y%3QUIws zh|D1yN%``0Rvyo2N5o-&$P`KNM*xSkXNW+;I(W>3JIC~~WzrW0s7=G|7(1O(n{O?; zmMFUn1*unvAGMD)_xr5ef=)!Uo-GUe1wm>J-J?2@ArlzhzPOo0CaPdW9mN6RoBF<( zUTUKH%td6!7x!DlN%&N(k9D-N=kP7HbXb%$ku5?rpp|i_AupGQ$nmJk{JwAMHTJBR z3#aM`XIwJ{8Cm?B|LBGF?g@&=^c5cGM!~KPO%#MAWMpeKoAV3iA*=KCPndC6<#Fj& zWVW#Lz6cv+4|G$s7We(oZZ2gErK=bl$ZU3Dz#qo98GM5do_Y`H$lp~0WOZHwdian1 zyYZcsB}y?1-3M;_nZDEgL9aGBn5Dvi^WA{;q2)KiC#(XYMeXAubw_|XDt`S4tX-xq zrj7zE>4gf1*C?UdJUc!qtA{kTCY(Nz#;Muw$9Z46{1)ILB$R#2|$L7O5=0n;~C&OFX)ECEAli&5ms}pR;A{c1(bBvV8GtHTP8d$;N!VO;Pb} zq14Py#;ahpW~4FX4yooV%2W?NghsWV_5%9ZjM!|yZqHug>?*8DFF#MRW{31A_4U+d z@5Jq4UsRG$D9RE_=|n=Fn0~26J<_RVoIKIu{TR1$^TVz*04YP-FqEiM)!PrC3DQsGHn91L zTd#RmoM#eLT2~LrzM!C)TdgcQtaO!?gr_P`^&&Rs!f^M;HPG9ZQxf~HqLTDiMalJ` z?A&{MF%OY}wB1t(BqwdQZ|LSyh?vvQBIX2l)}LBQ>ViJ(g%f?S>b!W#ja5cN#qLUM zh{eGc{Sc=j4Ae($0cEc4nV5?apDr8iq)4h5KWhvZYukw}3Y$Y_N)`&McpkDweMJ~6 zCFKQFs&a-ME$!}wVA#kBkdaKF6S-^nO-Q#qAZRSt=I*r<9 zmn+@hNA1ns-d>+&#{DzTsr>P$*f%IJ6b}u_oGeWT!`4e{vJHWX0b6-)|IEuFDnR4M zSQk`iT!fAJv;BHsLU1QV5j}XWyVl}Ys&^%+k2>+}QE2O{NW{D}w3;1=k)CTe!&dDm z)<}B(@ts8oZ;))B*o87Bw4vgSix6hYB)5f*2uQd{&IIQxbIC<@wEmSO-hlc-#DeDI z4LoHkmT@e1()8W(Oo>vXtHh!R?DIPx8mCW!JX@IZL$q({848Yx9N-T@Lpk+T4o@HC z$a35`cAPCR_Qv6l!`6FcJc#O0UY?2u|lB@4K9`<3)whM;R z%MdOo)Ntm==%P1yd)PEvQI*dDN2$G*S%Rl6(l)xXlTg8^cwKhHNmZh6^UyW%`rP+W zt6m*H#Yaa-&ZlXzGuy1O3?RDbzZy^cRjQ>27&z!$V}ozA{@U87772qOaYouJk(lyA zDWLp$chHvh%Zjsz_hef5bH`H5PmpgZH86$i2vgODUjFBNKzq4Zp_2{avGtO4?!9}M zm?GxmeD76XQL+9m0&g|v{YcBt)ziB35v@nTf*SpVy5xmMTAoO}eBX~S}9}Qh)t#hkD>QmfGNX#T1uJ)PhE+&5@ z$1HQCyo7UfujEfzT6k^kGA4FmBIk-1CcfFMFU`0AXr)8b)lp9EYY^z7Bny zSH>cI!H=D^*+~5M1{VG+WwUiSD9CK|IYZe<0slV~vZ5GC1yj0^Iq-02hU~*1RN;O2 zt_0^It-csHOpNmoT?y@seRMIJupBSZW_+$tJF9}XBj%PFbhOQ4h8%apXlr|mJPG!) z;|T4dD=$qGw&Jlr&da;?iz~DKk3ujv13~6ER-z+HAq$7|Yta-!Yi?;b)-=uJ3Pf0O z?&N!fAzUjwia{E!Q^v08n4fo_7j%4;;xTXxLk#JpMvw-X#+Av>#5=OxD@ndS)ug}G z_-b69DSM`K3!i6IzT)e4T21I}_~zK!k)Ca1vzl0F8{^&GsyOapYyn&ZSj#ni=Z|4- z&}L^d2IlDLn8#(N^O3VQg%jRk9=F6If)oKVLg4V;9nn^GZ~+SEN>eC$;)oL%cM1|C;W=b#~R#FSzXqRzfCQCDHv(Uu4Mh`KKKsEEGA~OLma+PK@Cr7x$ z;yr$~*GdInugLyqlcN$21-s6@r#j;q_kBaL0b}oFy_W@7`mlyR+aj|nu~4%l3&!k} zzc1+1N94@&F+`bYNQSyT-eirWt8is*)%NqA+*;LbVqj_@*Y}+L|N6~4%ZEk>EMvIk zL`Q5$@bRa`TttxI)5CA)g#tCB*pJ>w(cz#-+=XXxXQJI3m_uA!qn;yBE@T2;S<)RK zj%!)8G0DffreqohAE-B`(USKTQy;K&HY~JJKMZM4&i@v`vV(r#enxZTzvi9Ky!8N_ zpz|&MU;Grq|3Y#8Uw(?8U*q?l;D7olCZ=DM^MCbItpDMs=-Gd%4lCWSpJMv|>8AvK z?UeYhmQww7QNJkae_|-pU*}}?E1doZZTe5e^xqBBuU`63uksIZCaim+#G+ND99_>~e&DI+tsn-AT)@)r-(QdcX z^|Ey`{I(zY$%yG#NpEUWsg+*XGkAHWrNs3|3ez0(45Jlb++Z9l3g%ZRfpM5%C@AQY zDGH)XdU7HPqASw+wUrc zZ~!h|T3>EaN7Dds+1S_s*-TeE$y9qe{SZ@RW&mkXO97gsuoMI6yMs!Lv)cm%xBjE# z+gzMp9GeBp-L)_>G>3_+V`6D?WGMnkTjK<@`Yr&7y1J60{s|sSzup13+?2}F`UW&P zHnN3|LsdpZNlaA)41-#zfB=TMk^*2r`YGIKV{`mMwzjyuysKH71JL>wt*8IQ8$0za z{JG2h?1J3xzaoR8OkioO6T^w;x$254gG2V20Z+P=K&bv#Zdr~ zlA8JscWJ7NXKZ8!m4n#W;Am}6;{Y_el9tN41Q-u-nf{^MH!=r9sBfVM4D)DBTTf5R z_+*`%U7jC)_+fqe5fN+0_!f-L&3fZCV)z^}I-@o@)3?aiJG+PfFbQG#seQKXXg~AS zc&ZWj8T&3l+08-AT-{i6``!uosl3=ZI%Pg2ULqDQ`!OZ_zCvqaY-X)%WCSPg_!O+p zOC0;b_`Xu1Yy6yH`$8Z09f9tB{c%zkL?@Gk_hSLx>NyVH(_Qf;+4?~zAvU_%6P_3x zgv>QIF#)2hZ>R%e!&LYBM%OY_B_mVhO!al$&1w2n{dqA;&+tgY8nCKd$94qQENTi- zd5u7uQVmd?nZYVms9^xAnR?tdC(?w|OgqKf+2tzVJ?t3Rn*qhRyVkuC=V?a398T}g zl93kufm+cXdQ%k8GQZaBc*s+`-A1T(g)R=UwxkAkLBHEgsB1$koNcL2xI#L^s zq@KpGmfL0rh-t*`>3*~#lPQyoNho`QHT4kF4rH=LRB*E#{5jDBCZ^^!!@G__(cH)S7qyl;Q>U!Z|3$7Xuo{hz< zKqFWp&o_2}e5OQ-8e+XUVueIegq>kA=Q-ZSlZ{$x+dwztSd;0moPkpz|Dc?Rsb5|9 zH9E@`8-34;gsddxt)aum#Z`q+t2yL!3fkB3ql{e!s5>7qVoL5YN&8o<*$$z-cOR6x zN@{apojrht8#EZ1cE$+T)(^$pjE`Oy{_Nv`5ZE?IX`=}X@X3E%uu+p@-(HOowV9$G zqvIp31pqP|56RX>r+1TWjQ*YJVA-O=Z}=W-2zyZ5#J4|!T~PJ5@~Uthj42DII%S;Z zi~88;l(?LnT4YM`Tq;p_Ze(ExHx&}m^r0W4&(Trx{1pu+%ecAx0cgZQ6e#wa|8GZS zMAwsU<{6r(ZurKx!>=`952dX)4x<9sfkgfPE*;n zOey6N*)rx0>^~y^{M&+kFDtIQdUZ0a$^0XB=Vmin**hb2dp5@JE)T1-?C615E-aY< zW2CY|Z)O2Uv6+rXDzW>iyVOVY-y}a0^sUAhOF=A2JB`wtPdM(IBXUQyueNY!oK|kNKUCibMU{Zr&@-8oL zrb)#KSw73naURk&iJ|+B_~K7>Z&rF_OE0$>F(Z;s;QbZi) zd5Q8cLV@x+XcI@`&iO`VEj$Lngu&5R=y=ewT}CuwJm(2D^4_%Ol$)duV;VPhx)0d7 z%J-LAhWe$PQ}@=s!udH>wq6&;dZLIWr!2g;Vu8i%x6mNsgieV<;gXoxtN7kWr!vhr9FkJA@?p6C3IeTnQ$_JGSa#KhC#>q%DmW z3cip{#+pS06$|ILA7+P&-%$To92F3O9m`9Oe9bO-ciu#gB=KbJyU^UjTX)_8p-w0k zG5D)k(|3Jh-9IHr{Un9Qc`F=h26MaMit&|-Os(6A~#7JCX(*S z$Ck8Ob0&p$vm0!6N%rs4k**jCi)!yGE;IWS4o5%`^3#^M&0aIJ{dFIas_9xU9Sy+B zkk?N>Fq28oak@s$A6D_cX*4E!MmIq__&85E4d_AlJhJcQbnqvq;|KzgOP%)YAJPekgy4Dp?q$bRr;dCoT2=nP;gl3gmp+ zw}cN%cUwr#`p5PG!_}(H$~+)XL*Xgh+U@fKm*Zu0zY95ps3UObDMMi7NK6gnZoBc@ zx~KocKY!gjWg?KbvXeysKa%)lP~x#^e4Ou>vxk9BCCXl#L8O^)fi2`7mpqxcsv5qr z;tVTypI}p;l3HZ_P+%E25+hI**WZ4T^l*R)!Q;cAlW#LZ?r`0@ITuh{SE zz0}c~G;VPN zb{;wxc;qS20HJk``QDL-yPG}*ez_FM`-bn#H8P&-!*p;Uuz)G;F2G`ov$=QC zwnLA57_*=31CzoUyd;U8?mexLc^Vj@GIdp5RP|bqS&EprB$-HO?p@dC@;Ax0Z44SV zM`}(6VKMJ->S>rJs=iH#qF;J=7fmLX4`~zTHp__s^8S5+c#HHAj=odQ7WB6(N5w zyY9&RWafFN{CXK|I0-zLC*XFXoeo3wFK{l`4wOsx<5M;SjKtVEf%|WEH>1S;H2vM! zpgbRRug|`^k|Nck>c;mFcw(h|)P~6|BW`(L`8gmbwTomiG%I$5BeXFjudAs2Vyqk~ zoWfLGnQH}}()S`Yjz=^xX~%x0$`6Q zGsdb^5wM+$1U>;T5ye%u+c?kR=FpaGoFeGH)ICW`Gh}9Io!-}X5m~P_B+LElvPkRA zyFnaZRYm47^q@_&ZT6*;a$m90tj*e_6{&i<8Q$kk()|#t~Y8B-|0;cGa5nc zA*(Fdf6Y7ul^4y z>UeH$)}m~pW^W>{zM80F11*{Wn?ZD|-)w~+08T~phWntkv=k>Fr@O@MGa+cVl{^$x zTxc=9kt7Tsel*XXvmrXqP~5gj*DM zR1IK{!dQsTY{+X)Fp_t??&p}rWLI=AkU{)xHE8(=H>kG|1KJoN7--D(mFwUI2=yhH z!jjflZ{%k#9mn@MG}YvC*!FBMgsOkDiy>M!RY&j+CU7F9HZ3yLOw7;)P)~L`Prf;T zxkK;Xrvvh7jYoX&bGti)V;^uny^TC>vJz4@oHiXW8sEShr_^P+*};NFvl^llq$ZXo z1fZ7l+<`12eV&S}dV|q+^d`8Q+xD&weaHmD-4o%D8%-gx!$ACTGF>u1?L-+eW>M#v zI*w=om2aR{&{th_T3NE-48KW)`;04$O5nuno<;b%s&;PaL8*Qu8-=Rh3I-gOCK+nK zq0!VDG!+qQB3fCjvG0XJs*)z8S&!On4`eW2RKham3cYR#9N%4hgMq&6mg%JK9mIq> zF#<4Xex$yVo#`P2-Ru<^?--XP&$C*O=_w3Ffc8qS5&ai%`POR{EI`nU{J)nEaFoq97t(f9O&G zY%L_8fq%g@R$XiPk&@Yg1f9*lF#3XJrVY$W3*hI5bzg6B{~ly7F!GdC?wzf!=LL!; z^7Ezm8l)g=OV|C;a=hF5}{ca+VmSqh9 z^FsDuI%oC5vPDPQBbB^RcC`V#+83+zCwF->Ysb zarqXCdiDq#+KzNP@g#NalMeH_9)4b!!*(u;GHwT@juZ@(V<2B}U(dy0*e??om4yph zCR$6HoFqm=8YnDmte#bs8L1sjSUY@l?UCrL5uBQZZVHN^IMq>x6eP9IM>i4E>B0zK z9C`2;z-f!?n{-Zp7FMFbyt?cgV-sUmeNjOux!9zUScG>o*;P76<@kw+A5>aCIO`iI zCATOpK;ZWVE2<-gmXUJ#4jkFa*E(-dI=R8=+0c3EzUWuDw?AzRoV2$ev+GubBPAy< zZm15Y97uK~rGz-Bw|1c0%p1uCZhR|JBn*6Jw&*syah-`bhvWCmRJ=m^*R%aZb`ZJ= z%>Zgo-fE!JqAa^dP&lQCJZ@me_mumKJsL!Ihq)$`JZ zs$=nY1DxGI&-vNkKuvZ2S~n+!=o3?`;4q>wyJi?Bs#&4kf_z118CEu9{r6;(R+c8? zvZWFNw+iNn3m^h;a4<(+aDaBrZ0a9Z)c^|b6C00-=%xtTAFg8qO%0Zqo(YmD2`S|4hOlaVCO^x)!8> zr7PSrB0Ua-M)hT0-u)ZwODElob>ZzRsnC?x|F(#vEWxx14h3t`*>-E2){Xel{yR*Q zLdcVPneA?ZQx~eCo()QFn=V$newp&JT-RLYWxo=<$L#XFEI=Qa_R=gwnZ2w6eZYD} zTYs35;26Qe^lWbYT@Q@D+w@x`u4TW??0mi&mw!u4xHaI9Xta7eiII{Kkb zGm1B*>>{J52}-=5vUTZ{Mi#Lj^aj@-mH2FvC*9gbvi}I`c$hLDXnW@p{B59KIlXCB z&rhA~CEQ7$(xAP60JglT7R$5=?Y30(f(0ZxGY{yZcVKiU1~7FW^A%-gbO1JVp@wM4 z_=1??W9ic5HcN=19f?4CJq=>}aROqqXaYF2cKJ);rwMf99)G(igx=;4!a(7P6Cgdm zyqv$NzKuB->#x(@&-LxKs{MArbaahb9hLZF{9E!R>2a=P#b1-ewWHdcFaG`6V+h^N zF7t)WZ>W{SDZVyLq5A?yX7NGs{8+}3QYC!2pWKSdi*LNeC$=P0U+;e?E`w@bb7+V( z47yW>Sax~EMfUI_3TNLT7sIx^)+^v&-L9naWg{JUfw`Q8-P@eP2npgS2^8|F#n@tThPA~dDa1PFkq5dP%k&^hx2OJl1@lZ znv`7h0r$D+;&)+)`<0B_Rlc%bNv3DE`5I}==`v|@(elv8`f4;)xWt%b*0Z!50{6$2 z{{K~z5{e-;@Z^V-Epn7JVL4!>y-bfI1r0b0-oeFG8lq$THMVS7Y z+}zSEAOC1#@0;7Iv~hx-yp9}@x;yYP{aG+X$6v8boB$!pcOF>KGPM@3KialrT>Rsz zGWDxL)u<|oAqvlvvncgAP?K#l4h-kGz(zMTCr&JTbNjeH;D*hvgu}X%=xRi=sBI7@>V38N{fF(Qq@VcGb?w|ETc14g&Ksh z7aN_upk66xnS7h=-DIVA@@{O?wu0vUG|_U_unxH<-I0>RkpR?pk*u3qLa2J}%dvE8 z(|?3wRHdf|(!4h6&n~@GNMcOI} zE_|{Z3+JT91!SLY6FJ2ICnb{yF zwFgvCD_t6`An3|ZWiC?fU-Nb{JDJHZCCXYrslTx{b8_DxgDyCac8=muZ6uor%HztKsprR-G)qA7@t5Be)@g&!S8gSOH4vG0FD}=VF5j044^a03u1-A)zJsxx zn+boA9Tlo9??ynq_MQ7&L-n`V^uPrdioDc*_un>CER%n>`JeYKwY>5!IWC%+)i5Id z9DmLr@6*x!{w11tUw*M`exwYSU1C)L-`gxf+uOT<XZPx=FBTC+q+p?L_RJK zKnSQ~2rPd|jvI>=4l?_4Zlr^qBRIypjro3^;;Z4E=#_w|q{Sk5;_?iZQ)%tb9>}N) zI#Is-62>ON%$`INq79w4NR}$3#}FSt3p9e_`}@xfFGr8BMsd(92|?vAX6+!Lkh2Vv zz$BNWAxu60WZ}P#2Hm$T8{VF--)YYw;(+(AWEdPf>f?d;*mS@+E`Uq_tCDD;QdWOi z%!*9wxx#9l+Djng=gsI0=kAs2{3ua|s<+*_IKNv=gf55e-$l?(Ebv|U^SsOE`;07{ ztO4>}sE1W1Pf)S#{lU2FF!e%4`cc$;dNGo(-JagJQ3pgqd4_3Bl{bi;Xd_;2M`$f( z_s5TpgSos;xPx9>G9U-01edK?b3I=1yaFSOo#q~nBr`U+G9h|t>NG@ehg;MRqme%d zt1o9xRp*Y!7-{=UC0f@qQ^dsMUMVHvGIS!vdi0}AR|;ZDzQ)p=%d)ipAS6ceH?AlN z4&=t!qFb-Pu25nHPM;zuA%EZ`u4ggY08f)~f%rAyCY`of2tT)@@Ee=+BK>e~7I(ME z8?|gAy373D*gA?nK*wMCP~O>SSR$E|aQ|e%%JZsE&s%1=xz~C(#7T$Ne?@R@{iXtW zad*~CN{Ho|UM2?{8XYpF<)VLwXNgZkTq1L5q%P^s>&cu9fJc^+aX>U zD9g|~UOi9(JwN3Se)%IWcj)7D@komuB}izSRA)UqV%)q}xrWBxN9R#MX6_RPs{&V5SN7q4*Y=PbZ*3aEan_1&lR6On3vQ8~JIipRs_p~pR<8fImAU}#fc-k8O_VYU9ng} znGG8y3y?=!K7OlS{6@y!8Sad#xu832e%O@W^#c#3P3o*Q`b!Y1-RWW^()&c_=+Oik zX1?%i}O$Eu3M$2BP~@=?uLkV|d4Y zG|1|ma@#lz(@^Gl-fFBN%Ex^LYJMAg{f+{t24F_JUij{!NVgC82EOF+hp|Q?Un;|o z75-MnCDO~XfNcbE)I-R9rgy_;^GD!ZEk>ar=Xq%baW9tHR|_kCWf$uHI1l!yKKWuQ zuCmw-Sb+9u3QI`!#2Wwp5<-am?t2uL)v5x@EH|v zfva-JM&U$?25H4E)R_-aybXFP=Azil?JL}Be_m^sSZAnpCe%wy3)OQM6bz2r3T#ma ztlcDVM@hQQ99DvLNQ_EK_>crTaPZSy;*+et1l$cf)5X5_MW&iVG7HoXOzl;9=f;S# zC3|peC<7cVu58P~*>I&hI#l#JVxrGC;*QQzRu$|NcSOdQQNpdLttDBLRdI&c;QH%L zhm<4_>a^)M%?mC=Hx=us##)zsw6;rn^# z$t(>Js&tg&Z&*OusZ@hj!R~B*{a(JyPBP@G)ghv%y4aA<(Y0ja>gYCxrS@0FZCq^v zihBh!jJTji(froUAci*s&Y|C>b=x-X>bH}fSFgUVSm49Idm~}o$m7cddmo&Gra;8x zwFx~98wqThZ^M+cv1|_z0&;7kL9ZM6M7i~KEh~gbB@{p|1+IYZhia+=__O2$A2Gwk) zz1c7Ur%1i(Qzd80a8@|PN0JNaq1t|m6I^S@{@%aLlIklW4}5HtB-q-=u-%Cq}PoO9{k^iIGDdMqz@h;kFf3y-4;O~%owH}c~(;x+?gOBPNhS`Ot7jr-@g zQ(~soAO>u@5uSk03W7C0hCv-H_evgFo#QB6V@Kg@+G_Nq<3JBSHzYNy*>a18Zt-$7 zgE{nrRL4`ZQy_q)m!O}KyG2!++l`L(PK=uL9+9|*QY21$13Z6s&+bUMuiyAfTu z2yNn4&T6?=-xzZ>jKc=DiB@=F6;|yzSM{T-KBZrI< z!KJ&$Y5nJczzPgrdMQ6|3J){+O1@@mntpLJbDT7RzBfLTLDI<;jPd?_vk0;8>m_`cG>6-X&jNL=9C_$7a;A`8qZQHhO+qSKDZQHhO+xK1D zp4UCS=$YtA>Pm*!r`ccxKnm;P%Ic^d&bHjhQs31xS)9d|*Ne-I-VzRX)7Y*S2z)&}DHUv-U zzQu#*RM>=y8b*h~s{*u2=^iSwcA*Z~mKkyRe_0ac@`(r3e@}gU{DwbowIpkQlyxIg zl|KzW@vqO#G~*2?QDA^w<7CYOyy0MZP@oiT;|RUjsNEpS*S2rt8g+QX%qE=NI-#@Z z>!8&H=-c!nxCM^SZ5PJIx6`wB<|}%4_h9<+8Q6lOTCP-`v;gL<$ey?GrKG#p&n0B4f5s1{jv93;*S)JkK;8nVS+fjMEh$i>%o;ts9f7 z`pd&AspoulT}LbI8gpWmcEK)_-1))QOUM8v>bjgGOjnTmo=1soUaRB5!StXEbV*Z0 zxH#}hsTIv02O}hLdAjZBSol|#=uZ}1siqEO)#A*j_f>ub*Tmg&Y?W(0_W2XgNfO&em9P3{SLZxqOu)?lm zXE=lP2BFL`NwS{_NGQAYHzrwg21(j1k;JjY3qPPdK1Y}|?Xny&lJa%#Ir(w)hFU4- zWywy0U&;<|o_&dAx`X`hNDc3;Gp%vQQLc8yP)P-AQ3~u~a{-$r%rgC~FDu~6h4oC8 zV^V;Uglq(WWe+~e3vNSq1L#bx1{o=haA2F{UfX@Z??frW6fqc-BpNuj13!P-k8?C( zrL&}G`BF+&yE@rC4WY39<$_^Dh~-;lq%Wrz05emY(LyPbMz3bhCMnf7ck}nU z%5Dn0k#sggl` z{?I5@z80A8A?D4llmNHcp-4l9=k?;Zrh??JXpf6YG-BZONbc3bd#Z@?imH1v1VcEd zXg#Kz%oCXxGA&5?iBIEP#8<>>2zZOpR1;SR#i5lJqzatjMBSAE9{Te1jm@q4yj1vd z4Ouqkt;{4e=wQw`*218j#8(!D{kJvBOGlA4NmL*(==C$VgnISkhoi?fL1LKNO-|{_ zMg`^PY`+BM4}0pmbkKK+85SgcKqdP@V(XLNZ=VI;C>-okup}&V5a8a#z9P1~s7(&0 zy5mH|%J@UvmdM8QcF!W3-_>93rFBGQ{`_RC4hX!bjzZY2Vh|#$epA4`SNwB`*=( z&Xni|6N&jeE>B3%%9XrcZpP1qkVTre8juEnFZ%<(!jMgvZPT`J6N2xs+yeuNjsKK` zm;43)iU&xr+>`^oU*Snfy(1g0b|od`4JwRX^rKP??#LcGo{`u4W?)`a89mYt=#IAC zN@=9w^J!e03X+HEpKU4?-_91AmC-0gYX;@{I{cK<{pHqYuF33LZRINA@0*1rX+dwnWM3qCZ`RA)AcYyM;XLRp*N-t1^hhq00E3bMpw>N9pja7<{8UYdKj?)v~G zVw-J9$fNPb_Thd;*tUvcu+}15WOwUSS3S!OXH^`M&l8YA_d3!ujLJeS* z#$FDmbBh|eGy)&XIHSHitGnBw%*qr#kgXXzP&wAhMIN*EJy>_2K>W8^RByJz8|csD zKsSr!RFSrJ?)!Kfm&H@Or=Mk$uoBmk3D2jEO%E#D@mtPZ@yBr|ScG+J(8ULEU?zSj zQ=qur5Y~3~^JEx3jQU?$<60JSj^|GEh!KXLKpZSmtQn)6GD3%m^qxtB$@#TV^^;u+ zxO-$%N#8=}uLr&sWjORU_L7s+>e$;#GxJcH)EvQMS>dGxf~UALn~g=mkU+cVY6x#E zo?%Ry4K3I{Qp+ZEqwFi_9p5hSl|9}7h?OzqX!MS%(IXmj#YVWXs}~e6X$?W=Li%nu zCl*Qy_*@$;i#``m#IYq)0aulHJRXd#A@Cy2nN&G!itS%M-1)X!TVYTsJABg*-*}p& z!=5_#rpO?%AbXWN?PlwTl4qNKWiczaosz;Qm1#RL`WSkj+e>*YcqG8R0iQSDoSMsG z{o~y3jl(#=W{?K;8-_Dr5&D9-{R4LGUcnzy9c*mX-1BLHbP%&0(eL2#`YmEkC7(&O z>C*8=SHIf3>m+w|SW(fxEWyZ=BZcK@BA796KKz8~r2W@wFO-e%jq-HxqRUI}c9*R^ z^Ov#5X`r~6z~7q5;Ue-c2f69H7!Hz=-E2eDjBIX3r@3^2UiYZ*yJi5ubjKySw9oX) z;alfnGt@|UCC@@^{PM()SmK~$O=AY&On?4(5ba^NGhiJ7&A;@#!&#;!Y`w@0hnRsw zaqmgS7WOPekOEVFHSkcms^3tolH~APuz3UFor!|-t8Y(ydc2Z z;FtcgvS&>@l!fBMAypQS>~En(#W>BRIhg}MwqpEXt|Ck$0kQ{Q%jxQ-KRYS@NY=8V zzJK2@7eAhv?gCVK^uL+~E|t^n>%^iY=#$zFkqRQp9X;8-%tx2-`wt&U)aI^Lb0HjU zHJYu-kV)xmq?w{69X8nl7tl;!QJ}Ilh2(0s`%u)N`(C%DRfg^Zzt5?8Ic5hpZ4MeS zQsL6@2q>8q9x5}1kR-&%H8qk;^X-3HIEOY|l>eb4TlG2SMQoliW|!twD*w%S?^+*%yh;nG3hYBAhKd9g zTYr#>V0Pl<5rBYU#Dbnxb6A!v9q>E6FB=ZoRKLW$ObfVHT@lNoGan3;^(?E}++aIY zPbYYa@n9G65-rY!jaZE&NRE%Cz4c1(u5}}2Ez>-U`?524@y^^7?2@gMw21@39x@08 zTOOpD$W|ZzP{MzF1!ofxiM^!9N(;_%#zcNbssd9pw@+|9#trURi3$q}{WxTmO#(fl zPNuQ|UDL{+&<86qQ^ckG%5l9^H?zt63Wcq|te($_@dIBoFE*6Aq#F46a#5@ZHV5$e zhQ=Vk!2$<=d$_&7^t6(dr2UpvMe&{5x_~Av1nQq(j?uMrYUfn;p}qyD%w!kUXO`tA zp{w9O@%$>&_iiVxgz4quKTVG}tgW!+zY8sw1Y9kpAR&_THhv5BPYy97>5A%E-KUR` zG?aeI-)|+i$nM=@jt*Hppmie;>|>U54ZXni(L8Q1gF^ykGAlD)Is^Z>*z&}Nl?UI~ zEi*L1yZ>W=#@Ux^CYMu42swta%e;Qw35OV~ie$`zqZc1go``O5(kec0LJ3}2k_GIc zY}iW|^-=x9N0J((ZA=9vPIE=3w=&fc^7E4uew-!kH<`o3wda7hQmW2Dfpk$xnO&C4XM3nSeI`$$_A54U5`{dIYvt|!qW^zc<;Av2n}*2xk6is`T4 zyGCz2o;vwDnR62WvUBkVo?<6eK`AAd1o`L;59AnqNTFz{g|`5FOar7G0))QP(Bd98 zCFr2m6dy01Kd|bzGI`K&Otk;EP3Unuaf3}zo8v<2xQ2Q)Rs18mB4VSkL--RkiE3Al zS$`Al@G{95PVc?9_kvF=6aQ+JIJ7(|ffQM5&PL;%p%jO%aKE%&I8om;!Vs414_lKX zrTbwvCJ#FWtjG8r^GfJ*Rw{gx`o1fT%ol*idlKal6<~)tb<~qKtO)uPSzr65TQ;#N zJyLEX57vruURs{RW0{zd3Sm2^aWyJ$QoV!%e})Z4yY^(217{6rDv8P5Njd+G)@yAA zNF4b<2=(859_g2mH_CExTEXr7SsXt@-Qb`B(b?v5__EaQp-bF8v)YKdr*VmubdT$SE0M*BGao5$C==0K+ zQBBYFxE9OX86s(Zel=;#XX46P?yZGCpnHy3h!b=DsKF7@wp6M``~lzPe|A*l-prxs zXj&^?0Z-e1HjUFZaBa7g%_5(dU<&D1e9l(7ji1c4Obl#9%yNMw$vMeDL`S7{&(*1` zQq+3uD6aRiW8M?Opv!rWFp%Vpa{KdwSF@c9{1#}ja`G*dSK7CQ#mi-6x-+u*;j2N4e zA3KWzSpp^+m3?F%pwPcT#wmLMja zi?kqhJlgnIe-S=Kiu6FG?&9|#e{@YM0fp8ldxTnB(CT*v`z9Co3A^x1P=$-uZDgPk z?^08gh0V_m-JHF%IOwS?TwP3HD~Bzr;qH#+{O_8z8g`>aNVbzQ4`Chx9;oyQB5X4H ziu_I5$FjslKgj}@Rg;AB>S-w`TR7CVOu$$tWHJPF5@_$=@I)L&uwD^K9bIBZ^-jYc zi@=@d`4?I<5tQ|k==6;WXFdJ&$68ypf`a+h6*`_|pOOEz^o4)W9+)c}&62`3XD8)Y zr{}Ak3tj;57-@KKT(PZoa_p~~>GYbu0f@)27?xWQ6zGj+Y2^cuF_0$(y`Xy(bq6^@ z$BpJSzUg+twbhE}SNheY2HjO!y+-kD&6}IL%r9jhRGXB(CB6N%K*%v)X4FS{STRdld`$zJ4a2q4W35sfJ^9ikn%C9gsmdbMjdERg%P2{DsQ>==q~ zTYE2AC?L(<3djAlMRk&uRKl-clYDRM&Gos2G2&Jd9DlbKZWC(N|Dxd$sWE{(tSxmI zUx0xXKW~@P;k)=A{3@DDBHP|_5L{{hbqMM899#`6CqlPTts%soLgIH`w?4id_6*z$ zchfLA$N-a@)g5!NaLNVxpsYIT z1Ne^=Rk;%c2qLFI_-AmxGg`NM0 zq4t?0{#k*gfrF|uH>t+D4CRhjUHT{ca%|i-?2dizTSSPUdjxFhv{A2X4ej8~2aIP0 z89IoJ7;P=;1^I3qBgb4kJTUV_%3_?4Jw-8APG7)Ow|x)e2?y3M)m&XaJxp{2Ge94D zBkvmTYkSi#Q^IPAeUuC&#nc-fUH|=2`5mz2)2pz>0KkIz)W=EdJc7fT)MA0f%h_+COmU#-ltmb3Th@VRlrB$*y$0?$iqzrBqhtbCoMSKyKB&_Yp1t=E3;v zL~Jahvv(nQ@v0Dq_tU#}ff%SQKS-?puENP~NG6^xY>ov+SZFwU1EC$={rC6tDow|+ zZ4q!tyUTRG&6IoAeA}Db5C#3tC)a}fI=94zqk)FWCQD!S2a{{50cEr#?N+8*6AR=#fGaEHrJMMB5Mq{ zsQf(#T`9+F5qjlMZFJ`#te@_mz{ZSp9X@lx-QEY-q{4PuHRS&=PG8d>$*Ugp}qvq~F44rt$GS zNS%Sk+GiaM1LE95X6+T~N|kzgO_kOWyYOjRYS|hmZH2pvwfhEQZ3z{CZgJA2Y1u!= z^-$->T(w6+(-clBOj$F@4S{0!-nUNRh2%|goNLPQ(5K^*KE9N5w^chyQqPX_W6))2 zq#3hq@krrZx$n&1&bfOAf$r*@H9=cV>X9Esmx&EN7;$K;jtx-GVoMbXTtJ2PfUdFp zkBc%`((BW{MZE>FhRWMrTn*+M5@8Ts^0*Z5@XCF;R?CYc61&@7`|k29x3Z-B&EMaH zmmx`oE?m$ESyNcTP{I0|?KP*e|HDdePnv7NPR{=Y1H-QDS7m}blZ+D zo6ErKJTN<=IA<&wOt$Co+KdS5TJP15AA}j}wHyp;}FXuY(-ko{qhLXm7C5 z;lu17k_2FssH38wp>AP$M5_8PmRIeWp_WJz6@Pvqzd`56t1S2Lzs0Qec5T1>%foK#XX~y~c>oAsF1~&WL-hjM zH=&GSn*MCC)qS0c{-ABUmf-jG4C^KwNDi`(z6ubM7A2XgMYVVNb&w~rb+nrCSQT|s*v=hKX3cMm~}$bhFQ}HwjqYFBPA1T9J_qsZG>NlYl8kYU0$njw>$*O zEm4TXeN@+yA#YJ~#K8I|RIt^gtXf7DGZv#t#O}}a8yM*3F2+*aClH{G zl%{a3rzWpP6EItmIYDvQsVL>hss|JYuKf$76o~vaoO@7s$WoFc{EaB~i&uD+CiwuY zQ;82Em{&+-N5&%8UNbWSQQ`y%lSxpcJ(mG4gp4s$J{-qq1NcxG>fK=cpc|6RmZh`H zhep5{S)ihnW$={O0ONe~v0J+XO9oDm+!^^S3q@A| zgi@7viYPZG7uJ)PV{(0K0LjjolF|c~kx@cM{^!fpO{~CM{?aXW=PJo$nBP@hS-0P{aoTOB0GLX3AimouZdg&c@6bfQ;k%a13gr&> z=?1NQ!bEZB&n8Qyu`^>mNycwy0uEpS{xofw!HgzO!H z_MhEH)9zp!a=bDM+5*U?E%_j&>5f%QbmTFV$0pnXvV3}4WO&iK>X}=~{YJrn1;l48mGZ}`vSVI976O~G*jzP|%=$y+T7%v`dxv?5Z+uW){j!FHHa4m%&HA z*BkGQI=^;mpilEZel;_NJ1P9fYc-ews*c_gX_Os6hxh%GFX^`iTXYpZ2*#WZ~A2vd@Ar_mF zXIBK_>j;Z@NrLs(6UWH^VkAe_8EPM1qo?$tkP*4}$Kdefjx(7PxiuV|m^nZ9UB`6Z z`~M4N0d_g?yyDyD(fYw_y&&!u$8TootT6$5u|co?;SxmPCRdP$!}jA@`DBr;=zl8W zbj%kr&h)q`vAI+yT)Csf-iuB69B^ld=Y_iiezyuls%p^O6iP-$3XC!Gw88BlhwLU2 zU~uprnhca&EX$1l6`D?ZAWAjl5DVd~D+d>As6g!| z%aF@Z@k^KuZdtCrWJf6&UA&ob!JDnlvutnIN&jR7mkF8(X0;ekbR)n=#Rf#<|~J#5N~zwjH+EXOJzG`Ju-2^PqMJu17L}?a{Fw|WV@;QB4hl?FNk5pAlQS`GxstWW2agyW zvP)VgUEh^J!D_%=_cl%FYz*)Fg=iDP+THWJu>r55@6K`uJ`tZuxB#pMGC38aUKH?K z^36*)b)_l0rH)#nl^}Jq-rVZ=pi@u|(w1>1@eXbS#&p>HigjaBm2vnd3i{+%l%Ap; z%rbm532<*+opBHMn`E4a-NXr(klD8H-^sq-7Le%IHzZR>+^ik zoEyyu9O4$!YlO_6vj7F@b7|y)b+UO=gtfSt<0tTP2l(+E%Q08zoLunLD%-_y1n@>F z1gtUxcA8adehXY}mu{LkQZ*I*U<`?njVI|BnIEP9 zlCTao%Ywb`li(b%j;Tzy)wRnqpBgI8x1h4G zLyhw}dyR23fPdvCHbqm3~393q)ZZ$9KxF(_$QJy7nWafRFq$EhfNno*qH7!Q0xMM;3n zJJ-%dpGXNKYzU0jM^4>WcZxw;YUk$m%LCjp`@J!M!VdI+&w_jRx`6EHfIG+*!WJU6 zG5VzDqfJ-5LhOMh=>}5-k9a5STia2HHg4?VZe@DFu2iK@&~?BoMs7PhpVLxuGKBY1 z%#r3DvT@51KNi=}_suc4DpH4#@STF`9}&!hB(v#dOucu#J-a1O<&bKl;dY~nQQqGS z)@@26$WaKeN#8??xAB2VM0x(mnoH&9uuCTk^{-BHRz$h;3=UnY`CEO;Z9dsW z6kflb-4;~aH8U_W%ZjTB+`%jrBpz*bc;Dhx>%_cNY@D9Pqw~MWKXYlv=`e7d6*iye z!^;wd9#x))OrLxZ6`z;wfedCS+Mr+SI}Wsk_Hu*_t~E)$w#^HrFx4f}Ni|(^&|778 zhFI2&VSKIz>?o{*&i-{T8xy@+2pWjmrke;VvJL0Aqwhf&vRj$@<|VbT%;eBHd41_JA`5T1TJ1kU)6VcZ{yqrRILF_# zKw_r3F3-S^?vw+a3|&jy-Ic@MQ$t_S%GVLZaS`A=-gV&9dAE11S94RrcJmrvy;r5SkwhAFp?L9DzhC4O=w)J}Tiua8Zv2&sJzS43P z)n~!gbk%90D=1dXaqBsz$)M`dhXPB00QpvqTtRrS%zuDTaV;ulULQo+>r8p!>=VEm zZ@X{h`p|NQT;rEXlq3QwdNh{sV41rBoxvc%mH#-b!{+7vGPzrxHp}~$SQWhw*#g*j zsH$h%fqc%k834|X8ELSOoZ#x!aa;WqA$+I(kV%+9t}nu^KfqH1xIWGd*Yk0AgV@QN z9d_WJlD~DS2@=9m8D#(%29XahdInc9@-m6e*OiqBE=4!M8y|hddho+TDG)~>!Z~7_ z)RIn-K)b5?U8SLJFbeSo({Q849yHFRTBO_KGUq+8&}1qkF33DEr0`APZWYWm$ko0z zeiu9mg$fSkT^4{o+U-wIVX&z4XDPjOUKne2f54b{=#PLA_7fRfT&a})?kz-iOhB)l z8WVX~h#h#@+Ur{@`MWkw#I}=WexoAFR?GZmCyYY{S&izV`5#py1 zloGoU58Ng&MTe2`a)&#OH+YT$Bmm9*unWZq*v@D}ld5x-lZ4v+iCA)6raAuYuyCJ# zugROe)OQH2F=Z@MSn;?M`t3nRX{dFTPdZ~icYDf-@9lFk%k;8?3>nU+{R4ZJ(s*B` ztrRC9EYqOc5J17A zH+qSH*~t5tU?70^sn@$DT(^z9xZTu5MunoD;&A&B_-4I%QFSlPwPX-$23z^kjb|9| zQqy;6cCdf{F{bJ&R#I%@G_QTnp&+-pNW-z?`@qef21)f9M$P7#u7 zy5$poXdTQD>BrVr<6D3HWIvayzl*;w&e_^<64>-))TUVBNLfp7qXw!n@@#N zSqpDJWqaVn_ASLpec%OO(e!FK>(tm|Mx`&)@XQIx*H=0YE^%T+Ep!{MI1hdUr8ZU)aU*(= zyNS@ihT*p$WFy>#v49y(q@|Qru6$a+1a!!xf1j$HVg1Q2XWk1<7(BcWl!BPNQN=(g zutQ(o!|%mY{k!RnwEeYyyiHhPGxBSqV!&!b}fbb!PB7g%}A4aY`C zcKqRdX7I$1BPl|MGk#b^(1sF-qE%Tp&`*Mliv&-9?qrS_PV~jqAE3tgPGfccbwsDw z81$mR9FQ^vw%FmEQzWZ6Ew}g5th3qn<)+0l4>W#zkK%a4`F1NA&iK79<39=w63V0@i>GZq1`U)b1o1=d)?wJ5o#`qhl|soU%>s8yd67BxGFU8K9hu) zx36NCH*(3oEXP8n>9%z1Pd+gvO)sC0`F}=rX`&hVoev82t1a1Fn#p4GUCxdT)SiXF zFaMc7YiQqOp~~OMdxPy8_VxLFv2liq)mFs&sPg}f{o)|0W=Y31F8HFdia61m7*fp} zr{dG>x&I#NOxrIGIk&SVG5;~{AoTCU>WJ6(q(d7^^s3wAH|7zkUpeK)6|f#aY{np>cbzlc~S ztVe&YznDQuIAq!J$C}CSm7jQobz*k(t5M4C!jx541jR%1K(X+!**0EE3x7?7$LVsO&d2!?rUJBXtzuC@hd4aE8f(xL@JoU#q~wX=u3-Gb~2zo@#LLS#l^) zgq*W`S)6ewI0O4O7n<7SDkqv+Yz)@f{_xg_>X~h1_DO744=uq(@Q@;=G6qPe=;ghPPW)xcH~3PwuBzbIun2sf^ci>{e8~$6gVeD@ zAAy2)tXsDp4+dn60uEYcBN9S8aQYMOolusJ3>7vdEJ_Rv2|rFadduiQSbJ=M#7Kd| z;|*)o`4h!Gbn6^cD~4-X2AcgYoR~607Nl)%^n8Lcv4YT2GT4W}>7os6x<0;hW_^V0 zeCq0k;mMJ=Y3ljbD@6NSQ96lyW#& zJ>BnUG)3pL5~GcGsY@T{3;yW=+iTyb6n{#1RM|os+Ubp*U*Ycc>RLTnNuPbY`xDK8r1fmktJF~C4Y}3GnkIWf+Q#|>px;x+qfg+gbA88_^6oLgP(rBfT*aUSE!SI?4IUNIHcTq ztIbou^p~i}rB%WhI?AW1VxuyMp-+Ll3Em&e((vPyeD8J*W7{3YVFdZ{ydSc3Jf>hL zeN3YY7dlfip0k~RS+Kb;IRAS+jEf{|4Y>RC>=h+dN8MuQ23<9+@5`(7ky~!U&aPf; zZSDnNjl~60SU0l76V4E>%J;?HToX1QcM@O)d2roE*n;ht7o1s$bp%*&|A%Q|yLL(6 ziG=qNJ6Nz!TA+Y(>O~0<1StGsZ#zcQh>4x}3!CCOaM6_7yM34f?J4C@vNIz>_9WTx zmm7k7ULSvEw#%AB8HN2Jg~A&KA0Bt^r4xjr0wXpJ({>PbS3>7zvB2%3=Vc@TDKjw0 z3F;4!Ca(44(7o1AhbOhvK7cOZJ~GxbNt%${HK&xD-cy18{WQ@7*zc_3XarA>He%dG zQ_VpHke0jGt+q7Jh{K6Br5eFY8egDd!d6i@N}*x4USp!=L4T?b0vRQ#_q#J5>}-Q` zrf~XwF}S2)H@UT~iIlJ9Iqh9;sHM=&PQ?$e`QIkIFKJZ^17yTE0ir;aTjVo{wb9Bc?K$zyd*Lfd$K6@hNo6oti zL$I@@eFpQHJ13)I)SB$L@8Zo@2Cw)DAjY50{`XUF`V6|y9q@m18kbw*+?v0S({NuC zQfWvi4C4m6YYGe9HUtVGYSly#-*31zse#+C**l;K{aYMm5`Zak8S14 zXimy;`efCBi}krg;Y{g^o*HhtVWG35zK+5>F9}4pv|>!3DqDsz51-Jgm)7^N3Kthz z`ub9C4(RxaASA&v@$YgWL3t{+Y!C6NJd%n39q0`?mTbYtiA}-4b0`@>*d@=^ zB$VF(NAxCtdle>Al5`LXX{h(b)ONa2yO7xID8#YhfhH3bK_~8Y8iR!NiaYL%Nzvzk zHfukF*A{c^)fmd4I;Mii(57D^>f)ZkkFPf=WcQLr_ib(EDk@ksVHRZI% zQ5GI&m^QSp;p6=pH#M5I#o@rL{?Bqm-#YyGIs6OeJ*V;fdl?90gCJIp%*p>PNsCiKX9oT zJI8C1J)5EO7>=%ANf5}MV|Nju)%GY6ebT9yG3jbR{+oRPlh_65jzUhnkQcadCZyri z*t7|)^z0pF%yHWo_M_v^kjJVy6YXK0;mixKf1n_`Y%HFd-K3C!etvofUhS(2Q|qM!Qd9%pU}gQVE4PTY%r z&HU@%`i=uUY1fIv8d@_<4J?%kIY@3?-F z+6)7NeP)2Qmom8a|UwDczQru6^$D0(w`GfOv9 zdNX@hCwg;wi+@V36}|O8sn+&CtlIxUt2K2qwWD|b53`ou#lp$d^uO#{H+pw^4|-3^ ze>=CgbNT1Xni8=7hgJK3;I*v(?TyNq{=0;1@qgj9jBE@{?Ee#9%gDyY!1Di#*R~rw z)b1?O;%vFytheS=um7i8yEPkSYdzAc9`ktBGv_DYqwnkbZK)QIx1;))nH(dry*n#9 zvNVtq85fzGh@DSUTRkv5Jq}?|Y#vBRjO@t7>eA5MhRo{1cmh_Ql?jj{iwht#9pEpN zZZHl&^a7VFm--it4nWGd(jObpiY<&RwKY`^fYlq@n_b!%8lWyKD=R;%iMl75n*W<$ zaC3@U-4h1r#<8IYnKYeNGI*n}ol_Bva(0>JD= z4j}870>Idt8+pp#(5dv79e|r%nLN!uAcJ#b3&>KZZjy6ENi3RtCTbkFL~>td#6mmZiC+`Tpxy_PY-;i7xC{f!M_S2RS@G{$FT>B}XI=5f88eQHHTcm?D1Xk`zhe1xi(wnj;w#=Q?J$IMrCAk_>N^4+G)Z4F!FNquPK z&jRagFqB*%H_nevKH}c)O6{>Qb%S9y<@Us~3yfmO4b`kQrR1Lu{hgOCqXTW@kX_hx ztk#+aoORpd9_hb-#S(y?S*+c5MRQV&Iq@4olw$-%*8n&cIi-L#tT@kqh0{8K2%}h404~~XN0-}c zfq0m;3zbN2+x~Q`Xn7VX{BoOsg)lxAIgRnjS*5d)=efUfrY3awOF~7@l~F;yTWmk| zXjIrscnB{}>mlg0(X=*#K$?$snE`L@HC|B?dcEzi4g10mh{X|zmb|1x#X^ljuzZr3 zTi_a}#A%4tv%raR#!@M;TGf8O()TJ4NBx>_PH-KMH7m(PjKElN12i$D8v|yvpfYc! z&c6scIwhZk`PQspe;NUzXSA^iyXRh7;4f62DM^|d2qz~FqL&%N%P;NO0bbbH{N2gO zBoRZ>rQ44_)j|+E;Ca{xM&~m#^}V75>Zrid+B1iiD`v6e9xkhD?VT6|)ZBp~*_xA( zOiEHCmTAK0$S_dD?I4WvwU(BnNCMSSDOI!tdUB~KBupU7&&u9#CZU-p_Z~$@Z+X}n&2OqFF z5ufgytAQ$9X@1(ml%Yqz&oK3tL06Ox(z4g;JcIM8ZTUidTvz_hi5|q%qPCJz8xz!Un%Rt)0IGdKnyMAr8<}C z4WFX3*d06zCcCn3V*QiF>v8Fn{qF07Z6d7gd(Cf1->|hs?_7UpBix~HVrDYqZc2kG`fX?siSOT>yQN5jd%5R~dif3r&@USOPW)`Z z?8K_>7(i)E3?(MA&OtFw8p0ipq(r;UZE}niO{8{TjgKAKKGI1|S8$=y_hJcNZ=mTAsyj?uIWyDQUzh^gZq?71^rAfTeyqrVQi z@)(!5fZtmTad+Y?BUhfT-q*w3)kGqyM|Ky2o9F@V(b1=sO0n=sV?BZL!d;0hs~8|M+(|rzcEj&Sx`2mkhjMqylfMypz2>N*RqfjNj4jIVBQ?#!3d1Y79A_5 zY7DC8)eoFpxR1`bgXFa-k9cZ-??BOQF;%Y!b9hU-Ay26l zpVpT^!2<71)oVvb!0n&`8PtFfH3Xo@M?7GuNr4N-me`(RY(M#vw zYWMqYhitR4Wkp`ALh;A;bShW&GYvA)o6;{WF(qb+Z+sg0pDDm1&DD9trZ2A%1w#V3 zf%OqI(oR9z`)CCT6IY(57ko@Kv*GNJ^*hmyL}x@E4$O;OyeBE@Noz{dg*cFHIhGCF z*t4!D8u3C46Vp9aiXCQeEZ}E4-F0YA#XL^Mv_VbuyeA-gccsO83csJ2^HNeDazgYj zwj060kSOPRsp*r;AQs%p{V!vEd(qT^>1~u2wHnXH*|syMp)k8fh#5RZC9z_Iz_H@7 zEH#?g>;V;td7L@J7yA1MdDjk(gn4ZCp5)A%Ul0s&v2mXQJlQr#7*hV2xA_?TFV5~M zMzknO7j@aTja{~F+qP}nwr$(Cz00<3SDoGIdvm*QIyoojZ6+&O4>MU=BXj=a8?{EW z4cn(_w!|vkmFUNB>Vg@3h< zt9C)o>NsTPd9S_G75?Ph52=qiy%{MH0MR`4LRZ^sX9L(iT~z3a-`mEr=__>D2NPzlsfyqu$&##EwF zBQ;!rmb{s<6)o)x$Q?~8KfUj5$4E~|LX*SRj2e1Lk@Y31B4tUE=xT(d28vc>Sd8*r z)Dl59e;~iTOnZx>6&u{8q)cD1ZuPtbh*U+pKH#e)j6`GDv~8`cCb+jn^5ELrry!bB z(kTfck~n@Ix||xhJit8O)be*zDrap8AM9j_-A{H#Q$#dsC@(yuLaiM?&99%A_?^b(ZaHjerY_*BpHZ<=yV@IF}>)i$*Ak zTqmczm6;3bUN0^Pv*o*n*t+(Bep;eRX#uS=^EWc@Py|PNF>l6CO<}?|^POJM28FOB zE%(=2&s93NoDA#TwMl!cP7-70T=SU9%%`WJ2>66B0(;W;dg}botGEju;|w~2?7tBW z;`f3Ow|Bm{#6Pg`60Nja_W9B7u$;b)=bCO~2);f4!1#ujOa&vG8fX>1LqM)Ru7lZD z89M2|DBnyUo1DC^4(ZD@sVM~vrDkv;U|AH(!ZZg=x2-JW0Ln6J0D&B7sQcPt$wwc| zk438@hU>t)PghG*)3pHpb|@(z_r?Stc)!F1h3Q+z;-^yW{nsq@Z&NV)|P`AVE^AkA5+Ay7QPI#nke zC@45R!|FlG$eTXiVn98_rONq&kJQV=Zz((0jM2y!hb;PA>}Si+oh7z+M6OqJlS$|! zV~(b6ok&ii_wYpM!xEu56)&*V$9~7%6S6Ea4MI%DvhOntj-RVupItVh%|z%tK3677 zD={B>cl#G<3YQBpC#-dJ^BZ{qkU^iOp0?4$42Jx>+82Dl0?f(#)alkco<)}rAF&wv zidw>)`*MFl)@qJ4>kV2i?O2nAeiKPFO3YiLOd2c=Ci)Z~@Mc+2_(2y6%|xGNl}yO0 z+&XY`$VqE!4CFO@bygR3JwrcDI?yu@ znX+!wVhRS$8`@UTH|{Eb7dY4~z7UhmzT4*=-(tTxebx=5+52MxzJ88(SyXf#uYH4B zAG#0{=z6|2y{RM|NY9R#nEv;L{LpGMPtmSTTEOBzz&0gs{6 z{dOGu1Ns&Fl0k?@g@+I?paVJbDO(d4swc7t;wgERIPbFxl!ngO7Wa6!w<94bo)j1p zEgPRsmEtA_fuaQ9lOqz$qou7~O$^VvRq|uP9NZWE>J4>tt6h1E3W*b#)*}mkb9kxS zv+5!y&SJZh9nHRQxq!F5usb2z=ur3hfEuJxCM5ge$)jX~SvFrX<4hL!yd&Vcg6%+T ze>#}!9bLY)A;bn}+VR#z&vsH=MRLN~JY{jZN#SI%2eZz-gggUQsthoi5!n|)4eA3= z8yI5AHCqrOhaVM~H&A5}YzvMKif0KqGR8BK&^-oLy!WXkL0sYy9~;n@#C&2@Ll>y; zQwA;yKdfd%pv?_bdeYW=AgC8?9+hL1D1F4M8;n2+FjzJ~Og5SMS`srwVXE}>7h^#T zHH`{X%*Wz~i^ zC7z7ME!hZOYc}jgZO;4(UgsgH`WGf1Opc=jAkY=sFXz{`n?es=ZsA70S=rc-^pFbs zgkMn+;#H==_Vn;x5F;*z3Zj5qK*Y6>3w47;WdD7VHoEAoBjABrq`u@M&+5h=G%7Tn zI{q;{`=I4!AOiLdANnyHv|wq8ZbjQ2JHp_tnh<1SJZjVYe6)g$s)x1aS*^6j>8~{E z$n)*hg!3QZO+-Ej3v%zFZA3@77Q|qLP(bVU){GyeMG?3HSk)4Q?^9z(;O3{@dsXBW z9QsLs1vH-tG`_OMWsbKfh|8M-C0Ft}o12pBodDZ(=W`_t1#dDJmCvFDOpM9cdf$Zi z4o1aECl&^pWoO>Ymibfhrw52-3hDzKGv^p3^(o8p0d)$>nj-$4)h&_j4o6iTzSQB>=9Cf`pO?rJS|Y|R#N`nX>%R3lQbIfWJl0XK)e{yad9W_D@Dfc?S)Nd zZ!^UMBgh~jHR_M){K4c^N^ve&g798d!Y!{K-NVW*sKV1c5=!{IoL;D{7>`t~-jfx! zfJ>D~A(`&$@|1{|LnH-hisJLuh5euzByXd+i1vx)XKj(Qyf;rv+tD`N-7B13uLlFI zVF9Q-+dh16=>ZR_h#~+T7Jjw9w0^DtO0#L9H(NzR3Q7tCl{-3+S@wp}3H?KS2mP#m zj3b!v%g?tZr_O)?{`GS@b3f;MEQogWv;SlRE+{;|vSIcf^7v!2OJhe&(?y6YpzhF$ zBv|nhCKgfKRI#H7rlyceRw~0|a!4yHq*zd4BGf1@Ed69Al~$cJvm3Ea;<2SLm&59# zW1rg)%78u?F&_n+1;Jvf9JLsnF&4EBTRL4%*X}T%1*_pxeB9k%Yb7H z9BJ?2U8e8RF;rGr#pIONU4$n2M=aZsYeMaFj#a@`v|!+UNJK+R^5*LX_`k(2Oo3xv|UBE-#%B zUOPp@h?CqLNW?NXQFDYRuVK24d)yTU#vQI5h8}vKeL@O$SXBV{O$lQg^2ZaWO;Pgs$Ak6Mh z;FXMsE}#C^mRq%NF=VCM_b$51&9W^9JuI*Zix#=LQMbF{U2s>Zzz+n)T1@S>h$Y{C z0=CwqG_{lC@`<^_qfVM;GDAbiokrvJ%}Ai}KT%m*=L_R_zLc9tMr^hHvF^oqJJzh+ zcj6wgnmv`)TLOs;6S_Y5HnEVLzOfnRsR$H~*r&A(SNzPZhLNCt>K@-Ab_;wis`vrO z9IH>WRe5zbPeYA?oH06@;YQs|UtKV}@r`$$tDe;c!TbU5leoQ*H>uAmfv0bJRh;&+ z>!C@mv=On8fO!7drl}~l_xy*oW!#T6y+AFPBnmm`_F?iGXtUWd~VOD|~UN@T4Yn ztxe6D32qGmsvbO0+(BU7;4VqQ0pS&z{S+BHx8Q556#;Pt|iXK-wgR(V#zYN!4j*?MJQR zQJB7e{CYGMW~MgN-?PN#!CDL7-JlFZELgbQ9Cq%5cGosdwh^1~?cI)MGrlWp*cN6( zh4r8*2(N>#_cgHB^`u!TTTn_NY&gavu$!A&>Z{t|HX$yeN$}V0I-kHfbzGzG&;F@p zbgAOS^(hmQrlrFTd2gN(vEyr{u6uq}%9gg5|^X)VvwaN1g`BidNd8W6bcTZHCRKUyPHD-mEFqSap_;J&K4S>Sl zQoaZNxO6Cdr?I>3y*ESTpt1Kq&TPrOhy4fbN=Cyz{2X$DwUF|ic+Mrd)_{d1~ zo>|GQz)zRPhfy2X(Wzt{wkbrPRvdt|4J0=Nu$Ii2KDggGFT{vfQ8gg6!}6~r;>cTc zZreU0WMA=o&Cr0jpU%@#K)3ko-$o+pEY1(9L}g;o!u+T zbnM&0g@{G=%56YNEseUXpyr?@h%w}PU}=b8?MgC;>nI9$!6g9Hm=7h&Of{`wS7Hk1 zkvc_A$IN(m^BElA4e?9?*KzqJWRna%;kyX>uqOtqj$s2u)rtg!7B$uTJR^AEy169r zswxRx-7emGi~$2(z{G!7!)I>C4wLQSxbZOot`-TOt@YpF71)6N)6DP5e6-QT0_K zQ>9p`@^VQINTbhDVw9<{owxL6f{H`O3K;F3)C*ER+Cm&tE$b#i6|MU7y zO5f=#L>ltidg@Yi^^YO%F)izGQOX|0cXvWqU(KJgp41Appm~4}`36r!zr|=b`oMFa zwA~8dbo=ee^od2Di-^p}cGBM2CfVL354rw<)w$RY0rBQ}uuAZD@swUWU`r}NduVd2BEqy0f7X*6<`9mSVqgTfD%Gp&+ zu>d5nJZczp5!l7C2;7^S(-y=fcIX@*U#LRZ)T_uMe$@vpb~$X;33^y%rYxhk%A1mC>(=Ol?Qe9rso_q#z#nw|LrbWek~1n-F^yNKRj(`p&w`KOn~kVi zqYCC}Bzsb4hykcurdx+LOzHYXJufIL=67k-id}LIjpkV~0`RwOK zJeW#FTjCa(0?+FY`A6}XQxxr4`iG~pZKg^YzQH_kwjX=ZQKag)iJg4!x|qd4=AiMC z3d7q9l?|ff*wn)v z-&q=3h3f1UKjD8i3;-RmHdqf{cq8uf7N1Wz*R%5{IrfB}<56}Uda#^P{#=ZkF;dBv zAqj~{nV-K0-cr zf?|^!KLe)04}U_=`C}s$RK^)nrf1 zg;4|^x1)~Prc$?*@#FRDnl?CA+M$7M%}ez9MCJ}zkP;F^dch&Zuv?cwjK9ojHii6) zqQCnd!pra6#tpMxKXw$p?P=*k_LfzO&|*@C)T{oEYMwG-h5R9}(zgn%#w*(EaSa%&bANrmKq^jT$DjgF##nUh z>*jbTM#vR}kR~IwM{}b!HV!7^K{;#b+i(`*>KFihB5xO=mAY$crm*f{N<_D&V5!d% zPZ*Il93JHI`?LTipOy=RagLo`2u|EcSRw%zsr@sr`V=@2jzK@Ni&;s$ZGBC}5^#*z zcoi7XQ`Si5@%mG_;vY-G6IPOWjMvqfQ}1i!?*!*=P?>H4lN{jXlup;dxF-BE zrT2-=4Oy>1sc*dFaDRSKC+vNO)Hb(J;8OCEM)mX5K;rZF=G6c)dE<>0-@^ka={cxV ziB!m{M%7wueE`SzC6CWn89zMrK1)BlT_ItS&j*z(K7^V$^Y8A66-a$L+%2jN3`A#_ zkB^_-TwByiKHHJMtzl^Y^(1t%N$nvy6BUOn3&hTQ#r+#(5^t@Yh$?fYFNa)Urb}p z+(@mAKFEnkyM1FiYbLY8rF}7;0nYxFE2_a+HA1CG^~ggIXEI)Bok zsiISw>3iFybgKkHt*2H`lhP_=5I+ODth)CiXz*_r?wQhNs|>=OrC6j346-ATKmm6< zAM>~U&=aXD2xNm_C+C0>b5gld_4eSli|+!@*Gt+;tTJR@z~RwV8&|o!@6(nGz5;wm z9=!GjrCPMgt0M~7?Mk$K5^uR^`g-Nh5kk&`JlwS7%>@%U=0(P>TgD;P25@wDd-&Y( zTa>+d7TBX8zpOuKg2pE?=BswdEtj`zA!fi&@CB9Hn z?~!XXIdQpLJq!xEjCvLvKgj~aQr=!~%b^o3DlKB^=h+v`eK9x$WQf?It_8E00n&$i zh#Q9|#exM1;a_U%zerDoBXsq%rhv|*a>?9{KuJ!fJfHPMJ1CrL-1SqQhA6afb^CGN z+pA4fqNYcAK@{Rp%hq)nzu$o}zHhjq1HGI8G6R7<`c3A&MQeS%A=%eW!ijGs0LcU} zcLig&YTPGQ^xrv2A6Gj%IAye~g7@l08+wr8b2ziN4 zXAKmcY74vqakx0nouIaAqS_px;Bk<`9^@+uN=@J36l~PF>HV6fmh;?nTWJ(Q^Dd{B z`^CZ(7vnPi_HX!It*I9@^+1|58*XB_d9vR+md%FkwR*|i$D;X3ym7mc1Yas!32xeL z)&8NRQ_=jDqA9H1qpiUfI__~!&AxmxK~2Sr2`*kNr;uByM4)%FS%sepUDU5dhj$t_ zc`{I=uMWiHZY(SBMTE7?{iDAg zMX9ThZ(^a0Y%D!vH#>e_bRLS+Em^7YoR;{AScz&<|AMfteyt<9_sGLrp{q$lFthrt1-2PNluPfrrsJYk7Ebaok7Ow9S5juMdw7icV!0jy(=g# z%%(P8NE<;&P(_eJ#z-jS;Xb^yr2@&kEnBR%P=MB?QDQleW(V?v)b{rx zC0ln>fQ6P!w9bH6+YhYAr0XiU_6WD5O48jSr09@(CEUwFL6lTp0DZa^8n7J}03j{1 zK(c?7oaCQ?oAL}PMcoa&kAiT9>S!}8P{0Js#jpYO#-LGxi!h5Nf}-TzYCQT(R+tUR zN8Hn07GQZ?o922;$uTFue=Vuy1;ejnbO^*cbwJ+@FyaA&{YcrI@d-7frJtt{-{LNG zRF`arDFD`k)Cd7uV(k4#$LcSS)`UBCH#im>NSVrMJ!m2VMhuB>Ql_r>if_InYM;ed zR7aqlPjeLjD>1x4NMN!k? zn2F(_@)yB~3xNe@ddtZ}DTN4nhgt$|TW($w#X(=&v3PIO+dS|h+@%mLK`$`oskaBg zzFED{MIJYPvO93(K&4yzii_RdK#6a*Q&^bOa+w$uPrk7pcgIFacu}g8nlpD1mSet^ z;iQ?45ZX)Bht6DckvNfgwOE*0+uF%|GrSEe49A1z5vkc+ND{K53P5TXbLVYAr!U3+ zw8WYe!uoZNAVf;Pv+-G$US)`xZ;GG0DHj-)8y>kU;(syUmt9N|4<(9yq-bsv9)IMg z$Y#V3_Kzc+V5F_y{yDA==ba8mPAY}>06K($zZK?;$>L3U6f{$IuzIaBG&zT!k?w28 zV0QDaTuZ2R1kuX2&7r+DooepkqC?TuozOQWTu%472wEa1?n0+XOMw&7yFpd~kfq{p zgr$znXBvD(xyUDREnMXX4Z5s(RU3X@ojl6LfM0y{B0ydH#_exR)~H83-$bU9T`zuX zQHwuIdb=)4{wWPE|Bzq^)_-SHN(5pjF1(N^RhM3)41)XHw(?R#5MT4eHXoZ>-#|Y) zVZTQ0B;CSWEw?E|CntvH;=&(p=?w7KqWN+eo~LijZroRhRX`Nb4hX(&O9uyd;;*CM z&5r2UQ-1*p%4y9lxPUASC2#qKcUE#J7Kho(HUejOh0OKaCwn(qehy19ze5lxPbS`& z{1;s#@pt+z0I@`Y9ar!yWS)py~_XWkQ8T)=f##(!yECA;U>pB{_&H3_Y09yD)Fs>@G* z=M$;_HQKaQvy8cSe}K9HviAtBsJj9p)tXJgocU7f9Zh1b8VoF1tKCgCn9Fu>>P&Q1 zG)A9!+PK}(}jzF1R-ybVzhI_lNkOB8)=CuTq%k_GRgaodTC~*u)O%k|wXtpF3zjJ3poMosL z{A;IuZTrnHa`BCi#&~$d@YMnt7f29s`huX45qi>=p@sV4PGG+vF2?wDmiMmEea+us*pG7T+L~H1yw=ipwgAmUB!x zf+t)iZ^9)V@IgGn)5H7~+S^C_i8Ver%Dt0Jz1a~iWr++BAz`%dmm3WR!IpQwgRU=pyc%WB2#UBcwOw-t%fQHdml4J!g?EkaH%n zO3XqDQ!szH{W$wvtk8qX#sv4DbJJ}KG<_s`?u=g5P3@eVv;zz|1tBxJRq>^Dw-;im zJnTM8s=y#Vwq#3WF zl7yq{)sKy%aEJPv?<82wVS96nJufW7#}^%6J{B=|V)=ELYb4}}*` zUg_Tu4R>6ffoLY>@fH{@eKCBgx-o~Kv{K{5Ya8Y%~3)3*5m{%z^Z z1TnKYxENVBQB=v zZBbbsMpE6)XkdFWQ0HvJZbGPzBM=CgVn;8>o8ESy=;hitd7KPT2oj^*}_mlut-DSDT}$29QW9@K}9e6A56uWfos%?t6C*x|CM_3X9PsE5mzO zkY$>{0fW?yYZ&${wmaQSyCTdjaDljttbHB;t|+IFgyYQR{-D|1w1Ww?wul$0+6SHXJ2Tt;n(l@3T{!qB;1$I zMdz5*_VfIHqd3aotF#PK+5mYgb@QMZqKLr=X~*N~{!s?i+xw2n@hrR*yB(?kvl4;U z(TqD|?4XtiS@PR5ENs0|sRq`Zn(gB4yeTtp(q>Kslb1QldE@Oa&FtT@EGwQK7VFEk zO$3O2zda$g-iln0LC5n~5!-N{?V9U+Wv4VXb@7ZN`0LT8gt-VNWyQpb3;IhNybNFN zB*ZFG?EcqofqzB)rAyouhZQrnL8$> zvnGByL&A0;3*@lBD8MF+tk+1tYvD8@h_0PJ4+6fAY;b8xwEjSzo0UC;y7I!jhuv=v z^L3Jt#tDK&Y~`c6@t)oxkwewKI+YKu?gz@LNMTUnZ8bdKBFkrds&}kC}Wcj{}f+qbM7^%$wH-s5U1mbVdHx{R5Wt4k%++njSg!+FSi{+(!EB8G&3J zcBiVR0qwJZg7Paq#`|L3TxTX2;)$TNw`lsv8s+U#?$>{rYrbySObflPi0yj2mO$l2 z5Q|ut+t)Tv6*NA$p1yM4z?@C*JlJ#mgySB2#=osDa;I^eYKgQv~{6_a5Llve#R@8OKLS7ij=yL%C{E12jn1haU zxG8+}F;$rkL2tF$*&hUTmKqSjPID({tx9JKCi(n|Wd_(08+vlx%WTB^c%kFnzrRjm zgqWsjhUPmLqewgoiLGp0HA6xz^XZ3N#IaVFIWpqK8DRke?p78&m@B)CPu64IWsE6{ zMDb;0kCVdxo+iyd6{RKCV;KjOs26CfV%F2DA9+gD<`4%S?&$<{e@AKxn{iuKJ}-88 zTz5)*MbZmuvG#+hu2w!SLajKsJyDWaXCOD1wPPxm(iU3U}`OO;ikxX&pwnYQ` zs)*{qo;*dt_^gF)Wbt&~ktX`G(6nMy&3y`yGmqH$Oo*Gz+oOu$U$--TVaV6SxPShi`aSqZLke@LdNG(Z)R)Kz z2GhwMgfpICfvOG;;@6)6E~TL#p5o8smcag`+&HCC%=E?dE2%uE$N66Ed2=@{F8xDm zC~*7+Kl@iOZ7B5;&Q(2INj+H)rbVP}IFqIoKIn=L3D(Th_6_f#Tt_sI-ly(j_;G9# zPG8#eB34L5oUImcC398!$MracQfdMfVXJzi#pXR7mnFmr*r{++NXI5Lc6(u!;edd} z-clR3?yGl*VmZFTvdBF4zqXrd>KzAnLN(fu+<+AF3rN7Qh$~bsf->rg1$asWWHX_t zKYejk_2FUvxI9pzk{PngX7c_u=;#wE+FQ&WiysU(c9r{8r3 zfW=R)YsT^ejC7OL+{7^)P1`QjZE68!fs$C0irI`)sD7r)=T1l9%Xv^rnL!6ffQ;>I zW_A>~MWyu{dVtl7Fn4E5B!$#4Sg5={W1+lR2%=N4ShyOAx>ur4 zq02zf)1&y9H%mR^Rfp+X3Rz&y>xbf$6QjXLx zYf3Oyp%=anDu;YmY?r}<5lf*>L7_l8Wj&BK!T?jl_6owFw(?bBdx3$%U>#GTfC`F~ ziCEY^Pt?1f5w-^aUUZ-}H`n`CHsaESSGTkI+Qdx%RE=_w@~aa?eOic#&2A&TWxs&P zS!o34Tt?*I7&L8Jf;6v%ES#||XL=H8&dFD&z=b!a*Robz7t&@|_((PsoVI2l#b-~j z2>jdCg(x~_|I+H|wrNd0w3|y+`|mX~W@z<8a(fFu6qPX8120R#u%hRv6f@{AvsjXlDpJp= z^0jPd25`d+|9r5@j{R=RUr*^wiN|A%7xCwHOV)fmUKOA4Zqm&lDImm*(HX@dcKMYb z$c#!RU5d&0t9vPKL_m=_?%8_8ojw@}wYD8lWDI-k2$dizVGE7`#Mcsvz6qH2SUvw@ z%R_hpo&J(LO?-zR7N>UxJhFW3o=XHbMP)!09pT)23yNuVO9SddvT^5VE>tBsM7i7c z?X3sJm9wUM@)(7pL%i@P18@#jNEz?X5=7QwvdJCQ$XU$FJF#zhgY`6hSEqV$7UN zyb~eQFbItlgfmU@do4|7w{^(HdFg#lrO=2;!ZTG1o)c0HmvQeGlFukiT}H5@h=QSB zYU@k_dNjTSe0mdX+Ffsy-*6MGVLk19c{AP{vggZ<8%pPl9c4nhf*D5WV_#-o$BvRF zBm-`BDrVfM4!K!pwutftMoWQ48Z_gt)5ThG7P8niti(Vp7U?dMEsf*aE4$o5X*Aqz zTgYj+^kX6?v$3A(qShuht&yi4^dgcaQ8>_5<>d9D!H4=kfxJ(>$oX0ggZ$T12~YiU5aRrWxAA1h0M^tQI&*Uf^kG_> zQcNUVEIXgtDmq(PJgr3*X@TsXR@Pg@1z5vf!dB){r65@rwP%EiseFCw*@&A49;~im zMG*=OJN|$SbLmHW)rr=MDCl~8fWH#%J~y&sq9*w<4&-HjK@w+)8X0(Qzp6QXDRuPtQHoP5`V zSG~l}0GV}69-2}f*8w;zXl0>fXk60u>eYmLfYcRGIx6Ks))mv&!r2PUc&$l$t%ci=DV4 zS;p`J29udM(rwU&lL{`gKU@Cd#|4JhR99SW+TWWe{#q%FQBMJS?C#|n@bM7OUIXCb}QmJgx?TQpX3^#0Rq=kM}` zlXCvS2#l?Z2vboh?;Hqz zHDIceS;Km*srxsdLosJD$I_ar8rzW62si!DGMtTm zg@3Z-FGCBBzCduFPyaks9bki?lo95ByfR)lJ&>}EOm3Sr3r6?i2;{(hT_^D;_U(gf zpfrjGX@`Pod**G2Ld$ZgbYYtMKES82pIZO>cEd{(%sC-OictLM*J}g(o}%c{LR5pw zC2xhvA>S-fru8%`p};Vn{_?x~$ob5sU>WUf8=5iEh}xBj3^E98y`V5tQPQmp$Q2G@ zH3T0%mVL_YSt>M7GuF!-raGBU2IoeLrMYEd(At`1vv?p1S1NY)EPj}wEycgh(o3lT z3G`O~%eI^DTNHN2NW8VjtQ#5|ZD6+JE&#S_`n3UmpdJgw8v7xv=ywx0R~#qUXbm80 zK)S>krVSC}s~n;yVX!%KVRmutUqYH$oyQ${@Gax77NoU!TrKV@7EY>6e^v|FBWTch z^w-a6O7^jYs49kHX289aRhbr+l&|k5_DORBm8#}%p8M)(Vit$#RnIvo(Gt5C=Uj@% z1#u!mbO@({Nu`}44+k}D!&4%V>VGnK!0V?gE8ERG@5l`7Ub*jF&3G0Zuu=mSK>e(6 z;XWfJX|0dQW_d&LRbG_%@*9aC*fEH~g+|$9`E}_qc@fT#!rOL1SBlDK`Cl3{C<=mf zuvWTg@DDuDQe%5qV39jc3EDKBP8*YJ&c}OVyi+-yl?cv2b%s{UW1z*Gu+d?LCaq51 z@%jP;(_~L!YFJ9HTafxPUu3f@?IxB=w0JH51-bfdY*jYP8p9+gQ^>Eug#i+!LCBl@ zJ6gpyG}=;F71#F{*Ad+T1ZO+$lYJgh{HT@eLPwnL=7#G8tn+->WxU;u6>j!YE=jLX zylG}k?(o$tL*^&){1=1~VCI*z5!FJfJYpP4+BYR=hKbzTujv!iF=w_Lr+f8>w@&cF zonoV&MC2f&c+3B+e#2T5EbmR5=YK#dSpN&D@V`SUR^I3KktGI)VR$DE>D{#lQFbA0QR%{}rha z`fnh`e^3?nfA3pKl*@^#9CjJ{c!S-MF=znA<7#WyZ|Ct^C`Rt!s z@&7If7#ZoA=>Mx4yF3in?09nTv&@&rB7kM8pWL zwXRA}j!cFHh6N@^VC7*I<_vWWOu*7XRRko{Xjh8vccuwUtQ_0xJ_k(_2GP zP8gp>4 zjGvLL3Kqj!X{V zBOK|O?0*wsL|T>Q^O*6ruL+X3XDRC9@Okr!$c_P-^^>blCQQ8h;J);7i%tLkNT`}uGQ$6X9AH6 zik0f@M=XmnMP6gbQjDR-SYP|%oZyxbF)v6;9;jsLYdiKP;7rS6j;Z-TE2DtbZ}VL5W_>PSz+J(Gni>bsxINYBHMe zlIY#}uLu&qV31 zpe-pJamg9fa1-DoO5#xmtW&Z>6ug*YjZQF4)E!c3Q6eujb27|t3;!xw>Nsw6y~<|a zmVm%PHrtYe36g9atYZa>exg@#jPp&t4d3VHaIJukbt*R>`-JXco_2GwECoJbXvH3z zp{*C_4L$%D!*%=wQAWn`J^R%9R-piiT3UhBT>O=UYG~-C$5-y2YX@W`A^3hS?{0n9 z8Q7ZX=Mu9jX8kLB?jB1lSwC?Y8*Cd2@q$OGlNHvY|yy2|Eq-l=?eL)ZtlN4Q(g)v@3%z7#f?aRnFOCY@>fz22wbs>(+4;uE3=1&@oT12?%%@*2PP*0Ttta?vH*|Csyy^3+n|I#+ttlifTK$S}#R?GvWYA99?c zP=YqGl1-@`4ym+03)|8hRzs%WdxF%YeSlrAlENk_t>h4<;44qx7wB?STe6u5eta4h zFsQGfH~R;IA}(C6uEx?Sv8gHo)T^Ym4^#>CJ`^_U13zbU8aSGxwaBt$5e^5j%Q_wPQ6J-*oNrZ`FH`Mm{_WhVZND0fP*hvP&hj&E&$*EY%Q|-Ds9d@;}pI_6?Lu? zHLyU;1NPy5IrRT_wRYYqv8#|VvE@F_5foM=7VH|4|LZ;6IkpDVmEmmBkcG@Miwm~T zsgkkyl-5iU1{$tfsa`kbOHJ_7^{WWtsxly&s(0O67f@e<5H0&%L$eQE7*yi|f419V<{hpQ zM(~)~@-_#KJj5>cGI0y_PJQ%3nuaEVo}ip{`NADZFxWD}_8MdiJ!(1|S1O;5?P=f1 zs2Sf5l02Nnx(Z*}Or)ECpk)`0S0tZAsB~Q2BlYW1{~JtNdm&GDuh;_JG>1 zla@Q)(*IUyiWjgHt67{$Zy!XoXDj6Yl8t#5F|dg5BFN&@ERaE0yA8)vu`INNZ>u>k<#ESpbG{uAK?{{oS*TDw;< z;0*VS=^g|1HbGTFT$SvqO8M3n9sgOIw6Qs~eFSJrI|%}*86ZT+6=87S43h+i?Et9v zi}$YPW6N!Sk+zV?Lh@adtK)p-Stz%3WuniH*Dj zdc#KRyGX{)!o`N*&hautXt10LQL`wikbfe{qq4gEEUDihzZ&e)3Ejb5F{Rh?^HZzX z6zQTUe0RRcFFxPC6bt=i@5DeCg|tFzP;qoQ&k($tJVJ0fZJDkkX=3Ll8+YaU-}Fqh z%(m5NXz0I`5M|%yMYUQK?K*vkS{}6x#Xut81CW;g z3q3%>zgI9oaXuymQX0;g%QbqSGBmO4!Cc@XJ5KKjP?6h`b z+bycwJV76BuwIxa4_F>c-?tAez zxTXYdXx$mM0wk>DCXPaXs=(7!@ zf-yrSAr3*E9Yi{ue@96CI{XZ74&u6>4{6r!-=x%WAC&;}=TjHT1?`60ucBskAXlt^ zAA4OzZ1rxU<;S$$oZpH*jIh{_5H4tO#GyP*BEqyrKW~ajEE+ZJ1C4=RT9jd&AP@Kx zsX@k*qraoepXk0Q!EsI7GZu7K)iXoM+?}4JwJJ-LhHDBo4p#5Ao4O%Gf^-$KNjE-4-L;x_AIpK2PR>XRE^bbQk1+Fct%hS@9c$@^U?lcq z-Yv$f+C`Xhct8o&^nyvvDjQ`rRI5PmF4{5VmnQ@T)Szh3-7kD{5x82vFkQs+Z%O*v z)qwK=P?MgbQTBst+w?2rY{3aU)@to4UY{s%`>e6R9CidI)2O^!ROI%iDRMp zDLiJg^D?>X8y=sn&e-EFLkL|$n~YPQ#<&=5W;v$zQyR@BelA5$j_^6U6f>g_q^6ms z>Z)u%lOZRys@~8h*=np_1;SwKH(O>c9K71LJrsvJH!VP|@-}&voiDtwbBq%lhtSV# z^`QOiS+Da_AUC6_B~ARYy(#g}nJ?Cb2(^~QKl9uDpVem4-=NzKn3{3j)QUP06GKyo0#UQCS%!aI>m_zJO zR5N4I9fIdH;>)QxWv)@b|FbGv-A_jYgURQosDMIg=@Az@RJ=we&3^{)4fdWEUHi~9 zPZ{Sy!}3(;o$H%=OrAqai|z5_gW_j!_=Z$O&1R`#&x*Kv+Ul61?aa|qX?TD=5SyDm zsIu!D%6-N3G~D4vpOTZmtb^o!JEJQ$o$T3O;(v?8;% zrcvG4IqgDH>VmmJ+BE!c9*l9_=d4);0hBy*9s5iNo30`Yhp+961Y;DxmH5BxftRf2 z-Ip=}Sh1aIxT}}@VxR;fNJsBcK39tE)S&9+(c%sWNbM!T&qnDANRNc;nxwxZ?t{+E zf5T?OdIT}^D!=xl1EbpruD~p}grX+)l>hd}bi@1WtOL2QfgtbcoeFDAB1f!0%?kEw zetpYO=v~Qlu1c7J{wnXP`j%Zn?RKTKQgiPCMl(AMwxG!s061?tjqmLHo5 z?}Q&dxm6T~0(HZGq{gK3Mg$~<)7_&GExsYNl@^i!J6>jRujFS4dFrPl0jnRLSLX^? z?(6!iUhnv1U=P9d}FATTMD5TOfVw7?Rd?PX0cbv9C1`a^^~ffg--Xv<2v zxzQGKgq_G#6kVhVt>a1DiF{? zCrc`94aA*;99t~yZ{qYmWMyVe8*bR>tM~O~gp3mo2EiZ}|0n>7UDb;oiaKcnNdaQtV z0_~Apl#GfB&>@m30`Fi!uifDZ%b}A#@0SSXfCy(Q@LSyo_=-Xxpur$5(!i`z{J$sJ?(-W{W(ceVpyV=VtJ)CIbO6u8)Zak%igH_|lU63S-i-H$7RjW8LVvPF%qBfov=>HMfs*)8R^| zTqyHWy~J&d_P1ekCrn8t!)7tTDl`8ud^AvS zkP9l^7WxCp(G|uZ!@_Ne*JJjHK^pld4?BY@s>9W^m&yoT_;?J*4Qi-brH&{AVKAQL znj}WD%NG|CuOV#UkzeuACaBnJp1w(_x(4AtcopF*AT??gdIAC|F%l;S%aEtQ3d?e)sd{w7FkCp9wtC#@d$) z8uX^o(Kd8`NhaN2z5)ELhN-;-@SvF<;{2k)RC}}`*>K1)HME5PL$6P(BVZo@;)~e69Gk?OqdmiJT2BuZI%OLR% zhQ7X)9Ur7ADf*Fc`vgK7{_0zXY;*#JJ(&i_AxOS(jm))UpPz3=U`nL_`@gkiM+;%5 zIOG8k?-`(SAdxUwJ#JZPWlZL1#tyjH!_fx}juE4L)3Fsw%{cB!+Xbq>7d{^@?QsG` zg4W}3Z=OKcV?II2R_{o%`MP!IHTtz|#%Z=HBJLx8y=!T)bQ?3*09HPZb!7ZJbCxsy zW|1?qVq>mTm$2^t2z_5!Ol<>lF;8=3Hwq<{dc0b2z?kxS>U6XV!U#ui{z!{j^$1p| znsY`5haR&%ofj5eSEh6`7Yxfml@iP)P#Jwkgg)H&1o6 zSCVT;LqR~=A%itH2;bmGR2Y6z_`O%zX5nd=dU)cWu7gZ=`gv8X(meTuiy|CCIS37QM_T@XU-mY zR1uQqV#mg*7|MH^=AE)K?9>080i?-JfdqW=JDuR z&tr%ee)L+=4~pW$(Ak=~VHr!~)l~_a2%>Fw8&cmQjt6c{ngg|0ApNNb%Ros5;axck z4m3<{MPVup5adPzC3@rcarIe#p4($TCCsd}1_iH((+WFezQ)PGvKWB2q+%0fZlZmI zVxbmqw39vAe{#^A8>l!O-)J4yqOtnYFZgYK@4L!(CKQC5I36jwhuN&a66el#CsgUe zHJ7hAYOVZ6Q&s#oWQGETe(-%!~onsF(E|>NW3rm|3;RA#&lh^d-U;Y~YHBE?K0vkf+Bzt6Ry3>wDtC6*%yI z@P1qA`exLz4obNP1>{JjW^`6CZxxc87wt`b9CT^dRB0ecjNo*lJ&&58cd=1cPAeZq zD#lQDQjokyBI@m&gyQmE8q@b+oJhHeX-w;mpIoX3Ro^)^_qns`%1Tg=##!0TD_TzG z%JtTN`?w}CMN9u`?`{_+x-sM9>Kex%D$t%yj1llykRlt?;NkenxfXiLmo}Hxj)qV* zI5681_EEf)KlPmAL|>Q9gfEFSxug;2N+6!SyR$emt<+8HyYM3#wwN;QfkAvOxYYYh zQ_7N8K*oBLhZ);`#gA`g+>`ok+uWv;OA^DvH*lL0`CTo-u*|A98`4%;%B(+o(e-IQ z>fg<)Q)@d%>4|l$=pAa17z{^B1A;f)+V|s*Q=G)dZ&yM_bsI#l@C~^?3-WUk)r2(vOG zTII{r^#C92iZ33T-!jp+)n8aj7*fo@6U+ZQCI-FTCB$)@agtS*p*m>ow;dfE?vnq| zUxNpBVxjh~6+?frEhaW0`TFA${OGe+Z-ZNR&twV7+`+3H1w155II`2LV4;Obrkb{O z_EPtWm-qC8KYxRJ*cYus6ZFiteDrXn93pgkd+(xl&m{~*dhs(23MYbiXAEYSWF*;4 znjxaO+tH3>*3ZdEog{CL9t{n?#M!c39WE!Qd3cE>H^JLy(+PAz%|p~*fTVv1cr*J$ zS&^=eO`koCAwEnFls{{2);F~Nh4?8@R@Bv@9 zXf2!SmGC}sv%{|pwDnRQ?dH%Mj8cENz` zBiB64`PE6kVivaP1RO}BhR5Nv(KXP4GPLM9x=A$lnhYK4x4S#K2-RHZ*5#@wtAR*` zo<0N1ES0(qJCXvqHQcKBOpYI(thBZ|~%SF!p(c@2B#*VY2C2jh;wP89SJ^L!!9~TF;iO61j)CXOszs%m4<82x#qI>Nj-M68x>N zXgtrYz+}PfM979_h+j4O=?s~Y-;X3-j6iY=g5c!cf=W|mbe35 zsjk0?Yi+?SHS2~(UT&7|c%9ePrXL?ErE_eCX-T${{6Pz;?D8G<%+(t*4RYnNO(jXD zG_0Pk1947!MvImmP~*3$wSOZ|mQ(!SR(Cpzdl0tK?+w|;g#Yvq{^;vBJe7h)A80!& zyh?UG8%*oECjF6+V9x>dN;25N*3gwXuMYD?sHiwg$r-}kJA~_s`rRYMLp<HjW^j9Va%`rdViI;q^eBdq;qXXbpceKAh|VIJ`|3X z*l)&ST)~)i+khtnd|Zh$%Hu%6imxT{h#^oEN>%z`kml5O#?XbtFSL9RQeYm(U)SN7 z&b`LYpWawG&*ONBa-2EOwebSaY0P3NJU0w(!zJcxPUQ63qq%x<8@^j6SkH(`G#%WpAP@l?mA{VkyUoLP zptuNXnPv+wlv{ggNHu1o_s$N1EE|1OrStV$1UtC!L@9Ny+Kd9)dRQaX6Uht+=;B5= znhxO&1mL9kyQ6!63Ht%xZrAVMf+1f8{NC)zN=_Z?1g{b-n~&9m;S7v`g&Sqg(6);$ zMi~c*p<&RcYESy5j`)>!twk`0_;V|#Tdpbp7B<<*^J3#C!sa(VJ3`G;GTqZqrz*eL zvn$2&;7%7h7Q<6TPJx(Eky`5xT)C6c*MjFO zQhyrkgPzDTW=G?{Rb;1&#p_=Ygn%3fj6979&~OMq7R@+5gVFml;iw8Kq+P(G#}fA0 zoxPUPblU1LufBr5v=mp{MIYh+lkQPdZ#`Y?c^nf6Mg|Y|4 zAY-hC{g`I*Vo85XRsQ%YIT%Rn2@++6zPgCC{+ck=eI)du8tA|KBh^Zkeu_bNFgKwL zCWLR`lO^NnDfcHao@dd&1hMM&y%v7FWc(Buw*}{w8N;krlE)Q7j)86)l<&R_{3DH6 zS6Q&wWm_Jpd*h=qbSZ@hQ+Pd+_QC5bj=?i9Ce~L{zc(aP3lyKSE9FE;vbmly9kF?h z$G{7dsU0*)WTVq$5I-zcm=uZ8^<|Ph2QHJlHK@+MQ#_k`N6$%_@Iq9>_h?L?gti(plTg`lt`@` zrsBC*3r~Th|Jup1jci}SH9L4wzAGK#?^pq~4s14dNj$?R0=il}OR?vxXGmcZ>n5PfsIk4+dQH(g3nl7}-IVfSS5GDcwFfR9v(( zW?()DMT@)Igh?AI7<|!(Ws^b~-5gCY8+FnO7I4ziw&8p3#Jw9UcYN^ht^@!#3$8dqX~h=-Rc&RvpIvpF+?-*_x0KBTC1&hOrJxrF!(ny)*q`Myzl} zM*%C$KHTb1%aESMnBJ++7l_a$ZkbKM4y(M@<*qv_A-+heq}V&$e$S{}Qa~qY zN@EA~YKLqCoBE_M32Usuc$btPKEWD_=T}X3nJm%1jq&+bQ>%v)oSP%p!AWJGu=EeB zWCr0}*GLpnsugsjWP}dzFg|y#$UIUsZMyJH!xB_@G?ciTM`_~L@oGtp%=*hI5&&i`?7fTmm0b#D zh1cR3Y5bRKC^ctfaU}kaV@e2YrNEKEhg{HB0A`2DN5Du<&jxOG05IM4t4{#R%wCH2 z{96Y-KimX&?Oq}bzz-J@(mU`Ip3L1!YSHvtujo`n&ZUZcx5$tHcFJrA1fe4{G#cIg zOc79N3TfYdX>u+X2b?pRA_JBDj-LzvOj55ZktFliL=Rc>re4!V5q}ERDUn@(5P;fv z1J)#nzcj0^9${tPfRSuf|FZK(gTGGcWt4U!lkLWIM6fYrIf*t$J4ihDS*A(9?flX6 z;t5!<9E`^%8`wrBn{f*EuSFcQk?!&ImwS`ishja|Cc9=l6fO42G4VFs^%&2-1?p;s z4150P_#0&UU_0)TYI6@AfTQ_84;#P-e8}43*iU$>DXkl-_yPi6#rbM<=3py$ggEoQ zfiUE0hTG#>>FKy-1N5k?2su)qfwG*wey!;Tfk_~o<+3iHnuTfCTyDns)p6Q(#Mn`0 z)thJ6@VVp&Du@bxJVzy*zt&K#J;f1l6h-|;_M0mHr23Y}Qb)abO@cHK<&3eLaGJ{u zV_#mcD9#y;cy&yN^Lpifryidg?nnE%M(k0%wE5I;KYqgL=-Qwo6wlDWmB=kr7C4v7 z6KwrVac|#yWJM(qa$x{H)%y>MRK}jRU36Oi&JbamO2Cv{o6Uh34Hr&s_3s!NAM%< zX0)52UpNa=<~#~V_m4$>Pz=sWXfR$7#EvhdW>0O6#u_nefnlOKdt*4yc$Uci)>Gb; z!8Jt>*^c-ImqkKg@vt>q*d!$yRvB3!vPUjc?|zs4O=g0eah!#FcIuXi04W3h)JFV; zxJU@bgpWRm7P1<6UXE8?1y=nz{e<(u&FZOgYi8)5Gzw;Qqb7;*!!*Vl(o_R9R-8?z_(( zQJ4#?w5TOvxcX%aMm-u<^rW%~-f+jJRI`B2Kx-bmqTYSmnoIc8VcyCVK^A^iUL;kI zgI|N~^lNR@GDEBLluIOkV^F$+)n$z_)Kt3ztyb!{c>=pT(i~<$F}CMF zgKmmC-1c~GM2KFfk_p=#iBMP6=Sa7ufG&X4yipNN0?)fCjLE{b$14$YR&A3i&vJC$ z4FjDc2H@Qh9^G*p^?F#b96AI*L+{!&Ko~@Q*9zY=wd8%G==i{-y~92Sc&a#fFrEJf z7Td`B*`f|Bo3HB!8#1Sk&5cI9xzH3fLI;DIm&s}S*o~lRA8f3j^~l6f!Gmk=47N&= zp6%A1DN!LV73Yj31l$>nMQWrY--m=jn*2gQ1Bj)0u)>>fJ8|7&WyF*5)`k!u zj*=Gk9w=&;HmVjq9s5s$U;&-qjFm(Ck;Al~jPQk*E^nq=Yjr><7eJI&4)LQlP#4!3 zusQpbg4GPPsz~pJ3fYrM1FOCUzFGb(8`{xkti>}0Z;|YQC11Px?569iYHWu+(F|Sq zb?RCgv)5xSND{u}Xnsjc4Yk~PD(y-cOe=m~^-}ep>@L@FcV(m8Z1p%!W!w_JQ2o!A zDAf@fE|McwUdva|>dr0slRVO@^^-@x;5%0ZxJ0!~pPPm?Hu%{%^QBDZa#UPLfpBzn zY)pzkE#tpAKaZ}D z-1{`Ffv=8!!p7?xs!v_W&$%r@S$nI79xG&flF38`YmM@CJ#(jodPIucRJCj=Z~&@p<~FcbsLb6ST*(XsrRMu|f0!O-LBP<@t*^`p`)crOL&hL^7TL@5;+MPEP`%Y7(ji2$M&z#1 z4=Op(G88woY4-S*eZG(FOOOR?ad6;M(K6yZv>vs-$0I^`ZbDiWfl)qs&cJea+NIXp zjtdHev1stRc%;L$7$}jvqJOThI~zL6xvqnqoj5o3=~v+#w{CY)qK=VUTO=BqX`?8hLB{si4)+!-IQyji}|l0TbSl+T}vsX_k>1m(P1Y z>s5HK_pp1HGs`Or%t&K7mlm`#nI~r!Krah?E(WS!LL#YJ@e;z9HQ=m328x-5`*B&5wKU?#ompZJ;5`TLg=cY=R^k z()+=U)s-2^RRE+&6!pH$BZW5%EyMKX<-keja5q$C5_u=hym0X9zKVu8o{hoki=j;D zz8n@1uK*V$a05v!h|jReN=Gyvq6>||ZKFZjD~!L7hR5f@zO3GR`{1A@N5H+I~%>=J?TPXlj_@)w5u`l%+iAD<<02;1=wQ zM$wS;G!z`$cQ8^0?UB{>+Yzza)vWE?_7fB%_3QznmraZCaDs$hfAkm+h|-MsJ8nB^ zO)`CE3XoK(V;>bao8)4D@2!D%Cg$&Z0<={s{cAf^)_I`*!+%kT?)Uaz{+Z)J$9AJ{ zpTJ_h!)LyeBnu=_7wj_`QM&-YDb8q9;hGjpr622VB0KUchujbCt$k4E?j;HXa8p() zh)61way17ZyI9Y^tsb+%f9d?Hp_lZA8#J9L%%q8HA2ZgGl)plFUK&M`$~lmlD+9A{ zaG4FveETXAuf1xXL)hGLK8lSi5?kV4d5>WEnJYV5@r|*?cIy%Ybgx%HbK~St7a!RJ z+_F{h|CV|t)Ys)K_Xw!q&ti%!;0M@N;?@}hre7G70~%JWb)#x>KC?>OXZvmwSE&L# zeaEp@l_WB{w@e2wOmj%GF%KopkB98XspSM@^;&VMoHoDe)?yEEV<5jJe|1cj7F~H< zqXNcO87-rr5f1q6ZrnYzIJC%_aEy++88JHX6`eBnOva992U?mJ*{$@fSOlOrehI`> z#BD`OR-w;L7!~M(IFBwl?y>yeFZ)-@Ge=)o?pv6dUmynzWgmr4@$ZuAtiFKD%@10#X?!coDywjaaY1k0Snm(3_MN998Rm}&> zgbWK+e)5(`&O~|sJF-!R>YZ4x{ijp7cPI%sc|N0gd0(QxAY+4dRjYzFLU|9@m2-E5 z53nkU2>U1=Z-{%0@a+zRC2E%X7cA=-)xBI0udPPO+P|`CJfv(5opCqBMSQnn9Y4p(B2a}4V0Gm z#Y_I3N`pd>cZXKZ?RaO?Rz4f-P=kWq=Q+!O13pe)emLY)* z=lJ~IF`IQ6uOGFEkn8&q1=Os=8(hglb22*I-4M()mYA5Q9v~14bgMyS6($MWf=~-o z!vQ;cCWsYkDwSzx zy9ZQ`WT3_J&;yY^qf#5pSLWN`USyq*4BPN`v`Bc!_~975W_u?3w78qW-_7u`s(UIs zNn2n004U5sRrD=b&0*SbZ~7l-HX`ukU-G8p`-Ew;Zt)MC7RN) zB==X!O#V1dHWi)|cMj0eR&&lI3<<>7-}bx{@?r_BFP6O7geXdTX8h@WE?t|qTosY1 ztgj}MNJnLFi^5*c3!Mzpn4WD3*3>(6T54rMJilz{Y!d|LT}tgR@yF`) znr8OU$kO=sx*%i`6-e2Jn?Fq!8aESSdb;F=2fYH~hHDJhb#?!+;Efqbc};&WV_AxC z4jp-0RnGEJ0(R~EAba5HV4@dQ1JO;){>nP4yiM)XNd*_dO!yV&U@X$VT;)~A2}g*;IxmQ>1>6E^}x zXxEkF+54&NVgtq~zZ(0JLIQt>Y}gB{=uO|Y%u}%fTGjMgLD|k@Bk>P5cw_S{Yn1~E zst?S#ummD)eA<)mww2p=nPT&H9_sg|uno5*{u0ef)}lq8K;NTQ@2xHB$~KbovN~KW zu?BU|=mF}``yh0dfVo^ZD4*BnfEU`(VyI-{R?Y?NK*DD$Nw|I8Amw3)Wut6f8M55?UIP0}@8us`U}ychRK78XheTVlR_kAjPa&r5z|vwHvY_YnD@Sh#~) zfd>2Hj&5zw?tHbHS2k#bIlF3x-kt8pLQie=dxYWx7NovR*n?3c45!x>bo8UirfR^K zgJ8p=YGW}a0@>7(H$;8H^>|o$6kEK~h85?epu?PrF!9S|=VTAMlR_L{_=aejOr}r^ zwWAA;rh_zN+e2Q@*8Kts${NIcYk>$ov)-8)j5TDuJnlk`71M9Bz>2M2+)|edNuc==DUHCJ7Ofq ztwcm}4Kp-$yCc$|;fKBK@=zlYPhz2PGj+*R5+^X`aURPQ)og+FC|AF~#sW3|)>+c* z6!agm(INETylz?do6wY4x*WMNRevJ!_-WI+1uXoTkA^ z^gwW^!%I&+CBJFrh~k4Ft~KKod?*pvo8$a8)2GLBl+}vU75mHHcacuRJI|L5W<>Pq zU=H>MN3tuuM5^_am&D(di|}<%biU+r{lBq^eaR%q6Bds75Zj+9Mi6NpOw^5jO5cqj zB=~oC*2fq2q>q%h2~lM%Lfr_ib+2FZb0xUsa;?+qKZ7~sgT?hU%tv9Mz8ps(T}k+v z@Lwl zW_FBU2EXkkP1Aw$Fw!*;Zr-c&Qai1prCg(F-vNPS#KgTD{jJ_3v2D?<5Km?jc;FYS z^bMC;q{88Wy1zJ)Ai&hiCsZ&q730nh_e#|;;+}2!Qb1@pmACUZcoFWNmS(TRmX1YY z5Pd)gsx@wNhp+j;dtYHg=s%MP{8iFG#i%kVdU*lG&dOyAc@cMeGzG5VW40Ahzt0ps ztNs>-K3M1B8xoc}?S%iul|p<;e`{z8TC`JC$!xS8UeK5}_12DmBvgci*6@FF%}lP( z7&)P`;t){?Jl?8`jy=GR04tqh3>)GqfGvMyx4Tup4nklAmg#S_VW2vk{=j_OzHZKr zZcS8gp$Q7K5SGTx{l1}y`I#T49*NZ}zBz{hjQZDh*b66o`jLl0Dt0Z(H^i%faS1p6 zK5632=j#{Aqq4~vL)ZbPS!HOuc0T>SF$`FxAh=3Sou*+3b^di@t7~%KIR`jOos{c6 z!q{hK_f&truC+_5T>#NR@W-ko(=UejIfV5WDi0V}fq<#2b3DCIk^k)5zC44L8f=eu zNb9-P#*s`b_NM;q>Tq;`cE?zdjXXaBnp>zE6PAe017q?p!if+`lu_i;_h|Dy>uXZ> z`Dk82@JucIsyp+`rT}RoIWBlR)^Y7lBLc()_Yf2Vht+yO%4ORuUErqx8iIv?-=F;n zU+Bb}K|l|P*QimEJHif3Qg-vO>S%-@|77N&7AptPd9Fo!b-R%VCq@SFE_F>Ylyap{ zwWv#*Cp)$WTv!}GxHQyKMAtO1s+Tr8P&rwQ?I5>cnI?+JaF1!sAzHzcR#sAJ3`19N zKQNjUK)~dyM0=Mow;4>hOQ+zBIt?=-rP1@#$W8G``qzBcY}ZDYcDSKuLGRulgK#0- z4+#Y(wHTbBK^)5#tSs^%Xn)zI4hiWae$4UO)wr=5C~kzR#qx*`lO4#=-)_+!uFb8# zcMGt_H!!KnECZB^iEaq-o0pe7?nyR`^gd9yV>tXbl_aQ_uIrbY{Ld}y-ms1hnFfXE zxyWafZrT$4$a>!4N>O^y!SPRk%pD|>%NR2;NpR1&#?A@K?(46X4iso+gH+uKBLKb{` zzsJ~I-%R6vJyA%KD0F$5gLe7|4*PGtvP>G6=>A0uGPG!^A?!(jVW+n1T?rLy=d5+2 ztIRMwcVU9vNI?v8zwjqX7df#X_w#9_a3AofYvy^KU_y!xi!0hVy-) z#2q@y29+7AZ`IQ!|7~y4Br;l9L2D!B6`(eq22o_>*n+=q3PTgBpY7R~^5g;U2?N_i z+oi+dd^}o!Hf5y*AAdb_0HcEdH*&QmuP2Eh7s>p=c6KQ{5H~vBd^OA04zyx&?B-my zYPueUMiAHIVNlb?9#KJ@On26KDQ9L*uI@U zyADHZXBl#wP`;CrjIna0(3LFPyQTpQj71znR(?;&GP%Yaz32539r*jWUjhZktF6^a z0s3+do+)svdDmLzPaIOfpRM@WD7g{sRMKfCexNVht|OiT!>`;(dz^{B<^kVp7#S=C zWHk(!k1P#+)O{@5WOOreL-egp$PG<;30=IDRAAT|bz0^FI)eepWtzp{-HDSrc{u#< z?p~gya9%ZsAe|w1>tLST3;L{^32$eMTIM=@Kgvaeb>Q(-s_9RMD*xXGY9Np0h^_+7 zbqIGvOIKlfzI%J+c{pZBAM3fFotrDWqbIxx@WW@ksbhT-?Eo9AqfM+hU9ai|1V$_ zpXyQVVkt$UC?c|GdmBBt)7IfG{dQ zzMW8UT^!RKwc>fM&}FD5!JNo~jZ8ZSI2?CS`BiCvoC)9UA0Gb-O=H7QKrgjGK!H(b zO#x{x#PuPA{6-#=dZM+W!@XO2K6dhkE{x_5$#Q~-;A1!t2J_kS3M3A$Jw&I?_wI25 zb%V`yDE6?ufBhOHcShjF+XUlr@T2|#4N>j#Q`Or|^uE?ALVWOf7qkC+JtU>aM9>$% z0ji|gCN?{Oj0H&oKhUYb;%>RHejI40Ai{j|abBeDm3MVv=gE)fUyk2E$+X0q2)fJ$ z$1#3V=3LuMS@I%?NcO)*35J$ReHB=Yypafi00p`)RRadBBedHwieGAb$txS>nYYgC zz|`t{F*Em}cD;SxC3gr1w5=7?bGP{aAET{Irm?>+eST6v% zj>6$Hry5&U_vF!gYClnW*5MYyc6wELudrTM76 zBdd`y-$xcf%6RvaPZ=WNdu?xZLpY0u8x>66R1J;8b;@vDRwzR3HWS`*SY%#sxID)7uj+&w(qkQa{Ct7;O zthdUzA*%BNCa=M+)%aX`7>g#2lJgF-cDbeTf19$?%*Cr-Vc3-ORvT(1VoFBwjmh+l zz<>T3Kk(&hh!;Fv#p`I)w{8*Mu_oZO>GkDb;aTqSUh*T^0I6Z=LkBN>?-K%BpscP! zhGnGtUeQz}R7kWum=Jbo&nOE8fwQ(*o`)y7n0_0rk{3UAk7k5XxIoz5n9QZctHyF6 zE%a|+#i;fhR6P?H{xcDMwuwCs7>X?Wo!M-l2fd36S^R5amid1nCk}f`92}UTM5R0#sOL^%#_4> zi#}bgUl7r38%kwAA5&v;r|HY+c5+pU&Mj87zK-TrN$%Vn{cx1U4-#8-hjMb7#iM@K z6WoWdDXXZ|Xr{-^-bF1GZzX@D(J^1Z-MmAD@}z{UTC1U;?OTS>pFD@X>)2fT)JFY(g#@{iyO{}|ti$v}fVIC8G5H=9>!#Cfh0s`$HfTjLS0H2u3TH$$bvp7w zw=BM>dZ3&i!iQD^eo)D1ZPLAv*;Xy^vA9&%H!1S_^4ep3szli5)yytO;gPB4a^bM3 z6@$q7l#A_n{Hd7wiRp1=V(PBlq&ygYmh1VoS?1ru5t_pBBp;&q!KVh`NZ{VoS zh#48dhSAm*B^Nwu9r*VddWDxs`JI`*)$t;&h{1hB<}SNu+e_d0%%a|j^+c6~L*|;0 zt5iaF!~k(&@%)T%<^+dV#}v&FQd_aYEt)HeRcVZw95KD^HoB^9wsak}@_?hRif|9Y zsma4rh`M!Iw^{~2?5VakErxD#UM1Xzqxw2NI>{sOAMAQ*+DhX9?WIbny^t1NQbYhw zJZw_-5&2yPxyoBz*_N`Yt;e>92B^#m9{#F#poh|}SXOhiZ(2r`X=Sr^?Azg~`pM1l z#`LXi zylRwtir=@cUn4gM%+_CH&mQcPmu`sT9vUGo$;LCU2I_uzTn+zeW-O|590%?f?l<#S z%gNY}QKfZGI!6{<+QR&ms51xUPWhBItdOR>Ts!3__UV$b)T3*YKJW+~|q}Q7~ zFM;^Lc_7;{?#GEl#|u)PaJG~oqXbhr?hjpNgT9L;of;x&WuA#m`%84h6rFKBpQ&M) zf(B8I_53dyF*A>HcG8|f_m!`GG%#-$OufLbo03eG%#bSa!N9_XXcSM0aoC8!ikR9@ zC|Xn>Lh_;0tcx$qZ@u_RBzYt>dcY53v>X3h&;_gate<h%4|SKjew)x-7#X&= zN5$!OuzWQQoffTuLhzKqWee5Di(RM3%$9zMZ$PtB2WCZ`-D|@&K5;4(=zt<>LmG;_ z1RkMX490kh_xTj8Kku$D zQ-0^eNz1dib4n1K4Hh=)S{Nywe&^H%y`<$HU zyp2@hBA}X2gG}9LVr5-B4n{KR&0l*g$jzbg-bgrJX`766(gS-MC4?AkWAO>13h%d0 z3D8naL_HO_2BGlKtFJPlp}KN*1}q2iubFS+!3&+x8ABrzUR{h z7x_Os8C?mswxW9{13CSi5;u5M-9fpAMj#PU;vbqNMbG?Qe0oucCg<4!q>=#gHP)ySlUK(je5b*n3HQsjrK<<7 zp|F~dd!6oS$19yrwjV>NN)O*6aC)! z``wiayjto9^9-)*I6s*sG6Yzcw8$W3cJi89bqFNFs1b4E0sCz0acwqF@<&-h->5%X zS}aj5r(nGDbVF8qQ9Q1X5&xoUU3{@_ld7Dbw~N+R!`hN^Y%5av3JDBpmhex6${k*E z)mcanLu=|oH25h&4*A^~F29Xo7H)>8uP)V?I{qA}t4dgfuWipjZ_0ZY%UNILZC9nE ztM3)2E_yDtV9$b7S>XbB+UzL2WR%cLmc$s;w2T3PCUdj(W12E)Ke?gDQHyogZQ_lr zkUe_7GrMO*$!k(^+E^LewAlr3W5w6g71HeLN^1lyYr$u-q}L3I?ODrXM&^xeG-yGr zt21Vj0etJI%xR%W3{b{2R7ggVgmTSiB|LP-%F9qT!=@qVDO$eY5SYl!V%h3ty0FUZ zYoU5Xq?S3C*KiTjxa2oMwk*e-2d+7U^eni(-21AA%inw zhDFOl9b2>x*7#*gL*4Irw|Hz=P+XhO)%cjh{VT05Ql$vGAAVjKJI-%6!YXLjdQj7u zzQ^!-6*?91;M|EwOxPD9e4@eX(%Tl$N<)~--N!J$qar^$_6IRDIosJ3Hs!@Xh&e<9 zg0aL_7Hd4Kc=>7$hQyEut3%Rc3i3fDt*2~zm~^IlL=XRtd;kmA^Sz)jguZ*rfa4o| zx;vG}t`;b+GBb${#%(2)K<`vP@E?pV@L%MtJJQMw48RXgnXX;2Q` za?w?Qp?<#%Ux`^}MzwKfO6uLpbOphnTS|6rR}fr1Eufn~Qh-FQc}*8fc}3Hs_M>vy z^T}cUmOOK(e3ay}uY-^LBoK9}a-o!Sn<^x>Ov>Do@bQ4dsVA9Jev|7m_6GIw?+Q%XvkOY&Z2DXGrt+RONs+OqTZwy(`pZz*io?IRFWILU7Sqm_nqFZM0%V2|WufoClIOQ&0;1 zS){4bPTqf8GhOqKh~Hr^Bh#%A7~xuu<5@!`5Z4?o}%8~35Yo4u|}wd#PSY@N)& zsP>`_)Y}8k^v<`P8BUxJ(;a;Rbu|qUYxe=LaiZpxkK72#B#S(5x;w_`Dc51cpEiEq zj<87k&IF!!${;Mw75VNd!heqxy*Bg5BxbD8zicV2#cyWUgmOQAkP^b8w|d$q$9C$!3_DRex%D2hU-zS%RG4S-1eFWDY^XP5II6}vhUgj3Ce6h$Df;l{n zsw{{d^uXw*$QkvCNmwO6Wl(-SZ}1{wJ9t9K`6pf_FHv0wa_<4dV?sKSjBrtQFzUvOd8mWE*Gjnl z+wyvTY^ZWgP{~U;B&)nd6YN!fR6vO9+&egRRtJI_Y@}@zG&vTLVHk+j+SP$J<3R4- ziQk2u879OdFwZZ=R_By{(#^EPyYAjjs69rp61pfRE*GpuIYeg_1ws$FiE0_33a;Wq zGN|W}HhI?}FKn-Kl%{pa8{^~dUS=Apf6}myV3AUaNkW@zBurhmTVYnyb7Cb98@Ao# zV@wNz?Mz&{npzDV+)1{C7n7o3Y{)i}K%6AEqstbI`45Y46f^N)_^L@;mjfN>JF0?K zsBx3E%`5~82(dWoS!jx*E5)EImU*@cIVxZE%@5`99iXcw&%`za_AUo@WA*$LC@-9H zf__+%|6UDoGSjXv2emT%by5*VZ~e2P09VBPBEn(r93;W}m%gZ;A&^xQyD4%!lcIx5 zM7lJW_Qq_Qmh@#-$h=Zrm;?KKF``c!zBd*)mPr>)!ArsIiIC=f{*S!d&&yxb4ByRF{y>TR zAihPrPXP-uz`t$gS$8(A!q>;&O!hn}_roWacg}9oL+J@MOgt)!`^_+@Bv&xoGw01Z z=~est8GBS8Z2UdjXC>^e@!a;KUk{9_taXlZnAGIe#e>+6cBK_UfNO!Lz_>PJir1Ui z@)w~Qbq{zOO@b4GM>8iDmWpB{M3Qnj+=~x+%3)tQLq`j0eaYQS{G|C+;}b!gXF~n4 zHl2&TR7H(e!7EhN{rXU;Eyr>~Z@$Mb<;STQrErfWmXgFCX&6BhP>BpXV}Iu~tL``~ z5;02-lL!Tr3HREbH^L$7h&E`>ucuSEmMhG4*P;#K^pk<1%Yrz_r~{o@QfI>O`XpEv z+QKBEr_Gc7dJmb1#virWCbviPy+Z=0caU~j1<~f;*J zdp%#~141`dsW`JVXRDtFuh`zD?Q~_93}sxTkKVo1wzG>6vehn~Pbjj|=dFe!-i zw0`qK${>Fa*S*6$mZQsCNeQQ@o|C}!Ti3)X}la? zFslX=|58&v{o`UC>GO%fC5;j!q6ZmeG;gh#DRR**o-AGg2IjY<04|yDb-t;gx+=+b zteb2sL!%Zx{#xYC!fJ!ME|6c~zJX^;TMfiuSoTs%GLT$WT>LWp`T*{KeR9o=k}Q^GCxY&NZJ`t$Q4-i)Low>DrF$9@!HF0paTKr-uv7 zBltKsM*&U#I>kl*`pvtw@?6RplGaEQ7F5~((NP4MX<&K3E!(DCmEp?b=`U2ZpC`8# z8G{LpAk4C|-vU{_klv9(0+Z8Kuj!j;bG|zvzFR8tapNeH4u9<>J1`Ib>>guOulDhH z+#bA&cCzfmFvHZwO8?cnD#9&e6wh&~IIqkEpjj!Joz*M_7g7tuh^j?w5Xgu4hm2L= zn+(?^#*WNlTF~K)GU>N~?@At}Xn(F>4BC}%MZPsNC7q0r9@UXNvK7O>ipxwe#6#Xc z*zPhe?I-y=$*K8ROP7TqhZkA&Il@!+ z&y~xX!=}V_lfU)-SDPBCJ02AlqchF&Trh^39FZkp&>4J+7hjRdXDNc>a&3zCa*17wdmG z`TpJ17s$fS1^mA>^|fg*axSz%`|Ks2;k@LKwbVEsZNpz-)XTFj3kG; z4Gpy}Ku#9$%jV{0m}agov)o)a({IYZARxdp#(Y80o#;sfH01PzmDC6XNSVsOP@q>p z2ocIbt|x-k{)mBCIFaW>k;kHaGduyQevScWu5AEvd|*?HxB8%0JAi)SdJ`V&?^(bj zAuna1p`tEBK*20j$AZFH!U6w9`A*y9;JEipjc>kpcwcp74kGtaSB~&*hWkGGtUuRt z#*anFKu+Ghv^EU;4Tg=q$*~ zAGPz3u7VG0;hB*wVhacxnGe+XZT{Gn{FD~_DUFS_BjP(*gz|UAv(09_Oa8KF_52T& zkJ(RC-|#3KoAR{}`97aN&u%;(l9UcE+=9m+y8T^!c^qPmgMT;*K`4uS`q}N7--$0T zs@wgZd?t>%RNg)HKn6cfMsc{fQ`$i5aSq>I00^hO7*y}RR&_}Axp=Mo#7sJQ)L+cDHs`7nLX zNj3Trp`)_irA-@{*Bi&Z&Q+u;HRVypq_({m88eHEV0$H0nk*j~>e9=}mt+yFVn@l1 z4}$M&(b~G->rsp5NX18{Uj3oZmDS%e*x@I-IQD$jwZY-X5!ZscNC#$EM*%lYJP7k+ z4{t8JD4>%sT-v`~x!BrnWq{$8SIZjT;oRnUSi+gOG|p#|qmQfKP;aFy8!$s$?zoP= z1t-d_V!t+jss2U$yNv>~52JEZ*Z9CMx+VBK6D2^DN-o|h;NBO-Z>x~hh)u1rj|Pp< zNHJxQru5Xt!}Cyk(@B3;XwYkLd*7lHrHLsiwDO20WEp}wEd-Ps!8<#KHN6;t@g#FJ zageGAIQn9y&N^5qb{YM^i@7p%M&BIBbUI%cqSk-$EA7wFF+ zN~jago#dZ1;u$+rRsyxZ&=;-T2?*V(owkq?_Mtb5^fDVNh){zQ`_@bGaJXGB%Z~t! zFPuy8v7OCQzS=lDO1=Vqz(?fi@;vN~F7bO;>On{&hn>hS)FyKZ*Ic+gR+qUxot*oHI(D7>p(ykL(_|HC83Wz;exMJZi zcyPH5hFS)Mod?@_S<|#RbF5)j@HTG@tO4=)U$>QA@HH>tscBHXJRuFlDJ|_vZmY{x zSvX#zQl3h^gd$CQR$-a6_tlc_u!&(A<4NtmEy-g=^kH4$G%4&bb^Ri%t*8%5(=0*^ z!S7KPUO6ny^q{U=FFO>;njg8j?%si3qE|^!X9~uAjEg;OV0$nC(o^q*F>#jUbs>>w$%^ z*S3ghXt6=YjIY)tOeP!Yi+`ps)HPq$Ki{e0thx`?Al(YfrrqP`eq_b2U%*8Ek)`!&R394W8{Jt~TwpBUc zVLPdBj*PM236|}r|J88okv7as-!X44usY8FcPBRZ)>pzGjPX8M-xwf^O3np7zFpQ2 zCeuY_aU>kX{{~iU_rvrsPSB#B(6#ZpRQLNq9q+TLU)-Fem|de*O_wWOJftA4R4VDK z!#)Q?(5pgS!_%H(bt#X5N!Si#-khPJ*gE44gWQN;*|sQmaXL54YMcIc+Toq0nVrN| ztYk2^lmMIrCK$IPGN|HFCuGY8vTcKH(XiqNDN=Vu=vl0Va48N~{c=CL!Z>lojtG=4 zO6-?P;_*8lu3@!vf5Ao&OI{C?5LW^g+T=#vC{y7g-iQ=IpCGXFE>Sl+WXH@as@)wF z1c3Gj*IqPA+WcUEr+k|_VXn%PJP!;Y?&`TlIq_68{8AMK6^4z{zI`;h{s`X=Q|d#8 znaGXQ?~E{HO_Oexv2b$m#6Ex*l*JMtCqZE@A|yGdO(`b)%h<+~Idg+v=1en29-sch zTMZVh2)@o<}aVdt+n! zSx19(5Q{nY?vzkca}%fQ*h}i-u3iY!#L22d-F!)t?TX|`=j@eOChGrvf}ADaOuZ1V zZp{~(U);BetVtcbao?FpC9Y>8rQZ|e^}w8ZYwm}?qV$EKwnCfA#A7hXkJIS9Miqgl zDkBp$hE!y2^p5ed$T?S-gEl^J(5htB6-8Zt4r6{0N9q83M^PxHqnF@dzi5kxw2=sA zx5ar$%a9b5sv+djMeQrK?c3GMR<0;qd0 zAZ18e8TV#S{vzj_d|_Dn5GqXxDtm-xf|!UFxADMH#KPH|(~XV#nv-}gT9P7FB{q(j zHC&jMt{JjjnFFnO`~^Qw1RKc>soA6jU8nq7kiFuvlzt)4z0u}T5tdP>0=F)d&u&}; zmuNdPQa-ULdMD$~&iTn6TwxvPVph@}Jl-?xup8JPaMmJJ;!m?FE_w(8*v5)ZrhGNt<63)ve z71HxT?qm7Gm|37-fZ7`c+%#9oJTf!H%U7OvaK6yg`(B@ahEEx`xeA91IPNl{kHbIMiQgE&rdG``8 z^iz!Wu72z+ozUJ*@I|C+flyR=JF@U3H?wi#*5%SC$$+mI7A3@${{9Dnt;^-5DHX1T zru+V!yyBy?iWAPxc@^GNU=ywKoyA|bjZO~NC&!b^ z8Mm(7oVTH`wJ&{WK6b*jS{wO7A(dYnra^4Pw0Hm(4F*f6 zG^mK-2lmWCnM989uQRTuM8LZlODW8U8xI5X!rGU-3hlQ$WB z8=}X`R_4me!1L0LIErZrZQ9S>AQRF>shp+7z7kCOR&2Hk=XY7u0}GrQ^jH+i^&vQK z3wAnkZf`8KpP}PPSz7tANp&&QnN#vZfNUWpZDqQsqjLz8LF!^_QrRHMD>|(b2GRr5 ze~{B}^@O;#J*C=t!A&=k21% zz{}lA5gOM7_mE6UxOprcmBqN|o2de#dtrQSxB{=cU=d2XsL_FUFIl+oVT|paR}mWKDXp;Dh&uVxPy>|WkH4mE zUEm521-{VnFBi7Xh#ethv(X!#+8PPS|*ul+2I7wnLR8qjNz0QlOZf1>c`JR zslC=Myi4K)4l7Lb^IX{V5x$0Ro-OGW@kX4EaXFE^vk~O2ktGf(6C}8(ew(Qp?Dihh zrgiBNn^Uprx7qI4!-9dpa~lDK2Qhvp)7_CcUM)c?NYm)jkKo;5>mZv+pov`LW{} z;<77kJHC|-LV5Ef#ab}K{q%|UKG;g~y?rq93j*IHyudp!%qA^MCL-s?{V?l)o~MKm z%b5G7$7F~LYMEBSeoKZb9YCDC27WDdnt{QtJ)F^L5VDYI{ez6izke`h!@6SL@V4_> zsdLE*95$kKcB;|}JR%(omBjIP#LA`aNU3=518EncFeN|ZUXK5@yDvr)=_XM4k4K5; z&g&d$_d*4IN0Gq@o(_5^{CCJJ^hED7j72kJArr(|6I1=~{gQ|0ieC#-YPe(+irD3U|#CWo`(YnS=%+%8AtMTn`xuCfV$x;_+J+gORDH84Ob zTp%2{13z93mB1PaPl(d)8h%r(S#pA^seIXPcN`_N+gY~ADJcNjSddvf=eNlh26*DdXI%PBn5Kd|}PTXwFywYU(T23-h%%bdzc1oF}d5RjeP*cRcntGS@@pw=P)YDCPO%V3Zl@DNQVj7kTiB#;bmpD@uEuF9u&> zw&H9U{i$(l0*;Ng-E)PRyj%joelxYxkMerom92>I{YlT5W%(}Xic}+XU(oku+7q@U zoS7gNS@y8^XGOV~HV0En26c$2xE#Tl<>Yy-W6v^fN}(|w;!ndu9ywJS^1PdIp%NWH zDpeZ<0(n+Pn&-AGJc&(*OPw+tGAiSj9~ zC9mHp(^@k};Y%(yK|Xoa-ojT~M1GBO8-CGGJ+m|>c5PJ{!RY*VX39eB@Ep83-xrd= z!b@ywXltjs2UNno6qGe5QYiLtnIoum?7%GPL)RhX3nidrKPV5X@aPpHjJ&{;Gd&&E zDi}bYiEWOpQ_Wi9%mtEBd^P6hQRWa659?ZW`oq9;6q@rrnRTr5!a#fIXPO&93j|?x zI9-H}-#95nsw#Mc&eD{ug__xOQUh{-wdY%5jx>PN2X?|VASM!Vib4)lFR{7nGCxPS_`+zgn{SRnRRhW)z!02RNboO|F@6QDh#KXtUJDWT9W4Od#N=|P2$TZ3azp+4lKxv15L(#FUwMGO;nd6+83b6GqUPc*I*D~ zUMP4}AgcONto6XbFQetjL|0*;+9p&}etikbULW-d>Nkh@dn4WOKQEE4AKrK&}+BQf77FYTv*#t>7pK#z5 zMD$W?s|fOs?RaT##l_s5>RT@g_ZTKq+>(T!e6PA~*%>iAL%Q0dHoDh+v8UNYI(@7S z<+`Bu#=JO*NTY3}l?AV$#qBL#XUHo96+hA8mbbdR2+ApbLv>gngb{L@m~P%nQ)f!` znM3Gb7Wg@K@jH!F;OG=JB(@pd$ln{+x}l;t4Vb)z;ijY>nQ#!LmTP^#RbYlIVCQuK z9;MHxQ>iPeAxU@Px?C0xTo$+K^RO@{8ii1TY!$=akB6`NcNJkoGU~zQn5ZKkE4Q@a zvqms2X3$$l#Vt`@Ab`%i3B`EgUpi5%*}j+a%RULM_Vv7Sl@sqOt!m6?NNxw9_YD#UjaYddN29?Kt(j`{t| z*WA+YRykZ`ojFN2-#Jp@fhAPmidV{Vq#1n!>}?iQ7gbaGl_aMqizt;JWuB`Wf{CT% z;{sSS6PM~qTe^d$mMN-#PvEPGC@NpQ8lVq$q`_G`iHC4-8?-gYN-qYc(rhj=vm(F_EZiOjNiv=vZe#jkr-VtNub&6b zL*A%ez+*;~`-&w^^t|b~A#8nr2KiaRvRVJ-XrW-_XeviFTb=hiG}i!BXMb3K&ojCn zV)wiEet8$g1mLKArV|McP||r+Mkw;&G=)T5KT8>NsFVN+_!ah%d-<@gVuz4@g?(SkER5zPagX$oM_i6-zE~)*WF7=?};5 zqae|0@lM|&U2C4o7->GzHCQVh(%!c;MP;mPHSZE=UzjvUyFMpk8TK+Nf7s7kA5IQ2 z{Ef>fHoDQ)@7=a=*boA`6iu6nIh`d=!MJ@tf8kY&U%3&UY~J`**96D%Sr<<8?i5)g zAgz_qp@$6^IA=y?S71P7b|~UhWBm9ik786gxN?h%p23a>*|GhRsRG5&>_Sa4>QxlB zHPY5?a{Tf9^5JbpdlNvq#gB;|8xcceqVkH^_=Q)-BS(vpr;k3FRJmYliL{=3mdaR; z-hBZHhY=H2#D=BTTh+qP*Vj>zxU~s(RQh`yhlhFfK(k5eK}`fq(V0L5RQf?cpjIPu z=N|~u4^jaB8SP90@ttN%mY%(UJS>H&wm9m`w_{J3R%SIJr*VUC)q}*skkq(3GL$># z`s<)1f&^LN!rom!Ip=B|+oOnxvqMJy@Qo@9AKCOhk_v9jyNnzCbE5|B2{|FMyf(5HLjF(dUyqgYkCa-Jupg6~!H z=kHsxV9lmrlB}k66mPLstG|+nHjqq=26iRh>9Xo_?;In*;ZY<#n|eW7YPAj#wObYFAB2)Fy8Kp-YMVhq zlQhM-*2XF@xm;eejcAR-dUr9h;(6(wG|VbTsUj$a<`lcohFmjnxj4t4!X}Uw%`bA6 z^adyQvqUz;bfl#9gBgKpKL)3=;3_kM;czns$J+Cd??-4$K%k+F85@CxzI8Qb{#+z4 zyug7PX@~dOsr;)$hQd)9RYM8Xz$NDTrBIBx(W+zP4o|^)Abw#F$A=dievb)ks}a}E z>-v?6P(gFSJvZ{KF4Or<w*tHpP{VFPn~Q-F!n|UkbZHAiZu#r8u!g6s`%k}v*dES65CCi4-%Fj z+Zv_omLC{UHGt#A%{q~qJ)!ajw*%|dV|5i+ii1VAU1!+WAfH2LvmgoqvC9;6nR9<} zL|zjyA^nKb@oNxt`E7xOP#mKcQVZBdWd;dN+v?s@>+q&NBAK0yqX(4FS%KaQmXd%m zfJ1PpS+H=Z6tIw&M=;3RqsZxd7-i72SRyyFXM{i?XgIwXX%e30N7X+V1^RW3e*Cts zHnl9_$zM{^`kJ;KLG@PGzC^~ofX)SYbXnXGdDKXa} z1Ik|rYWNi!4w3CN)tkc6NQW<_J1+YCvyU+FWO~RZ^8$<&y)V2rxhuNpZIWS~msqr| zPAlktqt#e}k+=l%eRQkzw$O2OuRX#0V>wH@u2GM>Aga*r8Q2bM1uxmZMS2=lPN^jM z&_9DGox7)Mxvb*uMC#3#Tk)()vak6~;kucT-DglcbXSA=K-YFP$Li9UjOBb?N9&_J zI%neB|l5r5-vGMBIRKwq~qG;%mDO6BYIj~_fSp`v=rrqSR3&RCvxq$#4@|Y zdJf`8Ge>OhlqFX~vJwt+cNwZC2(8+N}NKEqG8U zpM0YJFt8>J0bNpKz>6f+E^z9eolNSY1X1IDStL)4DfZKErzj~sW4V%}kez9$3`4Y8 z0+s&DTg(fp#mCi1&v6cG_@tt9kZ{ct2Jyu0oGe!)K|(Gt@1*59EKn2yd!7i1ey?1N zG*pZ2MKara(FM&O)aH!Jg*VolKi~=PcFX z)RMR1dV^)r()xbq2U1v?xZZ2*k_ga|vKn0zQ#bM=tJTIVC7Pwn!mVKtT&h zSbL&#_GLc#>l^)YhE>fQVIYp;FXJ2)(H*wwqHGTE{{0Y4Vxg%SBuQbnbh)9lz)P!D z^IjAx=}F5CH?-l;*9fy}_;-5l?4{M;+&7i~Xc}#q3gl0agx3ynk2$yU2&3T~6(;>C zpfr}?>DzOWr1kO5^VO6qrFIsmFd?HvwZ2KwBA1w!lV~U9X^!L6$$$m5u_hH!14ewZO0pbl9T=fY0o72tw`HKnLN8`YuYtyxCUWh50 z<+WeavhX}74Dn!a=u|p#x;4bx%>@gt9d8$3@i#GRolK^h0m#})RC-opY2b!SyDzs` zU*#3K7_$yjoZPrMAwb8sCujtR>!9kx9O%L9GDHyX0^?eQGmIn%vrW$oKBOqenX6=c|zw$Q%5n{`#-|~mH+o2!^9&=-8I3d`Up?|d0 z#!&p^zj}J+cX`+Wq5Mrdz) zT`{>+I;bUccs_B@QAc3tNVQixQnGk9B94MtS0hE-0B){tJ9O#;yD$LR_x>vUs|$H!x)= zb^zao^0j$PE7SC8p+#zuymSk>I{QqKKMurU`B=)E#Fb34P;()uPt$fKoL3s5!LQ%| zEIvs-J~=tF7CmBhH1*jKNv*bNE8|@y-d!(|bG}w@wa4CBjzDH`_n-tCxPF#yN ztaDsKvML?JOn~F$&fKp$aVB3BFf*xySa`lQ3?q z?AODqDBv$Q!(Bes1`iUf=oXP^$}bxsw`OCgFTFfLi9KYkw(uS9Jn!mwe+}-t(wPulYs$$*|-|4-&LkPvFSvoK`lZ&3xDVykw$QErF z>A0*TVh+-GelVTe6gNbSZ)Zy`3Nu$3KS<*>eVRNioKm}P4l6_u-XCOViB65=4zvd5 ztsG~)n%^QZX@)jgDV9k8igP}~M?3vaoHLV=ggW5u+~$I#%F!`k00I{d+IpJfAsbe; zue332iJl)^mX7je#QAaMwa~UQsv5g(L#gy?)psJDCt1-&%%96KwjCatG|Jl4tg$R!BpvuT~>8 zP&tXtE5bAd2FQEk+IV`zpOv~wSAfQ?9X~Q^qc#vpC*a~Yv+TzS*d5p~u4Q5iIw67P z9qxP+Uv1ne&n%54oF@ppS6H(AHux5mavozbII>)ht+R)u_nbcXt}1xEY&Smh-MDJfsjNHH=#MK;^L@@ib_@#OY7O&P8 z7Vo~&2WlK8j4*~(z0=R&9S=LWlKp07h}(`;S~b=vEz_)=bj~U$e&dxYFr)sSDL-A; z)sA2`5`P;%Xb+7BQv^ydO)b}^pU)oU-l9Z-xFbH}O-&@A2F|&rLQIk03rm6xh*0Zc zD?Vwb%ZF+AwJH^KiL~)!jyu`$H~uXN@wPyg(k0H38}%n|uw~PKU;K9wlD$-QZ5RCb zmHE-F?zK6G$x64&I-9jmpxEJ0MI6~%)8J##0>PQXWaM@Io3t-Jb5)m#sVS;J}g@sItFls!8_~7fz}`(;Vd2Y-BAdUQl9DpVuDgE~hF!MLw^o zY&wb_tN>Uy`lo^#zdSYitGG>5=r2l}vsGFYmi<%LyVD~hqd~pV)!))vMEJzym)JWa z_w&REreW= zVu-wq-Jlgx+AGoro*Q+(QhX(+a>3Rtc5jDc&ATLdjD+^5>8iOL^|cPPcWA6x$wAnV z1L{0R=9fIUvvop;@RO&`Y1J3ddGbh$uxg#mA{gJ?W{mt;bL2teNi4QZ7aY#}#Z}s& zsg5?oeoKN!Wbd>XWdYN=1@DA7{!JYd}FX4z!Z5c{S64f%s9 zHCEt#=q5t({0&bP1DRS^vIfo{%3@jnjCro|7X8KDGpQpdaLiB!;br_3Zggc>WW{)C ze6Dx~Z6SKeV$vM;Qt56H_t}Z34&;rXP8AcN04iO_=J0me{?;`(uz;|d+Q24}%_*QpT&EOJsSxIR|`)e zcew2iBwL{}CZ$QpSbkF#7pp_w!uAIaP|IF974y2d8nUuW5KwK}l30n#9)pjtL2AEc zejs^5_L6GJ-hVc;3+Il1pf`x{j5&*1|q1Cd}a5O z+#?3b_^#D5odWdAh|8djVcknk%J;9>Ec$h>pcNzR^G=My24MKz*f=zGOZm9f&N969 z(;5hjq)6S}kj2Icx{ECnDS*_X!Hjq;;1<4gizhz*=F*ra@G+1 z3WDJ-mM_mHFBd3L(BR@TUj>Uh^H-C&jM1cFaCm(Bwl(h@Aop6%oU9O+nIqm5qy;IO zwQ#g)7P|1C$*N>=+0`t5?73-N5?TDISGOL#a(WXME{Bo7MAB+|JE79SaaxZN?dz#x z@zn4k4&q*N#;Njt&)6ro<)2tXt!;~aP#2f)3saFn(psoV3L_3DHL!hBm$Mcf(BDtK zvjy-m4&Y3g7ID4f^k!`le6gbGdk5)09E3U_=%+D&^e+X09N~#eT6pIFAP9Fy;sMX} zvjJ^@jKm+xC4GUC{aA!b!6^cTsD>OjAJB{s%v=(T6R9v5q<`YUD=gi)5%7>|#&)~5 z=>d}~Mo@gK@yiUEyec{3U$bj$iW4mp?eXRgPMasVy*-o6q1=y}%Egb9r1tRouEE!# zr{sCw8U4^DiH+xM}b6lMOO~~u)SaDo>;2x>6&W`nKJeWw~qXP5bk9m z;@lT|o^4iu3+t4u*XogD8prASHg=@?jEsWM&mBBb1nAsc&fbDCn`5`J>-3eHq)c5a zc5=&X&~U+O3CKYuxC8Cx{V=K*ub$$ejV91;<@>gh0-CWOKR@1b*=B}0&tTJ0iqhHr z`R1>mA4f-43<()V!o#$Pm@(}E@^JUu>h?qH!>d0Wxe+cb=?;rBz&nQV5eD7d`)Ko` zYCfK2dG$fT6$(>+=U`K|Vi_9n=Bk2TI5|>ADj^}}*q>t)I?Ji1>t#^~z|SvOh*n%HWp8?`$44Ydw_;C# zbRjK$r~AX)rX1RDYS@L%F3jR(AR;C<532fw>G&yN(s_`JWh_fBshQr%8EzLg z{|%ZwO%KSaYtCiQs5a%7I-vSRXHCeH3aN}+u$0D3F@M<92$?LGxAdq1^ z%zPlRoa5|!O(9y)x4>(ccRIxN=*f7fG5{ob&^J}~pMQ#{ESdo63IT8m1<`G`%-r?; z%WrIyw*7Wbz4j9e`hYzuf(Br7EE~sAfK;k%U86*u4-s^F=w;_W zFz3oS2j%F`+u>iO_!Z<g z_2Dtw(d3y8Mci8ecb~Kl0oY*ExHMbUZ1bMo7o>5Hp!!N)mTt}UYP;aZArF+SfqBc{ z*?RAJMRYba@+;`R=*(WHP6aq!J~ThX%FU1UaO-Hx#(`yB>Z!J6YpS7{$AgPW+jj*d zBinWYgql5rEQwnnil9%O#Zh^*tnx|=w}MKGzFt?R=@<+69}99IHRTi3%k8UX_>k#| zVa_vJxkt#jc(@?~3Jay*%$2ZO5!gl5<*->wb{SRv@NaXYi2ljp4nx0$$N=|T8lOF@ z8DtOUhD=n$m{Ey}W|!2RhiTBXJT;{T-qmpI1>> zM}=@`{1n($*Q1?w&;7P>V%qo{YzRU4r?VBt%*$xr$M3KwCTd<(@+A%4LTZ=oH`WPZ zr23R^G`v*Zz?}XRNXl_e(7|DEZdB(npwK_dp1q#;@KT>j)|6wWpf?1z)Tz zV;0+01=@a~EpJ{~bpjI99oHT@G%Y*#>Ie8BH)z@a%DQkc|BcP~Kd~;7%HkUG|72ZQ zm^nYu6ftW9GbfV226nd2LPB=mNwgVRSlK>V7a%tYkduv>go~TyZ>$TD<$uSzeD0KV zHn6rZ60|k5HX-?BX_TE!Y}82DKSvt>;$zs@IR7WsMeLJxk^ZDyQ~(A5Lx2&$7+?Z0 z1DFHM-R;dkxfP4Qp)K|%juv*tfWM#?XMhX9mG+gJ=!}%w{V{7sG zMI$?FJ6jSCHh_UMKoB7GFZ4(3U+|CAXHaASvY!Z${3isY_!%JO&k(5s)Bx%L4S*&I zH|O8tW%`eZSpfdd0oel_{wq@dM124rx^Q2=l9<>U+ZkHI0sn%1J_jVcyl{a3s-Mr) zxfnXT+nbR5W8){EBxK-Z@>i9R0HhSPqm#3+xq%}IJJ%-}Wbm&KK$g#+s9P92n>&$w7TUjO3&`>REJjR7Ra!;xA7VbI z`R`i(Il!boK)J26JiWT!|HZ!cXs#ua43uidTr|& z@2B_rO1t@Z{^fky-c5WmdWpVmSKq8V^x8{(&(PCptBlEdPG(n=uV-hMEk8|ZFK~(I zbyxH~e%uOSfB0VC8`7(tBD+Z)><{10bU(eAir0xRoyL87&1mn{hD8DW7at>*JMm#q zH)XV+UfRyCi(lmiv$(oPQ-^FWR*N_W<3fs?Y$$H@rF0AGrbjB>grYk`e6;TG{j|VA zpu&u8yeK)AeO&S3jqAEv-2W_VHN0G1%*z{fw^-umkVlqAImY{GT^4b)41qp8uB!E< z*p_NId9R6yoc3CiwfQ^J2Sf+3yQ~&3nau0r?yg*@@vOMrlwdob-i&7Vx3@ov^*S<| z|6XkrzAdxEmYLF{Ff!UWHQaH0+nK0;>T)?C<;{Q|Kgv4?^QGEh>=?Z@e$@IAvxKPh zF(O9LyBMydx>%`wjI&Iv2Rjq<(zio|gbxm|M4E-24@g-TBfJ@eUtk>VXOd~Oqd!EzFV@Wehclf(roh<&KHH^WRaM<#Pgei4D>T^p>_3)v7*rF|dH zhJ>@TdBP9uysViFB_s8cyFB5SdzM_7GjbyKz)UW*%f_+HIWQ~zvJ1|My#dRT^#ZvR z(;-N|L1(&yZR-Mm!0N%#ks5zq{Vikv8-J=Qj22kMBi{LXS*fP+$A^jfcH~dIIhh5X udPdIlhmd&5Jog#?voniF8 zx!?Qwf7f%pua{@JW}m&+Ui-IVue0Zjbjp%aY+URh47!oeT~io5l$?|f#@3WVLKqwh zX7(1YmXur|5GUo$9}EsDD_d7HXG#t!TO(I9Ni!1%Q!@+^5eyesXEP%^49}SmOr57O(yGiFvA!X6xKkwPD1zJ)qD*;54B9rR8K{D%ML=VXejCi$ z2BO|hOk1&oV^s-Vn-})9_!*BximO-&w>|x!az8 ztMj(3b&4H(Z+^LdnvScds?j?tSzI&BTvv6^Bi>~`ba+a9NZ{(%K&!xene)p&p#lq2 z1$;A|UI7x8>8U|CG|Snag80vzn0VT=z7{7Cchi1S0}~vSsHQSaG`_=O(;?DuUQar7 zwHFE~SS7L{^rg(s(cH*kFSG)i*=b8fddwV&n^T7me^DBKq+O_n{8A$}iJShg-{{Bk z=nn=HhbO}*69nV2y_D~Ca_psyzPe$mMKILj^=&w@$6;L^-#N)lsXaVv(T!rL(saXe zet99IUFBSw#rHN#_5IfTnjAkhdNE?vTWp`sS7RT_ebkXSwT&+c6-g%VV&rW@4wiG8 zED3Of0@sa`ib^Rfsg=S5jUe4YlG=0uL#cJ(s0#HJ*6EqqpGg^%qp5y(H{b$HNY$nA zAIhSo&Nv)6r$lB{JLO7%_TkqSW>@LS-8WaC)*A|i$(0zmG=?6HVE0LqfZh1#!L@i@ zpcTR5cmXN%`FTbCqV;RTbSa9SE2ak_Z>iQK9Go!keE(jC^Q;B3_c-+3fc;?Kp2$AY zG9xIZTNPpLo>PLflkz0VqG!w23uWWV!k@};Wx=w{a8u|tUt)(Ggh}RA@5~&?2eNx9 zJ4!<45efR6*x$)-t&?%if2TJrW~O3s_Y>;9zaOi&^P=)CzO_85R9^?(C^#!7~`6VePfoxN&HY0(jrwU=Am;h z2gy+EAz?Y`)z`4`ov-%efifl&3awJrU%h)i!Uq}(W3wHA-c{qQ5{K*_i4REPndJszP(do9r?22dmw8Od9BhITWjhr$5`y4<{%aYz!s1Rui)ws+&( zdk+L^kXVqvzLc#=7ZMDd@5ClM{;H=iIOLatWs6Nk3X$h`Vow zTSnuAK7Yxl-dz#7-u;k-_ko!{WxW5cQlF?#K7NEKff2$kYop@igx$W+#!R-#u-$Dj5Yvh$dE48zdNO+#@+s<1r}_1d&1ZATU%oXgwNHQ7gWoC65>$GV?Y zix5BC3?(4bbg#l2)B6Ztb?g)))S=)Hu{we}a2VIq`WzZRTn?3-{6O{UUIwKX8ov}?>+VI1kT2K2{2os0llkPQE5wwoS0NZ?_NKp6HNXLB z9)tTxsdG^6BDHnK3o;E-^zcQvzjb)kgr2dWqxs%9<@Zq6oVE|grb21PSdE2D=F zo|L+rKm(W`%+AdVq2vQ`vGegi#Q>x@1H&o#Z#s*JQ2w3{I{44-A_YtVY%{=K!o^Ps zf_4O0Za~%_7DNg8&2sTk^8R6gNp4tR*Wplhb}&&hbEVV;)RvT@Y)F62 zcYA%mw=-@q5AT1yooUOvtU~ZwKUEy46j$9pqzdb|qyKJNRb3>Pn4$Qk(>p*x>tQN& zEhYcslkNKVZ78zSuIscpqn581aeSMu4>~qz-@mG69MbIj@@rh9H7riir*>F#RDedt z>2!lNb&+*)dMCVkVQ}2^D+Nxum(bL?Vp?JK?&^nx-EUMwRdV9xANQY}jrZuXzAqlB z4ti30&B?ZHuvW<2WG#BV|EsNhMI&MT@QY$|6vXNkQA*W^Or-)Fo$ZgI?k5t-WbPrS zTA=7-Pxq9?&g%Z8{4bMp8f^YsUBV*Cmt63gb#HVp=eyP!J`gTXGp`&|i1d_{c;ea- z(vstSR`j&^_;Geg)zGtr>cvLivk9HW8k}zeZ%}JDlG++m$h2avoU+z<2=gv=NbM?3 zo+rgvB01L&LkI`D#goFdH^OXPjxL{D8#GB@t-)(~>k{9M_kjEHF<^$Mu4&Kf@f$Nw zKMzx{f@%wK!7MqCfa{Ns0;N5)!2c6a(^{KEKH3W&%8ajp;)Ci{7T0%gn?To#Yg5zNwM)A zBjr_A!g(i$^*M5%JIZE~(XFl~)CCXrTL%cLET2(ogSaeCA!2uh;JI!k3#`@m`Qwf%ifFoWHtjYC~1m z6^4f8=io*}q_oiRQmI?1wl8FhG;80|^Kk~DXmI1MEWKQBd$gQK;}C|9v(z}=l_@%F zuX^I`)M1*5#wBH-c3?U5SVIwYHomB{|Jmmj-{Jw^@S*VIN5S3(5dwt^!5bs+wk0GY zAPZv4^E4q#vT|1f(u3^F=Cp(T%Ch@OeofR8W#=BkE{b;o>`vOBv&hu2610uP$jQsG z*h;kuWTHl#oD;1`G*$MP@L#22>$uK)6RHseL17eMn-KI zej3sZ?O@(G-c+OGg`9>E=a&Q^z-za=weNIHU1%O=mx^Guo9)p_~19 zX&#TR58AA;MYY0spKCCM#nx&6V^Tv2Mf2_352jDtPgA@2LG^#vSe^eWS01$2>C7{Vx6#&a{uT`OLb%<3sw0C)SVf>J!ht%NiQL zUW2}8WN#$l>^mk|x3E?bM;A=Yo}}brqK(XJ{ww_i&clbISZQD-=Gq^_9|NMkF%<u6f z_X&ulMtjMqTXJH?>MYprFXiJ~{?LC4X8n2XRwsZcR9v%EaKYvF9SK{yEl={}=Y}za zu(6Cg%?5~NoTal&QGT&HR8O%8O6?AtT+bg<(wXK7brrL-JQ7JJiTqUXagY)IF3JpP zlNu6Q*FeIXns{uBrW%&P^Lz&+=Fk28Jm-i2 ziB(Lf6((MNujNB~0rJ}6J;-k@uH6OQ%T856tFTvE_`s}fBJWgS;H2rAFCg2BDQiL} zOJka{C^v2$T5ozv<0W5HpvLFfs=hyze9`$KS|?;Ne9dS;*@&Yc&Zhe}y$0@tghj*_NW z9kd;-(XnGHNQ)%TnoWd{_8nRmyj_GIGkq=GX8?cmtTUMor{&$Upo)D4S6k!zow<7` zL_dH3-j~<;gZZEGd!yZql=atUtT3FgijcMm;v}ewBZqcm1xi{qQ61lz&sdgblop_L zRkLz4seMz#b+7kSBP@AyK#fnPU!UMaV(3CJ#gw@*mhpHO>^S0j{EB?@JK=WOw{Z1o z7u}9Fx$D>PlZ2s6qIrE=vNMZCk7*LRbmCQv$(j^odmI9BI*1Oe1g;fOrZ0!6s%m>w zjw%voh=M3<4b3VZ6*1T!J2eTmN%HMVNhyTLd=YddDH;5wOyje?u$>XJ6K{(?xgOHM z&Y@2fpMn4{t%I+l@L0Yfw3ksse-P)H)dAQ^NU((`Pp525fPI6KWog3`A|0bkF&9AMolPw$QKM*q zOFlFTemRsc|an2GNojlO?zJZ8r}SptYx^;mIxR;9Rn- z_v3CmDA$g3Mj%g0#YT^oCjD&wnk#dS5pWf-E~HjJ;PlkW@qy5X(65HLJ^FUy=Wa9;%i$^Eq^6=jurTuTuPnro+Jkf!)Z z3%>+sxvzyXFE(1=2$qj|ZjL2c{t}9oPMUJK@&f}#WyOdpR{f`Xp>o*aLgCs9XJ*Rkygk^+o8(*{__l1M{iN1zW9+PwVdyH~q;M zUlaxQ#?oA`TgHex^!Q`?I#7~Wyp^+V8FJx{f*-m=T@DxPVwEdLY17fJYLChEs$S3B zW3JwqCAQHf?TN#KM}b9kyq=$5j0hpeBkX;;n@M;u+UK|;{$sxLjmiUQE+)MD!iK08 z78sX8+?jDDG$@#($PHKmXd)MUgNnR|Z$;zlTNWRZkcG+$jfPFug~THavtKPQ=wLP4tL$?P%jXPT)Cnf=Gvk68)T3R>2nj0VQHgpV)~2 z8tbt>OH~q6XxG=qV~eL(RKgdz6O{5inQZ->`BGL&nqqHH^pe6=MYH(dburiNO7%Y$#$3?S@}HG4v=Rnd{+FdO zbo9S#WA0m3@SoBbsF?p0#Q$9t3@xeui2hRrLqL%KwhB&!R>8ek&?-2#xQbYWj+xiH z)XP+Op>L+I01}S~wv{J~PYA~m+%}+qqey#AJFLkaic5m^`hi1^@2`VBC5n$b)R>nV z?=U#X$vLVLDR65P2(P%q*vVgo`#+nk_7}bkK_fEx$a!4t>4Y1wHtFCLwFc5K z^1LqM((p-gzy(HIH-_TYmjYfkM}zcVwpj%WZ9{6P3i@rQ`O|4L6vTT8>$Plm#A59i zxT#X=_6&7#dQxBQ`*Te`_|!3_6i=}C%SG*TLF!OE--*aRNkHt`Hfwl`&lBTQHkqJI zH&g!&;qjVZXWBI<_4jkGDC^VV;V2e*A0BcH zT?nIxm)X|D=SKz(vhJ^0B-E(kki!y-pYY*8@;j0m53v)axYdABj`LiWE$+Bw~u zuc-VL@9XcjinxI(X$ihdWnv(_(c85-gPTg-S-W`M-Z;kSHteRceV7kslb5lUxfe}W zbf-?JqH~@29l>>ht6|m9t*l6)=ZSCv*87_%F ztq1ycG!%u(o`|J<+{=0d#&fdmh^fhJj8?n?a;Uqw+AUypFh8r0Vcrb!Y-;zWqM@VQ zFD=yuMG^^lrzA|T7g!8TuN;HE|9ERr{;PH`3--L3-RBlmAQadaI693l4cGg;&4;-Nro%0l0mXiHhs+h?($f5*?b(7)Fb=`+91!0BMzd`HQvw zH6cfQBrV(S!>i<-)~vxjdH+PLFPdo)`Df|zMM)U)#ORDD7@_>`LEKMHN@(UtVy)YP z^b>h}s;>MSfxofT zO{1hfNe;P2kFLP+Qc@f2ki)Qj1o4Sh1-QiF1z0$%UbE_0vx3D(qp;@av(2P-C`s%(tU#rpT-pGxiZ zGc^oZpPL*$YfW+%jjqm#Oq+9OzWm^*7cll-R0jm6JL}du^eKvZZB4sJUzDW?Z6kkp49YT)B>bZ)7?Ah#Tl5+iN@k#s*4jGn-Vey0B z#}&R}GgqTuiJ0NHuxnOqKm824#z^v3iG8)iW@;~fcDRQkW|a4Z&LC(5k1qIi%e^Na zQi{r3!{Os@{MJ-iQv}KSX6{%TGP3P8uEP_X<%4KQC)P(&Hc{Y^?bN%%sc3`K^8C$} zJr3Zxq@H0KS*;Ojj$f)wGYJK%I};5V{`_XhS{dG@mXC_<8rGC6Lhf3aN~!ekb;RhE zpfI^&HZwbn(@1k-yjpwLjPwvR1ZNO`KLex}@p_px%Ib%}F`c@3tKlq@s9e;ppByzm zqjovv4Ea0tZNdW{+1#gO9RBg>F9K?9@+*Y~WL1;jd2HM2GJgvCY09p~O(N@6^a~Gh z(wF!C6xj;j@s;>Bv5plx@helQ$V_u7CyIGtj+2lm zv&ll)!xi3X&bBQf-Zlg0Ag@&posSh?2Wc?l7;|(s5Qpt#L2{`YML!j;#I-3hybBpEe-_?9pXg3Rfp;Pa^>Fw3chog`FC^c(M zL!y0_!>PfQNBs@Y*cVJH5lw;BT85}1X4lNXm{?Jd^ch?(ndVQ43fwZN`YU~gz4XUH z)`j>K#pN4|wfgtlY92tIthE=;_*F$NR91=97(AS z9!6p%kev!EE}zr>X_IixPg;YOV(bBq)GpKulxqB-9&Uuf`SqxLynVxr&loT4cVB$` z?!jtkx#tMZ z9DnHr4~Fe1Id+AZ3*s}D#Zbpt3OP10=;*<}v!olxkQzj-9$Dbj+V*-$ETXXUC_vLLsdAHA^K`-$*3Jv3oY0)hX`xKi{>@&Zm`KRaN+z z^q_B*@!*AahFjVGyNGPks}yo=#u#szCtZ%BLO~QuZP9=qzVJtV#-H5xmr_la3OmS%mq6241v@ z2-qANMroghN$rH8?qIV>N#jOce3Sv48B0j(?P= z=A=;a2*n}MyF?2f^wKy4=;6)3g@f<+ws9o6FJNcOefgc~V(lp*f!O zLivd=r5|2rb;u$o3L-)z&s@$+MKdSQ##e!#4PvT4G3j>a3iuA{?oZ(CU)`aX40-k+ zN^a;G@SiI6KbPD$N5lU(jQ)K*{l6@^Z-3c&>p1#PaShbjf0x{U72^M0$qhZE{H})3kyG4>^a!lE6iS$8VG09v;C;(>U8E=xxi}tT=ScArQB-x`;`^Z56ii8 zP0t`onk7r$k6HCm-#2e%mCQNH)?Ccn{bb%ZS8=rb`ove8z^tXE7`wW$bb?Lk_>7dm z;cFG^tB)Y;^_3*lqr6sjH2j{Qt-D;;Il4GsHhe^W?$1{cEq4jY5o$M4g$Q*Febvq& z>x?ADS;7+jb&USBkZY`NM*S!E|6rsj*kMPw~-i8kgJs zFcyzU8;fJt`^X;EZg>rK;hYhlg1-^XhiY5CjyuK=d4K3;wrjmdXC(IZbV9>^mS6Xz zvb!kLMkbb&h^=8mpq@qM8Q~?9>td*^0MloTBg}RByGbFkV&WL}r{9+)lOWeQ2yUmo zReJ8A`#TQuJ?GTPEzFPaf6iwjuhGZr317*v6-4+LM*PSGQSdCTqk^Yp=Ok|GGfn#! zTXN)zCsJZRIS}YbCqsdm=^yK?eD$2yiCS@}rydz5Fsc04#jvlrRbmc>V}L#lX8!m6u;;>}Yt700Jd6Vokk0#@j?-x_HYmzCLSvuixXjjTkZe`A5r@H}yJ zIqTr;;8Jh8b&xRuaW9@0ENC_JW_iFW5zDn=YJ_gG9yOxvBdh0Z){k^^RElDOH+Qgu zkWqOQNB6dWnDncaE6r=JkvUA9;0G-(FqEi2C8DWa@T|_A-_)rNcKP%{Z?qw9;Oqb| z=ct$tF7qq!o!wpIG$H-ZqBVu7W)C^6nfg%R38*He_K&U_Z9a|1DagFbU@{=~X;K<< zeR8hIX6YDwUlx8{cr8_H3Aw=O4dXhm4Zf(BRIwom-n*T5G}(sJZ=K`kGAzcl@S9k+ zldneA9cAY<7OnJa>8|7a?*z(8$E)db7t|r;d`4~<7L0w^I{TBZhY`Qz{4>MA_nISg z9sf%Fq&#tdR|?6+=7J}jrL-1boj^76!()|B{i!Ci&37x8E@hsk7~&H&JEJVAKNEf3 zVk;>YVm55rf|+$(hm3;oc!DKfw&QuJ+A8wpBeJGkgZ;BrVT!efBN#u(IubK3YaKA8 z*SOr}NHedwmlK~g*sz8Zl=I~4%X-0m4IvxIWhkCcCVSoyZ#RNwdhtE4xN!!>bf~QR z{*MPjp&m(PWay)JFOY)zA5dHl!i6`Vejg)_WSB|EYtj~pfS^C2F`qO|+N>~B6U)gx zMAn0U1PNYzhS;|D>ZZNL;LpH_dyay{EIjol7iLmxg$-ie|;Q1g) zDM?+KBJ~vQz@~L+r*JGR#yzxu-=@()c%~kGOJ#G;=^`XJ$=KWdbC?SbgY1dK%0daV zscX{DC@cTK`%)pU5+0!u&fOvECUiBKa-HO_C=|r_Vv^sP$;-Zk3qdw&ub9VOc%JG( zVzmo$yE|)4>XD&aP5&|YVgeu0LIk;P-GM}h3C{3Yn4amKwzuCpK0YSJQLF!?*?Z0{ zLr8Tg!{lW%jo_%CTj{tP*io5wf*+|a7pJj&uhm~|B94<8AHzC@aUL|;BM%Xh(4Z%X zSc`Y4lR0jIdlFZ4w+dJ5o?_904W}20u0f(im8nf^dcMbNTN}Q6GV5?dmv6^koB9|Q zXerQ#O(`@dlv5WC;Xb$d^>FcHaNn6T9gfIARMQt`&IBoIp`GXv?USL(xy0quWo>(i zNHGIT6+L32sa_8T=#G@)6Fk`j@#7Ip0t>=RF5R9toZSK({R$ja>j_)up`;xg>x6{U z?6#5CI_^}2T<*)<6gB#p59huM=3AHN%Ll(wX|03=ag@)wOQ!SSFzv*7CM8vor?$}B zt4nTq3M6xgb$@bLJ)xE(3Uf{L1m7*jyU#Y6#~hDsn}~9~H%savBKI-BwDXBQiNgh{ z&3a)ob+iVF1L;1WZ)%L7abt&`jIQ>N0g4$_oT7aCG@XRH`S-6Hlk1Y&Eff$ z4+*I2fKOG;XMcTeXy)QGgMUuoB_}&~n!i0_v5l@hJwurw*g}B|$kw$~N3J|0&uoBfeuIDPRvRCPK{rM;MJ;m6bMtD8(z zPYj&$Fichi1XaTl14SQq{?^n$Z$48E<+j^fsq?|lcxY>rP&@zuB z@s!nwYuw6QTOlvTX7RzRr)bAJsQw=LFV7C)yiGWH^-+Ly0iz1a$t-TRQE zeSdvU4Anu~f7$0<+)wy+oMnM`nN%QKUh{cj{$jnO_<8|fQ5uoj+?<$hiA--_&a1RH zDp(=8a+u9-93u)|3QXLSRYwR~d$xkUT;|~Yt(kKI&4wqW=d00KMQ?u6Dj4Cl))5od z6xWaqzFS6?cztf<_%Uci$Hu6++l_+^H?QC@^-i>u^|)_INC2k)n=m7;WB;A^b|IyH zNIQx=k)N_Kaw0##aqVKgJKshP(wpt$jE_;a!HSLBRB>TqEHUD)yM`+*mkB!Su68AG zwWq|9Fzj5(9jPt>T^JfI@8P_xO?Eo(E@n z1`4XLtw?0O^q2kw2=~R?h){Ys1*f6m{(jfgmsh^qGKX$gQTwgrT5p|)!nf-)^V3*1 zc)s-)KZN5gC+pwyE&rZ%k&TF#fIP7N_TlHt&9f>uJ*(c&q1@A6Wo?fdoJsvd?3`YG zZ{)XqP$XZl7@TTe6{E+c6DlGc?AhwF0!OZNflN%0Qvq=(dDDvdhAe7gPkZxN+7h!x z#MYCB@Tr1_)Q6?gk>qs(J&Y8NT`mfUC>tq|3Y97nyGG9v7qlJ|0CImkQ-YFH* zlrRd4N{kszkd4k3=eQq@VPKnKCe80~Rln?|Q*y64LH1cfQCT8a<)hW$=lAGMgrkmo zbmzuBkU`D@0xt|N-%=YrGXo{~rPuBS3}w~LAk>ZbPn{p&_&1oQ+98V#;YL4xy?lm+ z8C5~X!SFrsb*pvbS}AoU$-{uKrhD%)|ZP9z};} z%X-YpV7G2O`61K7Fgiz+J!5Z{&brF$6z3(Jib#+|SJ(K%DXj2x8?_x(F5zLJFK)oY z++yW+RD55Tvz4PnBE5#^yQr}qP9FZTd3DK6L{4|7oy6iUS41^yv_hN=%c|`wkM1pA zR2>Kp)Oe-uK2wuON+;}8gt)daS(|WNd7niOJh69O7I5E+QQ_X#D;3gV4PKr!XE<)@ zy-+8<8-n(b`z?(_)pF&2D@SwZjG5WBmbn1uXa1KAC4cf6Xjt)4-XHF3lKb!z2ImmyJm zzigY^mF-k^)8?rEm+aR&;=HF%x(b$d3qYZVgq<5C+7s^8@)r&DFCJEiOzrTAZ_S~g zZd4WX5j}LTBx%B1xK4xIZ zVfc4hp6nVV&V@E?UN4P{w{vM8g`oFS5X7#(8qh`8NI)yf26@gx(#O;x4Yi*osLQvT zzE!tcs_z>5XM~Q)bpEupNn(!2Pv;M&wuy}v7i;ivM`Z0sDXaQOwjb13&O{KS?u8v= zn8y={5-0f+o}j;*a#*@Eg?lh${6T8j{rW(@r||xsxQ*g0O86}A9>vl+aU&4LWh;9H z2dZqBHXCgVxJOVQ1U^=rO$#Lt^ilR)ND~%F_0tnXr4m`|vWOZbjAt*Ha$aae-L(49 zPbTNFsxs;FiLyG`1xv$BhwLq1na8egflc4wL)2QUqtfVt#bi=Ggh1Bin(1`o+1aJZ z&xeLb_@Q03qC|1V41PyXakMtyx1Rna8g|;tGT#1)QYBQPu}gqM-HoP?rnBZJfVqeI zaOdzHXm`{50S1R4X2usoasfR=&|`Leov_P1xIw+HQX9m@)M_*9H$l=lL=c+oRX0&y z?R5MTvZzyWHG9VCq2!7Nf4fd!2J*D&!>~5evq1w|PvD`!j8*wA3nlIqBSwv(AoI)8 zm)818F{%x$I0<+Jev|au)eld<`?$u;4MY^6$K(9ewrG0(5TknsJV;NYc;|KeE;q~5 z1ZB)k1+*NV+yi)ypYR{v8bczHI z-iuwCIAMEUWhmqMf{7}`g;|iL9RUHuw08@~mvknBRJc zcwn-CKSONu?uTVLSL`FjRO87w3v=wFw;vX{4!9_j8SKMLKM~Zn>OFkz>FVOTHNe&V z=D6B9UY9Z}C-e@vHwLx+)j2%Pv^jcT{2IwN=cZduzDfQfuliPWd5R$RbM!Y4iTk!! z&clr&EqDh?RQHx@@=`3=TJdAvf#;Q1PNO*RmMdHeLc#>CRH#bQtELI7Wre$_nbT+E zs{Nl)(I&|Cwi>jsGM-Coz2BEc-pc7mlz2q!mgK>=Cl`LgxsK#(bmdqp)aFY(9hZF;X-Z`2dG6_xjucdBw8F>aArUWz2yyOg(LlxncQfb8Rx=xB z53$XBTamRNhSj6idLW!ehg3Cw%Ml+=X(=36lHtdwN^X!gMPaExIzLI^dpqlEm-FQ=@o<P!Wsp%=8mIqDsz*=9d_x4Yb4wk#n-4@~0*_6WVrjP7+gCLU#O$2NEg3RoPt9 zr>MO5#c4siZHT%dovC<$?d|SJP;V65yV8?^kJ}+V?{>@szUBF@I8aVmgonc?G)842 z^?mzr>P^nJs(@}aOFqb5KC>q0HNR=C&1==;fR43@m~>;i#bg-w_orc0D- z%%nLn*7$qB%GcB<3@v1ep^+6?i;YA*N&X=QVUgvUwnS^jm3gH1nlR$E=&G(vT|GAi zAFPa;8zX}pkyS|pU#OO9P*xqXGT128i|XX}{PZclLx_abGt|-ESW>BkCq#{a5iU_F zAt8Fj5cqQPvwPiUwePn3lw$+huht)r{WuLl_5AE@{H+xw3FEHSxn9C2ir&ZDCbJVAYs5GjD2S?Cvb<}x32Gp(L(C4b`sAeg;8`{n!uCAL4Q7<@==-IAP+Zy;j{7v|lTu=8YI1`M~GTUz`?MMiDC0Q_B48zW%S3 zakT;IPrD5K-yCMQ{N3#j8spn!`;afCl&><`G?@GMK{JLf zn2(d4hY}3tX6OA)`MCMnxhP>2#LvslO$oe6%?_f3@qFBT|AXh{<@u`*47hl?HZ4{5MI|2qoP3PxeG+@>H1KQPzt77!R%BQGb2k{9}(3YZ_z7jm;E2#62R zmzR%|lJ}+$515agpAyW)32o)&26zZJ7tos@XyO0e2PP9X{{U0#E76EIAiM$OtOfU$@KW7v=z(^0+fe+h8gSk z_#0Egcvzp`#^UD&^n>xY??R!1^@CC9tgsnx>ju-A3-})4_6l!c{-03a01ky32I+13 zkFhr{bQ@USTk!tY@NcN0v)sZK#>2F_P5HoJz`=i;_h$6p>-p_|(DeZq1Ofr@xVig3 zR?GwZrT|3A!vg~D-_`@h!=&5}03H~!x9P3$_=kiWoBcH>FfS06e*)#kks&<5?-~BX zO8>ofz8gD3E%4jLVUB)_!ch1(g$2fMi8n$0+Y0~Uxj1iJ`1kF9!GBvRn3=h_I6;4< zjo*0R==pCRs`+gs{_rrbyG?m+`~oK7whjI*6V?J#_jdn(*x<%1etSI3L!cTyg{FTX z^I`zG_9g{m=<;wv0Q*Bz#Q%jtr9dw}Ff?V}reJPPsI37%1JVf(G(Ge3Kx34blLwlX z_<7jDln^ion#8zyph*talb09j;hbQg1$rhP!M1|tR1$D8-TcZf!<&U zm=eSX&BRa+%*hR?&I8E&3qYWi8_ZA14eN8W5a?$J2P_>VVW6h+q^1EccIz{x%s*PcA+fa4>LhC=A?xLwo}?3}Psh&2m*|Px%Y3!hPn4G3Ulm#Q&>3sw!#hmFf06)-!Z+skTfIrubQVT?5bL+G{(tdMD?$GF_iglV@i*QL^>EZ$ir(t|$8r$x-%08Bi?l#e;(}IfV8EV$?*9i0lLMoCH>u_}1%sdw%nJcS{T~9Y z4S9j>5@_M!fkF!dkq=tsK|uUKf`Rqq1J=!TvwA=`5Y!WS`JviE0Kes<w1;zuv^@i~9L8k)tFhC@r6cAoFlXGzb8!C{Wers~04<`^eK#T#AdV>Ot z=LK3}>-dcmfILv|^4}zJptb`t2aE?2Dqtt@Ur64xa`QryB@f`w&>9))M6ecqz~7(= z_C^W}a~OX^dHA7~Bk)soz#gEFR^FbxU^u1+AsMcJ76e!{_ ztZ(QI*8c(V8{A=t!;pnhUM^@%{)QI@GK3q@>~DB^|AH6>^grOf4f-wUp}PIK_;~)Z zE^PfgP(7iZ3&rb(!Z5y}&`k!odxP|Edb4sErl6ar4<4ZC`3u=wnEuA-2HAh$3q$!9 z*8e~pCILVjhV*R;0d^B$4+Cm_z~(UThT(e~@&5Gm~KkrS=@cR=O@FL(5?$-KY zJggli>-JsnjYJUeQ2#f_4WJ76`we=qR@ewwJG4pw{hk4~gZ>_Qt3Rv{58zTjbOY_c zns4}%Jc|I<b00sjb1u!qL2>?42Cv+I^&Gf*d4dg}(m@YRI$o9Z40(i(D6kt4HX`ltRnj4&e zJPhawlDnN7S?sjwPa?`>O?7P5PU}JAkhw(59 z0=y3TKznm;14ssZ9M%)?TIeGHK>xPpzuyuB+?$*0&wsrFoCWa#{_^J|aEkPYrR3%Z z+~dFePg!gmP2O25;?X^`ovpKj{%!5~^RJJAhtgT6Wb>4N6`l&q6SKYESJZU))%7ka zhpwDvd1iSgOVrAQYH?DFr4@`5S-Km)fglmARs@Xc_43sa9`d;P0&J3 z4uQv;0U`aG6)j?SSAq39q%U=E5pHKrAye-P-u$bngFGcmF*PGSN8v+CW`zoB1w%`V zhmge1>KM9uKS~2w6FWeMJ`{{zPXmYt`_jRlzts#Y)Z>n338|6@OT`he2X;tIzF^IAn)AYA)dN*be9 z)1^dhYVMFI{x97M`-^OsSTMNKwf2`%^EXkSOPAO5-`ycu*A@f*V?WMboZwlft&psI zzGeyVPk*!JV$< z4Tff`Am*N44(|TdY1W#_omis`ronHYT|q_-d(0EM=uY{K2D`(d#vi;T8Wz}Ik`$Cx zlJ-9B43h8uDiwq91thPzXI7SAa-ZWhpGl3l*9IKpNBhf*vG`2Be0F}-f(e|6Z&Yqr zADg?fKkCw4)dykayt`cTO%YU8@D2a?N-T7hbEvHpe>cN>fUM>Wk5<;-GFGfnNc>_) zIWN9rd@0P3(FO5iBH4esIWEinWo)h7DwZVuJW3~l_m}jic$&rBn+QjID`|Ag=2@9dx4s;4(bFtAn$mHj zkS=Ky>{_zCt?f)Te}rZkafzO#N*w8}Ccij*iYl4HZd6)O1fNqevMwF(`aW$1$sM;a zo)>)2-^rGokuPGy<9@6C*VpQ^Qy5j&n;xO z9-g98o+;dIEotSl+%Vq^S%Z7Yig3D7%o};4BJ%cml-BL*HbMpG`@Mx*OnNjYNOKNJ zCWPkVGo2+jXQKug+pRnhop;sOx z7c#$ylWbxi7?LTQlK2armFd7O~MwZ zX3Y%R@EJjvy514nm%H!}@-{t}MQeN(*~Mdz<|~)a8H@Nva;Bv|ths8Gw&W>?($j5X zoAndE_#E!w6DRsGyc_Y}@JDs*^=70;d@u0#bhIMci`rMD+67|+>zxIP)(ev8c9qTu zRo=Q(73i97j)l#UGxk>+uQXZ7W3KJmyUnk^*h}$PNB)Oh)+|g^21I^g+m8gEtLdm zt@SnRERM+L&d2Qv6ma}Wk2}3SZBWmO9G?$(-zlrG$79=u@bR(hSa2)q$52u3FEfsf z9GfM#gq)vwzxq*V;Uk4I{5rqJqCKxlQ!JaSnfsdl`2?nTkbH}8JH~z;(e*{1)z7huv%6pBzX-`GI@aTv4?8+T0P|L*E$X6}pfx$X(iWdr5>f@cec? z*l@I^*>+Ak`{9eS{kN)PmX_&a11+i#k_4MoVX*&wC3~GKyTR-k7V5;7~4s~y;UgALCSNnoAkMY=p+#huf@E*vK(+~th&g% zo~-lb(A_ZI`+#1YR%b& zSk$GCEw_zt^v9}OUQ-7WkLwXJ#JYPJLN|TNL@zFDoH#S;F-a9Jo03?HKOJ#hchMYFpY#=(}rK9xpms&o>R< z<2%&_`_n&)=2@xj#+1Y>T=9J(tSxPmjIg@A@(18t7a~ZjJ5x&IhGk5(5iWeO&OY$z zA$CoF>VU_KzHhVXF69~X*%|p!8%hY~{mvliZ+EUR148}U*HC`p#}`JU$6<<|e(9ZN zFOyY9=nJi0jNzxq>+lP1EI4_f} zep&??xvlkBP`I#!^~AlCah~-`Qk?Dw!Cv4~9#;OQr$`cD?Vok_p z+28uI*x_~cY-yb-)yO``)Sk?AETM7<)%{J#<*zxSQMyzcz9^J?PVWsRjINo2g0Z|1 zk?>z!+}j_tu9B7vR4sQ}LS|Sb>*`R&yW*Ev!58EvWvC)sXAWZ_Af*0=H38=DOjisq z)xP>J{pRjtX10}#*y>n(!fn~f^a|{+7vdo@GgHY?A6~&vw0Du65hV~F<^^26>L2io z0%h49V{@Q(*qFpcdi9EpxJ(qZan+6TRhgWp@bEv0O-q7LFZ5F*McOFe0GlThSZ*+o zIl~G1k>2elx$k!MOWKrlDo{wYcSOI?c@B7V;5hmmw=K@vJZG7i#+dUJmqzjFBBuft z$Wb(;NQ3dyC^>Qb07>KnFNfy40g_-I%iZF5$(~OL?>f@^m)MLuAIAu}n6oevW~HJ> z6DC-=x%0)nR@r?-vG4%IzRFbVY1W}WbXfv?ufE^Vbfl1M`$nvUNVnYRxB&84kn14D z`v|jkC^PUd#+|I8%bXF(JjlNvaXpW)FY11U(!l2xL;l1wx77K!1xkJetq}x%cB}bb zc#5gvdT~9>9Zq-b#?U`>bXOQ9i%8RUKXj-1I+3KBoG!v6`k)GO69ivXf~IZ$+666J=Ez*0&ubPe&x@^gQdA(xUAd z&rTzFBd}B4z)y0@R}-Sq7gVGVYPKN3e9S5Bz+~6J5pWk5q1|56uWwv@3*=RXRj%S6 zTh3R?xjZOGO55#@{1F@0I{@p?H{LjQEnK=7B;4GkkF>iNWCPD2F($*}ndJV28Xg8I zTsww}ot)rAQ13ww0y-?>r?eulLzW^?Q32*6KYgtGLJCgdr;ER=0`*^b{eqDRN4XAG zBYCbvB}aVTP+$+$3U#{l2MPKf;6aYCj?gvI7sdgm85BY>PWbToF-B^DIrFsJFV{gT z^E}LP+*M#pjt|iJ??fGjza4jpJ$%yaM4C>-JxMuC((5|NWH(!Jl-FDv{1sFD(1YGz ztHJGXI8TTu(~ibJBz#ixXxGi3Fd;$p$x4C{a9BF?u>&CIQ-@h=_uKQ-ci|1^lP^`_ zrhneFe(M7<6yDH}CA72b^ys^n+c$!i6M6w?@jqJ?=#1lN}Mg$35#kGd(aGdC`v z1I<|y9U?9@NQxE`d<)`a1H_sl3~{kM6ubDir7`N_2xd(b2&h!oGBd8n}u9NGy89EsEH6E-)EgE@25iE7r zqgOCBk*y+jU^nd4?2b%Ayf1sdekIcIaR9#5(^}nMCHJjZ&V7p0p+neIHJp_k6Vk^Q zt9o)Qpp0}`Ep)eB^Jcep?iJWM&W}=LutxISsYlE@I*-qsa-NVcQ@&a{hIy1Mau*VN zr*;{hUwOI0{9kE1A`x`z;DU&0>gX>1g)_|B*LABmx8N%rJz9vIYkrcWe#p&M&^SQ9 z1k>M17v~`(hLI!IBL}Gsxf_s4jG1`kUXS9&(JOFKwqgMy_i|=N0v!E_n?&3?M=Hea zBK@-;U9b7P-`$!BYOatb8&;zh_U1=LxA9p(k^LZ;~YtIM4m{l`c3oxB%!GVj4wqZCW=kyfZOJ+CD zldsJen+u+7t6x_?xeSwoFS#Qb^rYkPP>-nmbNK8$ufb9lRuM$bu#_FoDaKb1ExPaxB`Sw$Hxz}I^@WnU09cTf{*_Ig3D(9&VkQW4#v%gS z2%8j?zWH>5y2@OgJnz|Ke?{}|9}ocD*l~G`XxJ77MmBN%U<`njwMz(EmarkA+Og4jSvLIh z8E2$_Eiso=H5f?)VWhm2*WcmtU%0*lTD6!N^3!XDArmdxJr|t6bWWjnXDaCzsFGZA z?q^^6#UFTzF}rCQ(&+r0kdFA^r~FoleSySEbk}NBB)YcAv#5luUbp?P{n%}(*xv$o zeptDs;^HYcLy!SedMkO!wF3&J&x$d8+(0}@bIZANESK5E8bk!m*&OzlO^Cj6*SVJc zD$_~(Q9_cTvn=b~mXV4FtSs_qYFO14mvzva@}-B?{cFH8@m-~Q+`57_i?;FV;Bn_Z zt=U=xG7!yZguG%~k}XM{>fz?9A?yNSok(cKGm{W>970b{`rJywV4mUCXn#u5% z@(ddUb*DA^jiZmvf2t_8ngc{6fdqX7!phjWo>``1qL2VB$UDGrk_;4peip6xdFRVYF|0FzHuFEzlt(prty+Du!yq z6gBU%(Mzqv>_7T^sunCV#0XgujN?j>!BMP4jqs4N7+}y+FaCn{v1;NUO%l`T^+RLU z>ejpAvCRs*l*4gz8LC?P_{SV1?I=r>nGoRa_+dzlN*GuL!rAR4z%lok^#16479}vI z3Sky&N}x(QPhf#LhN!k4$5V?IOh-P?GcQGvc#5HAR}HdUp3bld$fo&-m^(vQ z)q{;_f(RTN&$pTPewlXAG=#mY=YVA9BeFpshCLxM3wn5d^X_P3d+REhff-ZhDs`rMAR%=h zC&3?slAehDQygjzg;!h0u4DdCh zWQWKC*0|lTjzstyT)U^Oc)5tJr#Hjb!}BS z`RO}<1c!+5ht?f0*)BsAP%r1U>CaW1N zc%I{;zsHBjg9T0*njja_Cp4bJ1}2ANg;@J8bOD007aMqL?(!-91EQyBT#Y2XkJ#30 z@K|68+xDr)xQmuIMzWy0^dMAiFKo?>Q6@?YAN47Iws~kacZ9rIwNbAcZ13fse&HV+ z%Oa`AkP=1ty%Go|HuF{zL-XZiCCT&1dv_UlAHPE}CmvrYw@>`=TO!wW+-o)x0w5D;Pza|f40N4lLGG$g`=g}NWb`M5VOu11&9BI=N zmDSPVM58wLu)@$-k^xnaj02$Z1PuLr=LFe22w7{JH>n%; z>Tx*)LBj{A95)Xem7r;9Au!EJ;Y!d706VQrn9LDqjdI|K|q$dB9%n~)@u;u;Kr*F;GZ((@Yg_lRj_EIQR| z$H)+l^j;Q$X*L{Yf(Q)$fuWcYtHx zr_;5n&zWOjiq|YnVi_amoOtq~drw~&O_Rb?V|%>cyS-S~$(qb9n*r;MX{LE&n|)nB zy*>%Gq5@}9*19*ruVoZp7;v!tH6^2BvLhC%o;yd4gTo3)Jajf{>`>3}=@Rg278b&h zhzt8DCnRyx#xJusRed=q;W2C}A44Z@JUNXTBi9*lpZM(@NwUX75J1cToH?`}SeEQZ z^8F*MWki|~?Jeh7fP#!exmHy@Hl~9=?#lsp9c*J=5!^eVHLMd{miJ-msd<;C02Fh* ziuSURe?cwL#QlfM!^lqk8qw<|>Es$IrRd8V>wV$;?x8EIF4} zL#Q-<=af)1jR5>6##1b72EtU-Ec`eNavVkb0|ZrTs<|??O1aL<=&Lb3q{Ya;*Xkh~ z_7%_!mUGV9lp;C|4ej0VVj_YsTC@y}06KW9O%Kl<<92~DbD<3KjpuW^;9Z%z7(40| z?Q`2UffP>!;p{*UqGWg(lF&S9MX+NABEhXOMc6h3+B%-gKy>euHXDTLx`oxM-U)USxBbS-K_z zX$BBEw0PoHW&E%x{XMH&n@HEP*R7*j8-*|jCn2gS2DLVNELl5c*sEif$`nr{>?ewT z?Z$kWfPud(lf47xy zpdP<8QO|`=h9nOtZ$IB9kb;-$u^Q&+u_b_M;wumET44uq6yTh(?&?q2WD8$i z*5B$mM?GV)7&9)SMC&)#a=$yLljKCUB-ngagqJ258LAN$@@Olxih)S?KTRU3I}{TN z$Rm|ZHtOAIdfy6WCNfpS3TR~OD`r%Gu46+%QsqR0cBJSs8d$NM;{otw=%Y1Y)Dob| zErpS;ac3d(XTXUF*x;`8zx!%Yw+~Y@AHX5grmq)8!>x^VT6xodr7jIzBYj4``xi#E zXBz47)1}0wO2mxK3yI6B_6{?l4+C+Q*oRE8>zu$e{LwuuN>884Q$yO0c4mNysD*IC zAe>N*hYC2;@@rszGIeiiMP|%(;l7Zop4nZB6Mw)Z@fU1cn{YHUvnL@mrB7rlJTyL8 zA?ES9UDq$l!e9sLcXcv?;L*Edx8c66!AB;0QQjcqnfA0Ui5+FZYI0M9-le|&3`RW@ zuOwhHK5qgGr6mK4;{WYsZC*>G_l*|L5{q7F=A+J%fLW9pIFDsMDFFisKVPJmvBb}f zWH#53^b7E!0Lkws={Ki3JMSCqk=+8bzomGwSqA!aheZ3VIwAUhhbw|;%LJm5!-OBO z@v5eolsZektJq$6V18?tb6oMCyv<~zoK_$dA-eTzWB3ipw%b7it7cax*@cosd?z0o zq$N1Ig++F;^83DM^TlbC+KR~tuMsJ(8}|iCcGR&aQAnJT?txO*eDeXy)Rb`r(uYrL znNu^4y#f+ICsiN4;e~hnrWC<;R}VMotydOXMY$BiW|Q4TV8;);)Wn~7|LXo$+^ESE z5B07$665z>|K1xc-H7M4ETQTeHh3i(?gp=oe2Uoi$H@bHF0)Sdh;u5kR9^70!Y=2K z4%-kj{Zrf`2?h%5sR`AfhWl^Rj*p?+PXS3%Hap>r$X;~>FiHy;1l5({99pGr$(*%1 z%f{dPKiq+eqD!C}kI867HHETIkUT>JPc^~=WLZER6Sj82R5P`xEb=4Lb&VgE7 z6`sEz(8P!$JqyXys6I7#BEvsCfMs!!AG7Iq#N=cQNbir4RRie;(v%cNj+q4YV3k(B zV$MJPgKx)~S#thP-b>>s2VOju$1qLiat@Qtkpuoi2);q;Q9JgU$+(8=xoqTl6NqH1GE>-ANRBf>lbfaeW!vp>=`=uokc@1M& z7|Oe9vBOb+Y;dm259lbS-ZDic{UU0s_~zj}4t`tAp|po8FO!UvW~S=CwMbg>@DKV(oiZKOm?S*IVG1cu-Xq+W{%fe@z$*F6E zGG^4N0u8Kr!kOpif%V_H!XdyxLI%2VglPV{!Vk_84auBca6`6T#lryD7AY$EK*K@e z_mlfTgg^ak7e`x~{jP+f@wBa^pfzfG0WVccmSGr!V|L9vQ^ADYt9wm;oTJZV!TIjP zS-myAOSm1%HJ64al(*%{cqK#S(Jz|?WABj@Suz?yaOg)Z_igIZ3VY`fHBtf&zgB=J zdVSHx+OzL$GZKYM#476`zR_NcwxYy`feu{K6jki!_X}C~;{AAn=XU1xIX(GQ$IXKe zZUBNy`F4>+R{mJ`{&@*^^rGGf;gGW>HeX``NO$+g4_|+_&`~U8-+{*?axF07F(i?R zbd_Ul?lj9+!M+#1%Ba!l36JYN?TRr(g({Y2>7}? z=CvkzZV%a?(;g4ozGuHjdZ8pQ2&(7T&ZuCW>F4W9%M1+;6R8E9|}4QTiQ>cB2+N0h@E{ zHXOwez|e|OIJe{tGdcoG2>CVE~qE~$}nkP zYc51jxYVzn!ylwwRK+x#?Y9UQbUK6-rLY;Ele-3+?2pb004PLe{+Ysc<5_GzAM5rl;lt2X`QBrH5D;kK&3nX! z3PKa}m%E|Yl78UKoE`U`|8B==scJ|rdd`>Izz0mm-6YN1Cz8+~?BweR7Y>KKPG8tKAPyiBQZP?Cgf4Bx4( zHbxf%W|wtfa3mhC_)xR7z!(rPFa~Bn+*N>y(YJHz;eH?5;l;KhLOnQV#O`n#n^w$g z;IIO52WUCCB~2Zbm9G!C3`D)&2CYE~%V!>>fewJIS@+H5O*gLJdNmkWh8>2CVm#y$mcHNk}~Wzm~7QQb0T4>_>+HtJ7VOXn|wG)MMaQc$00 z<}?t3(%!b|-l!~P`wA}+<|34NR#NOZ=SMU1>(TLfU{XSdiULlj9`BoAUpzR-l{vfr z@P+L|;pGCRAb<|vgz~!8H}z()B8%=QL*!6`<)w}4$eqLt&1lEDOdAgJL`@1UkO{EF zdZre*0^sGPOV^O*g(2p`BULbZd)`t_k384S>BmeQKsKJ~#0Kq>S*-j2v zPaxf9VQq)h{P6=Q3^j%zVZt$ipg`Y55J&j2mqPEVeYY(Y0W%-=x2(0qjA>`&3#ERs zMd3(KSAfWKZ#gelFCg=|h@KQ$zTpckUb!)!5q;-&b}xz4M)dqce|MepVgv=I5$Myx zjv?F?=c9O)4#z6k%0HxG=#`BlXq@CeQ6odec?DLq9My$-g9)YoW+s1sqxr->1L_=+ z8!5v>d086Cc1m0*4MzTim|X=GPxND?UcpqfzlwsZ%01^ex{v*VWV;jgUSS6*8}BD!-B#tfNDZfA8aG>9 zMlc&O;GCJ=toO!Qp7i;;6640YohipRU?pC;U8eJoZUuygkdBt?4pP+wQ)0tK;bNj} zos-)fY;P+_b6n%bOCDDd@lPDMt&x9w%nOvlE%)-uUXP*~_jIJpH$5RVdPSK^?w^^2 zq(zU|(_Ls?>yANIG=3pLnZ)59#AQv1m2`856!v1`q24ehYEsBR&*_U!XYFiPh0R4D z#3uvX3*L}pW`^9GSl~t`mPZsGW8D6#57)|TN%j2*t=|=!K*Zgl?bgO5WQQi73gXl< zKC!2R#KP93zXIbY`+DT5*=q40s^*r7@uwXbS4hlYeZIKMF=%3}Ajh9sj)iR#TOrlM zV#3?tY7dLb<1boK<&P@X85{}*X_)ggWek5`Mm;=_`eVwDyf0u1?4c4pOTq*G9ma+`_}E zD;;}@XQ<6so$UaRX=^L3Mkf0)F8SnMkcwOq@*TGwLDqE&ZfR~ky8JV3L!KAI!ZpV` zUD6t(69oBSps5Sb5o($0hv7+?Nn44!4e z{HCEW(TLzlWc8v;&+}Sbo`iUI8o}!syLw6U{b1JRG1;ifuZe3lHwm0Y*XQ{W4y*0_>rXj)R!%AbvKxiB?$ z27YC828J$M2n7~>uuWJ@zTe>8+R#d4#m1KOQsSH`nhobgA=F$Uxj`~4G5|eDrK}!Q zXN|;yG-^CIa3SPKC!D2RV=KR)RxK{(axGcbAMY6b5|p|Bz#y`H%@;*YuxXSS-pq2; zZs{vyRcLzcHuFxdFf$^Gv2V^B6_V@rjcu*|}BNy zi0Gcj*mv^M9n_#N`b=)LnHcT~+M@w`49<{*8lBA;010{3;IxA?msNv@I6)fa-=^?K zM9Zu`wo7b-@EuNwhDr|?^X5iLXy)|Ej7=&ss|th}Xx3bJKx&MusgqC!L5u@yrp-ie zT3@0Z6ILCrNKjvw0pfg!^T8#QhKSO(qc;KQLTE#H?oMQNREzQx88__i3J74Pn{~27 z|1R-oG6A3TiN-;*#zfy75v8GeUQ9vr41nsosW|N@9dnIB?Xa}rE4A>Z1`XDTd*!!C zj6`N(^~Ud^T%6^Nx}NXGXH{8ng0NN+fqfw)Rm+avA?*@l`4OLi_w!g=86bBd9Y&_D zjJNK97M@WI6NZ)2ZK-Y7tM{L#j~ zm>;t5nJkK%wbkbwM}FUQoX&%SM?phIMkuX0+NMAv?0nlqWJ}Y+#=v0$h&ivj!F)ui z7Cr`RcqeE!TJLZ=uX3Iwxd|%bibPhgLq9#$GUY{Vxg8h$U?cn#wI^fXsyLgL%IFfcwol5W-%^vuSy;}vJ{+}Op98XS% zP&dnd4c}@mDgFWSY}sk*7ei+sYC5t6Uvs%72xrLLP0mu30;9?SqZ{mR>*l^sT=xq) z&kcIQR&PqBWi+wrZf7@TJ>ztmC5XT{pVV%$s&CouhF>OO{Hh`VhZ#V7aaik&{L4wR ztnJ~6H!vZ`h$JM$6B4Q;DH$+eHd?Qpp`~u`As%+kaFF_y2F7VtQS_k=jYO3S!|LY! z2w2uPs_pxdcMM4=c4tnkxZjWL_8N&2H zM9PJrZWSsA@UOdhsCCVSHnWjHwOsj@@*RgDE(?fnzbK-l18kN4sOT; zI!@CDFiV9P#y}3PX6zGa5-p}2yKCX9GHu(V51hA|UpK`{zI_Owdbi}$tV51Ezf@xb zb!7F#sCZ!-v8%*^zg$e3ol|bGt`qRrMWkSvN$}u*s`uz`V4ET?%ocg$tB* zWnHg5B=mq(203$RW66lvDi;sQUxlxFDknqo;YmiKk?D_WT zI!Y|Vy26{IuaygAJULMtV=UpM)cwv~zap<4uAVBk@pLR+XYB?aYXFAgOn*Pi0eSY2 za!@)=$VSBQuY=(noS;rE1B)$K@qCiec@cc&@*bMVW0?Wz(Co;Xs<T#Uk&c2YL3Ei-(GZ)BgCg{ zL2WtZdoJsd{p9zxidz7ZM?~OLQidAM$i=p=o9y=CXs%nZWw_W*!7q!v*>YGN@@3s7 zBZy!!fm15ZuAP9kGTtUkC>n6Ix=P@+aj(vnOUmln2QxZmyJgvc3uls{xT^DVcWb1+ zc^!_}m4FiNX0k6z3Cm`szv!LGjSqFdUt^L1<{jM{xQ>@&?%VT_y7qF?z3Y(@9={*0 z=UDnRLNG!AhihCxK!@`E$$q0Niq-WX>H5%RraoSV^Ec=3+C95~B~ad;Dl~xv4^HF> zixyTDaH$X3wgp9wUY3k>Xvp;hLp)d!p>u>1W&P<;Z!-#`NGP$fD=Qh|3H!{O^jRKHhty@lbj|mu>6`c1B zQ01(#=T+e6$w}4EdaZscSTIuLf0Kj_mMw72@02C(BIxjtKD9*UUe~=+7|dMNpZCp* zPDMz;HQ5-WLiE6^|SyoKEd(AgOmP+XN& zo_%iSI~E}DGp`-p575D}np@UZIleT_)7i{28;xn@&d)&&I3f6$_^tS3%jD8p2`|t> zB~V6!^Gg84S{}FSELG5ZU^*M$UXbYq z3~c^_6xOp`=hWnLS*}9~=zTG*dmb^MX|!X*QA|Y)a`#}1m?SP~we5#{dsW~$RujG* zCMsjoCJ%Em^AVUnu1^1jh?}US9|MSz`ZQNRo0Qf$iV_S^Y!I9B@0gJNG z!&0p5Q_O>VTn=)e5Yv9{#IaDcRL~Vb^$|v#GGdIFKcu^xE(!{B{`0tx@vo_$NEtAN zg!T$m*7r?<+Mg_juvpUNq}SdLxK93}Tea2}urrCCQw<)Md)8Np~#JsJ+O>@Uh1gW z$+}j*#5sL%el^yzf`V+hQ-&qH<(vkxYO!_H3=k5^M9P%^O=YXmZ3y3*F;s`+z+kVk zoil)*`_JQn53DA8BYXD^o+n{kV?ckXZ0G39Y88@!i6HS{(eg}fc>WtMrKf?U={?HI z8}*9XFKF?lHo1ls(PT5;j~W9IA=Qxtd1h;Kz<1@_OjYba)%$wTwm=Wci)l&UOQ@%M z>NUhl=(Y*Z4l%t^obl`n?4jSH>2hg-eWG58_c*Q(!>$xX4Ay%CHHKw!F0JhRBw5xa zdM6{bFA!(1GlK^eAkhVCsb&cK&Og!)v2tglE^ge5=u{Dk_@eIltHZMgol9OnbVIsF zsvljM?(;#Pku9SI{K^5TS05XmP8l|R7;Y9|_IYKsDQG1yH658^JY2D8b5NL8CICy! zFNl6MIO8o6+QXK?jbXZsN3Qp`^@KE$GDPF zqxOR8CCc#QeM4PFKCw3-e@t=HB@iRcHF-k7g)i#4Xi4z-ePz#d=kHwLj6Nh}pQ zqr_j$;zHI0N)-RO&}WMe<~L5!sFKI@t9bI0R|YK925X-=cq3lp$&t>#q(~5aA?}6-fn#!gVdU zzTUyemrE8)#TU{GX*CLFr`-Cm6jzvpntMr3#5GbotA56 zE%G2WAW{s{R`Q`$H${R)#&K%<*AV7x-igdcw9@p{9yP(`8zKWO?#rI>FRGV2r%Yf- zsX*tQ(djZ{E#~}qtX+{)SZBmG6Sr5Ya9h+F#!yVmU2KMvhn&>|Kk~Xv7{2?B_@~Q5JHUernr;OgTsi{iB-^jN zsIE0g2TM<6XHUdlb|gOn={FXus-=dX@20wfT^eDvmAq`ljI6E`cu<|t>D9kb_O1M~ z;`zi0{ut-z&1?eHJ1QBF-=bmp{A+akXOx#uRs`3iSpOvhiz~PPP*Wa0%rgH_`{5sU zoV~*&;J7SD?a65_JG@Ov6DGxANf;kjmVbQVv~bghzNF-T!7&2I$J5{eVGn*77!&-I z!Q(VXdGfSdeMPFL=A+*IYg|!;ucBs;f|T3zxFzd`gwLHIH;n0q7wK_24s42xyaL@{ zms5&t9Tv*1uqA8%L~L)JfcXsu(fQ;2g{SCUdy=m*gt#9B@1rI8%WTGI8N+#BB)>c* zWKuUhu9P%S^PRJ6y9(>)x_>Xm&~|fg0-OMv0w}_Ami9@1a)ZNtzzO znR#QEfV#+E0|$XC!pFL*a^tr~cUo!`L%ug=DL(;=AjO{4WHVd=R;oB)RdmP1=3|)$ z5MTF+HLm^bgnR#`9ITgu#9Q}hF+O=}djmh~$I0sm=X$biZz-aF9j!U+P4U|)5Rv15mbRx8-f&3%!dE{6yE8CpT31FwEEu`t9L1)3!s4>X0; zx$(DThWFbKe5bSd{#EQMk~Z>ASkQUY?l%?+IH-}q+swbk=QG|GE4s-=Q$W`LVJZRz9dX5Xd9wHNyLQa}r+J;$ z-P$}scduG8fs=!J#z+m+I5Y+Mw%(%_W+m4kbzSP)^C1IIUFq{F(U7RF4syQ}wq$KY zqMiggD%^tqJw7LT8z#E{+j?5YDuaX2UAU$#^5tgePg$ic2^VMkKBTM++~XSJ-7Es0 z3HwLqH|<|&xY!Do7P~KNrnz(y%t=AKweCt?yS99iY^R!UV)7EOl@B?J!oti)KmX_9 z{J-V4^a)+RS+vFpxHEA|i^&K(20T0R2n3PPsKiZeLBc8bh;Qr*()HsTRlptz(&d73 z@O}suy2GFJ{f#V0#s0XvJtW-qu-{bH!-COmlvu9o_OpXJ!aHrz4+OEiBO6`j#DbL? zoZUUgkPQi#x`e23PfD1#cR|_jYb-!+c~8ZRjQz-wm0;Ia?}>K-o1MV3vP`U&q5&HY z20Duvq5()3^TIAv( zlmnc(HH6+%mDxQcF1uuxcv;DZpJ`f5 z(&*17`IbF(YZ!?-Ns>+Q&n{abm<9*o60?w?fhM+t2-rcO>zn}^ZtL&T9ve(!>I-X_ zF31)d+C#KU_x8|&1n?dO1Dj$RRde{n~04SA!etY zb3IuruR)h$B|nEf2A=bVpx)8A7+lgG?1~@HbZRfoVuw|L3&KED9Ww}_He1J+j|-lU zD`PLY*7%5aci8XY8?}9}s#n@StsazM6vJx7sKx#(spN4v_2cY%-;BuaHAF;fDdp3k zft{f4=)b!J63>%ifvjk5cPn&FIGKylFLKsdqH~rZUMP(dt!IA~nZ86p#oZNS1w&r#SJRbGN6Cyt7tc zq0Sa307()2Mm`85Emod#>ZjKQpkx*FVWHE2>nWbgb@TN+LzuVcc9X-MO1SRP%IN5Ilju(=hD30GVa{Ac! z2?kR{bKGf)CjI|Vz}dWW2}})Qb9jz&c!eIf1ieZl3&uQ^bgOwL1zSjB37st~{eKUG z&VZVGDJ$lhrGVkwv)~1Hu~YsI!E3)orhnG4`cb(`64YcC@UCuN&-@< zTvN1z{}WL~hO6*6p%^R=M6=`87iYEoBpe{_MU2v*D>OPX6B>u6cu0PN@IV6`r7i>kWAvI8Yg6J)$6gHdl zuV!kr4Ex#)dKYQPyPWknW@dn10-B${Y3ZxZ?hFJcGl_Q20(i3n$PTa=%S^+D$OJqv zDO1Ns9F`u|ud>h3P5xVlI40lE1PzAsENsH7HU(dqPp8pmPm(375T*tO^sDWN#`RDf zlzA$Yu+=dz0c_b#9~|2Layyq25WO;$OP(hLD0H~%C2`sEq#Im=(d zjSavDb#E}fP-jgyPEslaPsh-e_%u7Bn+wSMc((fJQ{hiIc0W{FCxL-OF+d=VCBblf zr+Vi(UJl(wazZO0Ic7@$Yc~hq{#`x@>*fk8o<@{Gp-JB-@Its?^U=Y#_tR?yt7ZPYj^U|bc$Po2{64i;IWcX>j z+N(hvIroE%{^%2-rN*?P$7mJQu;&OGpgfnQ}29DHiM?D{;d-P1&Q9U$3TXdA830olA)NJ}w zu~Zc262pcWx^a^XsMD=~v|&eG*F?(Y1QnEdPDtw^CIZ4<8b=)Ten8L3}LJ#-yJ`hcCnZxvxZXMS!}i%eT#r$FWu z%(Dv#U?L>c9Dh|h$7)fe(${|0H+E^}j@C%yvQAjM^$CDPw@EY|$)6URS&WrO~_Q81SQ2dma@G^rq)=3^4{si7z69`=Fdg8^B)n`SZWfHy!c@;r3SQq_Y{oE!@KcOcF zx1=hP`jX`)rB~E#*@_GkoJ5E5pypO=mAI+sJ*Frl>%7r8lhfUh6VxstydAPb2$V8; zPfi9I>m+^2l>>yARQg!NKo%A(P5&Hs@S4WDab*+`7+9iJ;k0v@cOD?p?tP1~kRrJP z!C_i5@DTKv zv6b2`?5w8e@Yo1PUdilwfPV3=7O-;x0}S)iw0t1I_^Z#T_SQ-4eJqgmY08$j)zdKK z|Ec1gY9OH$C77Tkg1~W?fvIqGBCo-U?~<=BZPBt0Rdm3f7_H$bY(TC2=t!MX*ySXw zqL32kFsr&nDbolFKT9Fg?vZau5rqiO*bMFQEHfjnT+;Yy8u5M~U1)<6D&A>^)O7MO z06hJK^0rG`F}#0|?_Npb33*2iR4On5{o-V>X4N~X%7Y2ql_A_LaJ;8s1y2QB*Vm^p zU>br5hd?T2w38ZJ3aockYh%1<6qal)i~whWRDcb1g!&#!v90XoWTkN8YKs{}@N)pZ zBg+bD$+@A-YRvnE=*;7Ld>2xxOVRMl&d zz#T$~d{?1kFZ4LKhc6^>LW!|G5eZbZByOyeQ`b-DzRfq^Vr*6bV^O~go(mUG+qx`T z33nSRS*vrp@FTfMQNvxOthpbSh;*123r zD|Wb?nZ!&`nOHslZU9o=SLC?1kx?F0IKv+9xR0oi<^=Bq%ixt2A(1*nru|M3^W8+k zt(1-$1rS3(o`+i{l>V=$Upe?HVySK2Xnu6rim7q1rfzF{FCN=ugyt zt=ETk@u_W)BcByI1&$hwa}4HBx}`*zGFr%2BSB?9^q`1H9T4%(r!-X+QDX4QkZZke zY}~MP^7X$RtWT%!WE@h3LBp*`#hR)!Q-D+%`)B@`0>-*ndX@?TYwvS#zDz&>jRKs<7-de!60$IBFP3^{tb*G@A&og$7T#?6{q>j7&13Lra15p z2Eql&ho)n+@GrC7{Z1!g36`Nmr{;pHHk^1jYkjHyIN{w)?=?5MK$+?I(;a6Bx#14* zVD1-EH0xm-*+16Zk)=G$%{Qkv8*`nrP@%K)C@5SLgjJv0^(JVvuKV3d)LnjDra2FiH1RMcER45+x99S5}Pcpu8moGAxb^ zI;SR9Iw#Sh9MEy1!IxiYd7YL3 zTyNRhaC7@_*f>aOU}(CU+=({(D16jlVu=Rd13f)W>t*89@PS0(7lo+Q&MhV11F6-= z6}u(;faQe~`1JYl{|?seGdWHnQPR&4C)jFfBXZM1>qy#W|5(IACb!$YfG=cU;Q&j{ z3a!{xH?CF!%q3^{0y`ulM%(2yiG#B!ZTbRawwr#t6+O}=m zwr$(?v^{OxcAvS=^VD6Z-cN5;YFAcP_R6lTPuWR+SN(I*utc_to*rv<*3JQgkddOa+)vP;;@GzpB-#mnzAJ zyJZ5-`g;Y^ky@9-#$x+KWeN||uy!k~O6=EZi-p-R75}Wdw}Tt;d8)Dk%M;>@?Vx~H zCb5kCDAZsP7H--RJGpXMZOYl2Z{l=Yf-#{Uqvbq=k25-YXgbd_g@m8$j08XHkIfWf z+iDfIF{xg*SIm3vK3B$0&GR#=jF4Pll6Dp{->Y|hSye;`cp8VUP+r;Nw|Pl0Cb!xz z+f0{egy6>vt!9Bo)F6^e?he_p>5_}PV0!i;^&euS8d*`~lexXZ6ycr$(bZMGe}0Ok z=Rp`6K+*OFrkLDJsJGKES~sP`B>dYs5Q{_zS#5kiB&wjP znb0Ok+9Je2Isk5#s#RJ#4S`5Cwn4I|Krg?*y$(psLJHX@EkQK8{bQlU*^x8 z;LK~8!dru{3~yVMLirVM3$Q$+Ix$2`HUoRDhuvP^urL+Gd2gqUr|hkb%&1CJB5i=V z)YcDF)Qu(~yCYwV2j?M3x#)4uEd!n~jW9P8khWa^y2Tp@4N)aKhSMeLDeRwLKW+ps zC)KD&CRv;S^24P0lOB{^`w;Ejt9$59Q2{-*Qw+(ba?IoJcL%}Q6-lwrwl3%82yf6;e z*&MdLi$we$sD_&!qr%egabJ+hXbxrkts1O^@WGI9bOlea-CG;e$AP|+O2lG!HaAQ5 zcdlfbTYEiAN7(O?DNBg*ZFY~q!a0x5)Nl0aoA>+@vvAeE$iQC|0urLz`P^I(UO^5Y z{B}LrFuD1SAj)Al?$)JDfZCZlfBK=kU$LX!Z2^Pp?InZSxG9n@C3P`(ruA$Sot(rC zY#OR78OSlEOpS^ed4sN;!w74Q!U^zM6&GPrz?ttZ<`fG1ki+W-8*qv_Qxry3>X3G3 z^H5s96QeON&%@$=psu7%V>Z%jR--5~p3!4L!;jKW3=7@%; zu-hu;3Qa=u0C(-b6yN$HP_p3(FM1PWxmo5t&$KE+W0Jp{@r2wnAAdw8D6SN>spP8ViLR9i?E5gnE|B5~^%O_M(Z*yJfeC6br7H-4kP zs3|2Ou|BpP*jjfiBL#g&-EV7J^1R?p4v#I+`J#&6pXMzW;^%}@ZO+!X6*kRfSxMkT zL0^KeMdbGO#F)QAqU+=>j;UBv;cSTnRAkhX#YxA7lZ%2m?ZHaT-y(?cyIMZKw5rOM z4)VVLz)UR4kO!20F=U=t8e&j*z2a5pH}b8~ zCB|VCEe8JJ09AL0Eg{(aTjPy%cRpV6UVR3QmCFs1$Txu4{7N3>? ztOkH24hG4hP0l_hJ)ter3RrFZhz1?=k&XygZPpYWUoEgH&FFmnh=ezI9~;Er%~5B5 z=qULQXo7lG0V2JMs^Gc5eJYg#s5^4}|8mniYQ}dvY}J-_4izd+4tyEb8D3}1g*LDu zx)JmNq7vFEwlS>ac98VMIDQ2`_^0?fK;}1isJ~bhC-8q*kDXe@(WyeQVvPDhcZv3o zwW`fNbWMl(v^QDULw;Q$aU3+j&8JZZ--TdDi`jq(xh81`c+B|fEUPfZn#@0@u5JL2 zj>82ls~(#VXkvD=1u5^d5bZkEoTYg?#ssv1w0aZ-LDw~}(o zRE(1E>wl=uM6K8c^Hh#jeF(-sf(IvaixV0A2d5&RtaYVkIY@kglyM*l0C$S+ej``M zVUY?Y25x)G$auM5zqr@ZD;%4hszjV}Kp4Luufe;oP zi1pmEtI?Et@uDStFB?ytEm-Kj=6O|K+Wtu0LY z+HMlez>rJx%9tC964=*qdkEo<^S6NrQr+5b=A%q=zI*!&o%qE~5vw^JkHnWfx+Ndq zMj%&CX$BR7e8Xlef5qc5LU^Bjc#hv0PM@Redm{0aHAIRs>{X3z2@7x96(8UEaFPY& z)TKR?Nt!@iM3bLN?G-uk1O}xq$3&7p%9_0GT>{uhAhJ4pBKP%kkkR(Ef9M)jEkP8= zv;^)RR&RRXk|Gy0yESYuyA}-Hj8x#>#$o%|tgYi?x3NPnu}Vd*rj8)? z{O})o{NMb!G=pJS8-%d7n}O=qt0Gh|kYRy0BKmUK2X#1Tuazt_4FHt7H~Pj#hJRV1 zM144Du|W1sUZJ2c6i?Z_$lmFl|JHqML{e0>`P~`jaz_hB-;6LaVmLxEP_8RA3MYBj zb;j_CcuQGf)R-i}dEsIIQQ>jUYcf*L-V!hRt zr21Pgvf*Fo8mp#q%3e|)lcxFFsWs#nG-n)~otZXuw;b`c2IDqc3lt#k$os?{9}A++ z#U~7_J2{H-D)u*symqJal`0rvzXG9CL7t6yh74o!aJ6Vqw@HL70LB>VO;>@3! zN4W*VO_Z77L_3q+|J)=X7#4b zipQnCBFv3jA*H0sgxJ(i_h%~s>@MlQ&!BWv8Ka(3M=VDaN(n1D#9FJ%DyusT_=_1C zcr$A^h@qns116aUW%OmkANUcJ9b!26jBbtkDHq#hmrQqU7Mj)VEb5#G(YD_fj*a~R zT#->i_I(`*Owd)rxRZ;>_%Tn2IP*joJuBhLU^~?Ha6}GOaA`s~4P`JiCm1l`l}^B0 zacLrSM+J%g5Qy6Q#t<(lIsgvwG~x-Ot2X~{k1wnVa~2#>JpgB!Qryhjj~nM3Ro#0W zmgiiYmo0=4b94FWb~)@-n?#FQG|Q4KLbcgjTn<&7=hISxkdChK zQko-i`aibnq;TzHH%N13qqk~rR`gA47$LcPM~nSO-FWB;RJz!g&2tNvd`lW)W;5gn z>8&#RqAZdVF$=MzVhAfU{M4Y;>jSBcCEa95)5RugmX1GU1spGo8hTU*?3W`@`7V4~ zc=QHEb1;wx>x&r&n505OmI1(Wb_6JU;)=W%W1SeLs(>Y+O3~bF*)x-4w!V=9iC?TI z15pD`gbfd0f4V^j2vt*t=bX&f8hp*tsdcf2=tCO(9bl2r_pGY#TY+17yks>jEoPse znWLmvN4$YvKs|G`z3Rh(wBnqGYCPrCTLP8t`i$Ihh5&s5VY#|}$iPiP;47T{u^mTK z8kn05Q!j=DU}Fp6LP_9%60(+5syr*%E?vL)T%Llq;V#=iK_6RZ=Lq7gG~S@rLoFIn zIIi7g(VVv68n@QR_v6Q!;G=Fod##cK$|w)Zf7moy!|NU?-(|nYE)3b*5mJqWW#;;A z;KX`{QAvTDd;Ap80BPftnV)Z0ZX!*K?^dxK zynMX{et~U<&UEmrLspx}1Y02fL`rg8`n)A1HYG57EbEh60Wbdc4ABNUh4`WfGqVif zqZy@xW|DiV&jYCh;Cr&#CCjK0Wfy9je-arGVVOSD-&z@2_y%{P6JPn)f9PbwG=z-a z-Q5nh4sq4WD0#5T!2`;`6ZB#rm+~tAM!X}8QlmOBdNf82P&MT!^sY4+TVS^g;o|>U z&71ayw%t-x~EqqOSOU&#IF{U<+uvXFaL2)UZAqt`$kv!$x#0glXeJu z%rYb56IS&_si;;3?Aem9Donfv96v0PMly2Zooo2h zDIsRAcA{SVva%`ge5cO16v8}z7*tfQklKoOmx<&g`M;3IUQnOUtuFoomA^zQp(x_W zg=`|dLJcRfq)xxQ@X}L!&I>DJOq0rpOZH ztBN)~6aI*8^Ob7O?`Ry4EW@3Caf01q5@+1?il0C$KH`2%kqQWWHsSu`1}R z+pVkd1vaN5C*!OV8c%`?Fze}6Kh4D= zW?N=qP_vXj^rPL@M9ekZZ&Wdn9h|SVd^|CMUtiMpOzN-G6UPBYy*2-(eYsm*G`zuF zR0H@&*?q^|GGa&XX&?=`twD2a_O0iy8ed&ReiZyVb~|S6)On3QO1sk1tHxQdYN$uB z8yZiv+;0m_*57l{!RC2JNvs-bAs05z&Y2VV+ze&nnwLJuCz@7)2MPcW9ob37)IDWR z4|&TD#In#$^o(1#fK;eAu&!mI+t=(Ogo@rawGRCVfsBv!T*F}Wuug_O2*>De`mx~kHY-ONy#^ocXI1x^i|Ft#3VD z^NMHku1~-Ffshlbl-pyLYyw~nEgx5zxN{OSP|vWI#E~oY@dN=K-uagL@1BQZQ)G0T z>VeIo;|v_UUui67?Hnvk$3*hYyPv8C(PjO%^S^7~W8@5f9;3b29xz$4hFE=e4TIxk z@P&46$YoIi_$7t4;TItpp4R>eq8+D6T3R4hl2fa~X0b{#Z)-3(%(*R7-wawK-48>J z-|{JVyN3<`{i4;Fkn*T2W2f%*m~=hkd<%|sWOF2=skX>;G^{meF$h(ZcJcsI!9{>_ zR%nCw%!cafaH-{W2iwu*Bi^Ax@P^Qk%NcWo>)d2- z=&nVS8=LX%&lsnIK7XMzQ)mpot2bb%fJ5iKHyoPH#3n3p=9>DnzWE#PRgPgSu)afrI9=*3Wsq)qqYa2b8p{Mk8bMW$pq~mt z7N85Edn2IolrL)zubTclNYa*imJo)8aC-oRr=S9{6A*5)-NEF$)K&{^!IB9LVL zmXQ4FPj=8}xMGJ|2b}*2ej#R8)+}5>7e+oH_~N&=tuN5DzJm z#Dq~;XI=F4ZPYtVaG{{)S$l&cZo{hRjk z!2@d`jQ%mXKQX&ek~77eZB_VV+iHb$^V{y=HToxRq7~p;Bq>q9bWX~m zFY(83Q6J{9O>GN}9NEJR7Y-{Jq5_gQTLV3#h#FhYl_+bOT(07Bb=iSQ^D<9SVCc1Y zQ*kXDKx4*cXtRlV0}88SSr+&;@v){Q6rPOvvX=i{MN+-`G^xgUZNc`H(kNUatE9)6 zS=<$oIqqC{4vX7RXU;QWV_I|=5-RZe%mu=To2Z4n?2_~6aS>@-uoceimtz+VipRzZ z<7{#TQ5`^;7wz!$;h0Mq&~8R~D%9y{n1|2?&_`+d!TY$^6mMktq5`|v!VK12~tH3q#z$mCH3^J z+)z*EnSF(sk|Tu>x6@4~Bw0a(r;1DOC*q@13=yHn_=ZQ}uNm+$lc?`<3&gP8_+LYCrK}2uY6?N6GD1 z$#N&-%0W^!q|IJI-TaZgxgXJ7xA5UMG#n^>lUr;>Yw1wqsJVp{#`k^R46+lcgZbfA zlVQ$gbaer~P%VwcwiNU__oZmpg^xR=(c2W0C?j32;^+^nH$B0Fq5CjM@VrbV{I^@6|bJq|0JPhtk>_1g!(%4g#W(kUIByiiX)heo%n|9p+32`Z5GZl32kOX&u7cxKMy_?v^vgwR@N=+{}Zoxq!8z5EX0$>|k zRwl$3&;x)nG^?@DY+~{+yg}-HKq=e|P;n>FF>@oR*MXR9C1k6AK5PX)bReUzV4y4c zOB7-aH9=7PcQ7gLPxVk4hwy*oA{H+g=f?(i)D4NXb8?ZEVKvV1_>i-IK;JlVsiy>} z;h>b02u@^?YEF1a6JHq~0Y@j5uI~54iw3`iU6N%T&Xd$Z}nyv7%|(k#)db!MmYNdow$u*(_26B}t)S zPm(%I+q+?Na%AiyPF5z z71;(s&WcJ((?y#dG)d)V`AV3zu*5X{q&geQ}*m`yeg*VSxl2~ zCTaE?-(bO|(#>zJV-M5k)c z&80wO;GLt1i0%H@d$3T_{L|Ob)PTmN$~T4j(*h;%)xux@>`P>-W@%kGV}-hfZKAqf z6;^)k&D{b`4Vz4dUS#jZdz6WQ`I0&`0}_e@SCiB+HzS&$o82_8FSueKP&fpNrdhr9 zmc~+i^eGZOrrvm~kwFg!d(=#N30uk5?0Ij=hbda>#@Tr~C}idKsh>AA4^i%W^DSe~ zZ6b~-PE z6TfB|OYd#+o$aKnPAH#eTiy9~gP#0|e(!ci0fm=YQvtNu&brapsBL)A@bwz#bkaQo9_KgUrIT3 zfeMqoZr}A}SJSLM+{UoBOj#sEwBd>&V5j{KJ8KC8BOCoVpj-}OU%nMJ2Fc;1M*R-B zXN|(=yEy=^Rt6^+Xv4)~wk<~>$!qTLoO97d?2Vyfj(<|Cg)za^Foq<4!PTWAjeBKvEl^maM$ z^w2u}tg^c#SAV|^zj(sM6GHG=XT*TRtj9Ny%o<2Y$~RY>ZHXk%j5uK%(!Ba=X8Ulk zWU@T@_kotm98-5nRzWeul^Rh=v-L^B7~Gbj`4OTyX7&*Pzc++7sym70vjxQL+@D2M zXx%dQ7Er;^CMMq5C6Ny^KC7&^T6Li6rN33dhY(LTv^d- zVij^LmB*9D7FY<}3ar_%%)`jqc{U$Bf0L0;E048Qf1!IYFWeRTFfb_Q127 zeu=m5*GGVVtE{@%_!xCol12ZcO8<6g<{cwi*Ry{ahUTo|meM`95k<}3r087`8_sWJ zkx@IRWFwBH9JP>O&|q}4tPDFHfi3*<9!Iz;SZO&dK?rf&Fj-Z{mIxfs76KFE0oS9J z$=$r9LNpZh(i9Uh(4Aux3SyeYBP3Z3LcGu*vO!=Gajs{;Y;Mcl;F@JA{VtQgnb-Rn zePA?=Iw)mQ+hqF+f7JK*x`)a`nDout9I)B+A|A;e@(Xp4OZ9NekFe&M=jWD*k6Uq% zu*j0ecQyPjJqJpy${hQ_jowa9-Ofh6q8l|P#!^NjS|ie zL~cvvFI!rcAEwq}cGrTWoq=+YGFK940q+P?FppK=tDu>~i$VnEyGPU@CG7GjNe}N8 z2)vCq4a9I%Wv-4^o`78P*NCqCC}z2U%sN1;CT$|r!(B3L1jYL|sBpY_%u`Mj8>WYg z<`dgP@Afl`HLm;Z&qd62@x5Bnj?;m}MzIJuqSx6%%b9!(I`*Bd9)Bt~Z7=SnG0EWM zrt8gwc?GBb@3rv&Z5Ep~hnm@sOhY4d8s-&S(J!=Cm%L<^RR-W79}qwK{y8dr4jrK= zuz$J^$L&kv_TnAvF1`?03j{f{!dfHpzuok91=oWROuDTvFy1RHo7?kvJs=oEMOYae?%c z8G9Eku}?<9w#@TrOU5AC@(t{VHoJc2Y8Sh8SiG6DK{YVR*RZg1XMBCP&7dgiU6V8t zXXy}VxlNLh{|>^nwqo5L8%i+nSwHXdjX)0X^rPzT8O0GUSgVt{6c-nQt{5+&*#oIx z+V!`o<3!?hW%%`{DQ}VJevC!9<6(=)7Phnuf#9%`x71Sq!EGbFEs5EFyTKnxb>JI} z^(MR9&(H0l>X}R^yh$2YBWYXU6Eu5bq?0C@Lv6H=?IpJ|SJ=3fCjk0DRM5P7?P8@! z(?f6wVKGzxnRu2cX^ffD+QVe<2fUXV{W2vEsdrEXyT&FQ@)OqZYe_=A0R0kW4pIna z|0khqJ`_rP0EOQf9+9zVw0HfS5+14RcqxBdSCxyB=0GZ0UL)JLTZlA2a4)sJ2i8+M z!xiXe9C*2+UQ62F0IZyI=J6V=QLYD-h>dn48#sREQ&I;ACqp!RBqXJAF%aFty?5_Am2k zIVIams{p%k_uQbAyc{=1JyslBR)RaXee;%eyD>D*G1B>;1Q|GrK#X(>VXI7|&6g#` zm)cfb{fHgrZDg4J~y+it{y(7GIE*z_#__eiS zq#o)(&DsfAPtQ{QbJB|8RRD zUSii`E%ipuR_Sf0|QX;us0zDh}qdXiA(O4os3Luo&PaoIbi_8 z2KEvr7G~!EYyM}X|M=(_Ise6xbT+WIFcP#ivo`q;np4@?#76BO7#;?o{=e_AF#j`z z%?%utO`HiS0fGQQV+#{U6DJENfDk|!AOa8thyla_5&%hn6hImv1CRyC0ptPl|Lvs! zPy{FelmRLLRe6-c084-sz#3o! zum$|ni!uS&0qp)ekljDq9$;_aXku$^V(R=qHOK!<5y0NW(ZbFc-~ez0I02kYT>ph~ zvTz4DSsOT+1DpZQ=8h&N0B1KlfD6FozwulFZUA?H2f!2HY2s){^*`AWv-mfqO#i{7 zD#7sa5&m!bnHm0{^vjsonmL>Qe|V{kOpKiWvi3i||Cg8gkJ8M}@&A*TYU5#|kh8Tw zCP&!L4e7?=Ztv#ihG2iYi?q45g%@b`&wSZM(hi#W*y4VXn_gOaDD!$cU$0ud=I_F% zW|D;T!f=rnN1{QcVUEYhC$FgNpBw-N1IqY|Tv0xpEkI7M59#HAih%?WfHg)?0ObTx z{|jU-KuAN3E-*DPzX)w@aRidjTJ(VdHP_6_2)PlE1C)u-ieRhHOas)Hm6cVW)kM>j zR7Lo}FKbDN)IS0PRu8lh2xKTV$;A|@1f)qN3h$3Lj(=!u2&>@A+5(;hd^liA=w*h4 z*FQJ_t^aWYrhlw&hwx1xJ2UlzqJIP7==dg{9+DJ4gbZR`)#7s>b=fe+BSA5Sr8dJyfmLD%{^b>>nc)vXY6RZe%EQl}j~zHHj3hsAq=vT7G0xpSqWUJ%HBjSPIM=r^OIXwm zKiYSUTJZOm9!meIfm+v}YsjyIF@AQ-Ykj+mx4yVfvuHoO@j}wFB9gM{+a2)N-x`D4 zQv?5$@}L!*-o~U+DIR`6eyC}({C|3Qe~=&YY(VP2^Rx$sN1!i(=Rlc$W<7y^PCn#~ zZ~fE@3lZ@7)73lrfYaABJbcPNv2(Q(wyRQ%yNMI$LNlPR zeKnCdZOGW7KnihXrbm;*va6H3(-)N2Ki2&9RHS;1hL z!#|Ob;-yCN(IW$-6Un;X-ra-v%ZA(6Wp7(Ia>gHmMEM02`Z22j+h&Ej_;x|%)WZ&* znwH-j<17+pXT0*(%>O_z-PQt!(p)O3#^*{~AmkM=hL+ZjaY4Krk|G~v-OqTqT{M7! zN}yMTy{3t=<}sy<1E)H0@&I}HQ`CbS^=*os#c@zT>O@cbRj1Og+T#3j%0O}%&)T*1 zB4tC?WmQdt1g=TB`NtK7DO?#3!{lwYhv~=|{sb zyc6-eC?aw6B<{HYiq=fpaOxJd8K^KG;b>PVR!vtGW|0k_L3Qa%d3FWk3EyVa-?G|f zVFhjM-Ud0AIZxNdD6YhMC+DlY68AG-!3?imE^e;-%NZR?T0rFF9hVcH(BQrWIVbdT zq@X0`Y8i1_o*2ws9A7~{=Qz(7<10NVEw@=tlhFw(X#_gWRYHHgHz>Z|+>T0WNBRt7EOSw^22;9}3+xbvOA;L=o}X{7?0g$T8YKfm)qwpK4t zdC88$=cfcfiC9jc-(f&2hVAH*A(dLP4obO6xk&Wp8-(nwuX zrfpgj5kUfOz8^QDP4L=k;n z`XwW#_5VwN0e8#Noq7nW7JAk=;CNGC$qiMTF?@j(NMMe4}QVI-dYCu zxLgXfl}1kjVf_;kvp@Y;f`daK?>EhH=?ToJl?-O*ymg?;q7teoMsT*WoH_BY%QG4< z32kbufA=zd&<^X~dqgHFxF|lB92S-?aq~dIrC(UX@4jn=+$Om8s!~wP5joylF07Jw z-Msa1i>=lrEta4OG&1Lo$#tIIM|_$+aK?GVbvLX=Wp# zFwrB~@BWZ#vwO1!&Ii_0dU}cy!dz|WrM28L89{L-7{5t+gnUY5{AL6-NY~q7ze_mP zKLqp*O~i3}zXpxrM6{U}X`2Qc^~T_N*mcBZ!e>vj{ui3M0inPDpTYtmcg;qxh>J zo-@?#i4t%&GNTU~5c{Mv->iDe=xGJ#g6;YVwy}bO?`FQx;^G-03B_wqJNCVLgvAvm zzUJ+&ud?yv6hMn5(}2k8z=9a;7bjZu#L!`_T@ck~ntlXyWweH&<{>e$yeVZ&dJfO3 zb?N(O-tH1XcGNzw5OIp@ue}Iu1M}r?=%Q_jO8J5Al0_F`sXx~YSoY;bevIoVii*1x ztk##U&_-_9?$~PkDV+&6@-v_Vl-pHnzYBWt2KPpSmt{Rc8D$K4exL2_IzV|cF0orD zQH{tI4zTe9LuggJFIK;UKv&bBTS4;`9`i#Y-m^g1NR^S^au(IEa0f>Y@2Rwts;PtX zFdmd0%T{F-YW$;J6Ao|eid>ZIr}uj?AaT8{%?z(N%VkiBP8WueuQ(lH_~6MKuHy4` z$U5TFZ#$IMsylfpTW&%euY{r))@=-VE35N)iT!D7-w4hKzQaD6)@M#LT}m@_0|syP zk~=ysOA^I?KrYJPdBraE%~k6^KfHP!knHpc7m4(JDf(nbwSj_v>|}5dy;b|=j2M3! zZLLb7vCD0?M;|bh>XLH-e|v0-kFECB)q>6r|NKXsKu7BhZc8pb9$2e0qw=*Uv0IUL z)Ur(Em=rDZe1_cIOg*61Mbe&c#Q8c&gUI}4`0FsN!#9)Q_6hMULve>!zpy8KXB37g zZjY=^as5a1>e|^NRs(8Q`6L_`V;& zWC;#>hwX<*#>Z1AQuu`u3wMHrU!&G+I&$Ga0_YN9w^E?UZZ9|PdS(QKNVqH9^Fz&4)RbCpFSSjd1n>IdJ z;X4F;u=|>~sC`UWY!CGCKra-;q1Hb^& zY;c6g{ZiCg>GNc+g;bPs8+kAb-qDOl4N9K_fSM;!=^JGKc^R9(02TG}B ztC#P-^P~I+W31*3ly@ld+h0qwM!H&bvEUzDT!H=@CzKwKI89+>29Cm|V1N2gFgcuS zwsLn2;v5a46?3$UyJ|Lq+}E}4#;aOZMIQ3v(>bMXCNXA;H`VK0X+OD?*4*xkBw)4O zQ9jg&Ms8w@>W6|`3HoRsrd>hg7!%cl@x;T5M4;zh;m6iFa{4b*TrUtyzMpiPpbKT^ z9SF~e9IDij-6ICD2Z)IIiKKvIimW8Dgxb+;^U9sbOsiCALZdYSroxKUuMo~bgo*QK zN5P1EC3w7UWL$ZL@B{5-W@L)X{XuUlc(w=d5>}S;L;Yj%3l;o~r1rKEj0RoKTn@UE zS~2KWkTr{z5coF~KlGWy-~8Hgpr|K!mlMDx8Y|6?NbSW>-|N&cUShz_g5WTzlDmSw zDP`MeeUusILvvb#TBKQ*DpuaDG-fYG-w~V_>yE@=%a<2&MJvJbSx&@kXhNp^K&!-A zfx0r)=)O6hR9>qdk=$=ImFR(F2+VvM;>kPASJ#LuQim$=htYx29Oo!I-A>2!X zbQKsG2CAJ_)ZAMHN(=d29~;-!nz!$*q2Jafz?!8){1;#1;>7en4Y7&~;GMQcasaZg92I5K)`$~H`dEu&pBozO8c zq{E-Doo=t*e-aMZvVSu?}tZM+`?lB zt>WKXNRktuPWr`Ss$s*5@L?CN7QEs!YcX`56WXaoWse1-G6!eK#2{MjW<{_ldZI)< zYisoWF^uVv$0@2WImQGBEG(GUH%K-b?}{%VkvO66MS~+%zXCgA=D3bAU*}*QI@R~iDKC^Cey8incaaU|q35Ldyy>1o=HYExhpCG)%A&7bq@jEi}lx}Tr)7fMV^7OeE#wUGpOu>}TBa+8 z#BOq8d%`>$S!41Z~Zlu9m;RvvFvu$(Wh zYZE-E@%QKBMjsis6~CSRrmf!|4HJ>38!oFrb&|8Qej!o<{t*t03bc*UE6tVNfjGPj zr^Ly>4R6?&xiOVGT?Y^`>|`GC=#?8%1UVw|)I;wfYg&(}ok>^#+R%}K< z`E}kQ+B2hX>kV&yT^w*d1{v4(+%Y9zJCqex@M2B${SrTMwd=;t;$a`o1teK6+vl88 zS(#6$^nkPUIzWI`k0^(6dLLkhq<$3Qy_tb;d#dkWSYEH@dvjH!OLOKekiX5&P1~?3 z($X@Zb)oN~$BPq-aAXqq3Dv1kN2Tf%q0T{OTp)X{8J(_v&g%Mvroxg@d2lX1VbIhIoo;{(+D9i zpOmpO#AKmbv~^IyAhKJnt^e;AuzSI;q0rdimbbLec7AJc;wSsn>5C8pW@BM$vbiaT zy#i=xP1D^}iGT}|fT=pxo4*@;pk0wp8kx*gz-89M|;0z+_G7!;09ZznQLQ zl$(>Jq=i4ih+GzQ3Vdi9ic^>}-rPHSx+@d>gZF~n$3?w|=rdn^oaepB*LO@{%f;H4 zoUoci`i2^ygcquftroeYcR)RnTQ8$tix{d%8k{W2zUzN?NrDj^LY=k=S?Fm>#%958e$#aYiz zZdhZFZPrcUzSwTE&_D)TOfF?rZ#spIjCfCvrmisN&6`kKK!UsFThXi&e|Bvto+(Bdw)674n0YHgt3Z=N_n$iHo`pSP%kdoOI&5V`Sg`xRWu>bVlo5 zi4t0!O^uw8NM}-x@m1?2I>bvoO(P+o=1`PECNyfE zRjAD?8R*Cd-sH{8cA^4+Vhk6~@rV!*B?6sSjRC}TKG2$|phiAtZxg;I%N%~biRz?x zIda%8D!achi1RS@DC~3^eLuu9T5T!HZmt zlv6rM{M}w2Jn6J#>+8f+A03o3M4T)klL)OjYG{3*=scx~LMppkpY^bLN-xWttI0hd zJ;sy*k0h~;0y(;oc~W{sk9#NiGy7~OECbm>L93kp0@rn01()m=(G)3fRBWNQDC{Ln zJlv|TEReOFP$(?GXzVOM4^o8A{T6z?b*Ev~3IvLgi|f?OZ9BU?>!>j6bL|b{<-gF? z(PB7}`U|CQZgxT*>ws@VUCSYTdVI}?X73pSc2sbo}v09G_Ok~(5Hk!n3H{$ zPCc1%YEHjyiDMEu)07E%`s1tjQeq28vHgI_H(xJb`6x^=y%er8G!+d zN>=3gary%lM(ROV?pBDS$syS`Qm8jQ0l%r$3dN*N$TL^k@*Gnj?!G>`0xf}$3d;<4}y=z9hy=EimW%;kYh1gFv6V%-C8@VvMb zsmrDCzQO9iV?=@FCQ%@GAB##-GD#;+rH%%}9rM&u-|6 zwE69xh2OCT$5$g#(X?$~rMhGvfkd};A%#tuPl(qcH(+SV|L7W(vrN8lm-6l7mYAUd;sM$^Y5#||dk7LG3>PyzHqY4R8QZpP+qP}nwr$(CZQGMm_a>>RD%oV&yRP1J z|JC2~y@`HFQ38EaO*b47wII;*1lY|9RpYJMwHyi6JzhFz2R>~jzl$bB+I)#o zD^Rd{2s|2o7o>3`eoHWYG&aNP0J&^4<9v}7*>qtKZ{&YBUVQot`J@wNq%E>k10zgu z^<_HR=viM$^kn-1{D9HtTdw+O!a}@oQ*GJx+WY+!j=@^vBM(iC9Km8v zJ0Wm{{ln$%aE&0SV_JJ$=xI`yz2j1Zy5!2oUC#EtT%*C#EPU17WJUm2L9m8*QX`bS zqXlU6{3e1%8PwKlPk43U?MCwf1HB8K4bOHhPnT6=Za63YR?%&r^P7FaQ_YsGtDkDW ziMLQ5(Yk$Z{O>?A7XA9^cw0$&ED4Ry-l62;(k_$l+)24NuHsAub5r>4h0 zD<5M_rGW-AXElF<83-Z6){h+4MWYta+jcR{%HM@}3Q}gm^6^-itmVK`D3S59|08Ls zz|zx5=L=$^EIi5e zYP?`JH2t_pHF8~Pen>V`%1pg%J@A+tZRuf2pEVvDM(fWT#)75_bbMBo)FA z?dm2_e?!s++HeOps!73FrGkK!1xOX3enr@q~4+oIH}Yl`=qPJTWPHYPveec9ufL`tG})B6@jgh zukA6UW|DwH;c7aXyA8d{!~o#r8UukefXc5fnaOtWAF2gKsw7w75`=}m0HE+UU{wDW z?%HuGwcg87UjR6ei^YJi?3@Yw-o3wkm_OZIOd(Kb)o6Tx-fv=Pd(Jr zkakJL>vMW(VUix>ycf|V-}!7jGMm_zOBNF)P(pjqA^&-fz?Fx9S9*FEh{ZHKS+A|K ziyxt8pAZodFcClWYEqacV}2UNq9&0foseBpwxdLJ0%YW&b8u`wIdGbD);cPq2jEDI zG?&tufW~~IQJdiMl7&TgDIth8^GSQ*6r8y-9()Lsi2?cg$)*nyAG%FnC^bFgWi=qS znX&Yt+i*4W2vdo^@^EX4v%YWE%|(@#I740DIkudwb9WzS%4C7`(P~%!veTvPx9^RL5I!2E(3 z06q0a=Uq%1cq@JCT@7j0{CjF1<MSM<)AWjt{PFtgh*tzFqv>Rzzn!A*;eBm5LOr+YlX#%e%X86- zIMY<|q>(aJ>?iCqJLQ>uqWR$vrMk9-ndR!sIso49a0YpVHoo*I5b!kMP5q+IIxv^7 zRjdPZdVA(#o$2wjzrzn&EQ|E3CA&0eS01*ka)oMjGJIO=#<8iTR7(XK0*y zA7dlgP7&M|DfERZ>q~#Jz@$S8_mOQNc#kOOqcsd~sshlqHJs724}x#r@P)ucXeg04 zhps1kf6NHzk}iGK;Ig5DF8kI2Rk0;|r`~y69(?-A7@U&hJl;VlO7Q?W&~sG60DEZ- z{xC^`?d6AhDjzIV5MiGORZBeZl3XKy0B$z)r6eArl@;M}s(dov7=tJ3r=Uv@a z-qvB65!MZL(j-uTljQe^HH^RD>bN)`^6u$8AtIx69_z}S_D9Gw=Viq>JwGpd`l`lg zlR|DXj)>IxO9Lr5rF3pOi7Q0c0<1}zszxd7S5YvC+xsy>cj zsFEfeth3Q@?nmSi++kGB8NAnvObkJ zjO|5J(7vG@sHbDi?F~q#!k$|5RgaS{H>0*ji8SqH4-dyj|ykb))IYf{7 z)pDycz#lFsPvI|i327;$$d+W>j-%`kVYA|(o1*Q%&6U7OohFx%<94Z#UQDif zfY}1!TV+6IC3u!Hr$M#wuh$l^ji#*?UHVKGiJK1C8dF#yrbw|>&mvIeitl&x@bkL^ z7e2mS{B*=;Tsb(OdkJ6@$)s{_Hl~ej1urRkzc(UZzCSS4#(Y+x5HLEY*2H5UTA_d6 zsY!jFesPFq@K(7xhI~aEtQUm<<^M=Weo#_`938VE-JvWmig`nN-Mc}YUbKOJyvGf7 zR1tp&!rbW{L6XPe2}+Zsxbl0ULKQ9~m;`&%G-RZeO?5nMjiFHeiOaPYhsStpe@v%T zym9EI6eKx!fbOEcuJ#lL;LVz^#!N;trqanwFsX zoOV^|MgwiftWdXPQIdX@&lrW;2P5P0my1#`8!^R>MbRBqtm--KuZz5;cW;pLT4>P8 zBlF?3fPU1-z#@`^1Gi>pdz_jt>*IWo)X3c9!oM?cYg?MJo$AuI0 zRM(cE?+$47TtG~l{_&^SBq7UOZgt|01BKVR~PBe3p<^wBosijL*~h zdY$5Tq%>=)LLcT}>~Q2_$`EsC_OYJF^HwT$>4BUrNrofPnU&vmpl%n7D==QVnjD{E z&AlY|)-m2Zu|5=@vg$6u2j*qV-UfGg4E^=MJ9%$nm4epbeZ9n{>^0V){@^9FBfje& zW8d?kZ}wi4aQYZsrqCqN&2mObx({TfoGU`oOnBQ49=QnyM#Apk;ltJlAV_$Jw3ZEsM=sr+~XQOdz+zdjtBN zskM^QL0xA|Mc*}eb5*jG1RJ>APocnoxKGOjZU-ZTSz|d7D}>=wj8p&lw5nu6T~Y2` zN>KzIz1F%iy$gBrHR>(8+Ano`rwYJ^@Q##<#dnqvC9-lPgP(kJoRazz;3)Lod9RDZ zkj&MN0_riQlHlCM#M-&V3L#s&R$bEh`yCit2Me;M6L<`|iIfyzR0kn`?$pk`xeX z<}hmPdL!OZ@pinu59}=zs`Fo6XUtoU72M2#Ui%Q`OD zjFmsBRfwic!ulh3#gvpgBCp>a$W=AUs&ap7%B1?}Qb<6W$^;aS%=Lcj+(}2XUm_gN z$b5fCHGL;0iqTZf0budRlyApOSP~5s=E&s;S~!r>P{B z=5+lOC^+0r_v+omn4xG}ExXM_S$;nM^p6Ke?3Q?BL^}ZC_Huc+pQ&Q6_cP_(oRu2{ z37ppfWPzU6G(DnaYk$4J5l{JS)i{>Ku35aLxfCNP|2s;qJBbDlI03T>@zLBh9-DU2 zJSFwA29DCR^1*;FWfTN%xAKL}KbIR-n^kZRy8^W2Rjj;uFLI zTO5^Nd%Y?O5OAA{u}qt=5|##?~36`^cuPN6DstN@)f#bap&9erfvsEN*x2`^+QgS>SPQDv(eAZxFFdgR#QxT`tnh zQ#0@H=f4`>Id{Ts3FE}y&^d=)<7(icf8PY+G@`?0saAi95t@tXk9Yx#U>+~QUV7SG zEk6o(ah9$t1)52RF+L4;D0!S~RAC;+k7!mk%#sld$Ukb>M+y{;c>6S|ovcHZ$lCc4&D7S2nrB2uv>|kzCz`@=>`j&zOZi3AAz(+A*~EF*PrZLPLk5>4CM8qwCkURiq3G%kA|bOY%LUzB3&hvX^z+H&&x z{jGspuTB5xy!Jh;S?;$}L@LZg7x2K~fGgTbY-3YH%b=~?cPhYkLRGjX(xA^*oIT6@ zZc}N{GIPiN+dWc71$(|&ok~P3gjg_b+U(wq^K)z`BlZ=i?cRzx;gpES!Q9l*Mwfl4%J@`5#}WTBq(xLY-Ex0Zj|JU-US5cdH% zgGCC8(^=h`ep0B10;hHF4-THxc<{z*r0?d*B9f-ve}_py?9XB#%_u|cyF ziiat@SDmC6DV-6r;H(w0snOdSGg@~SvpR=S&{JDV-f-Nt zAND+Fsj^cm52%I}*}t8M73W+Vqb96DV!^ToE);t6XP?@DuO78uYb&_5s9NZ6lFRZP zQRYwJB$<;YzSYtG@*Z9*Hos<{nB9+e=3zxkpJnPw){CqcJBXc6{XI&fi!*Pc?uVPF zs5m(uXGy1r80PNe>p=JRpU}9~Sk{-5#D`htK>>yEvg(l3e&lin}wcS$1a;-l`-0Q-WHM804%Z zYLWy}|6Tg#H8ys&nQxojyu$aaMs?j80OdVn$6X#&O)aR|<}|#L-?I-XGeFIWU!sK9 zwSPbFX6+}-2n*EuL$Bmk4Gg=D;}pck)U{0L@a~AxL6K;e?q}icEpdLVDVI zCHjMAB?!qbhHOAds?P&Cs_dk&TpL|mzQ%E_xN#lE7UZ^H9*0QHTNjf%GusP*q-bkv z-oSi#a^5jF7?yOEMZZeU(4f^r+vu;}s271jm!jHbKh2d!Nsfp@aJ1Z--lBSJneQGDaa_304 z>~Ka+)YI0U;58oPbjG4F&JqzT2C^n`f_3_UolB_{7fTe{BQs z9Q~Y=hanXafbtcIhXVF0%dV5~f{!c0-6V~#&64RMDGVM%OuHfd5HH#$RaFB^$!Y}F z>{e4aBDOdw_OJj)M)RDyL$A7u#^$eu4NkNo%oY8-wqb;Z@|Q&5!oy8zwd{;PqS~{! z9ML7p^Z|Ghtp}j)31Zz>GjHYR%1bzho1?s5Q;uT}NDUORzV;&4rzzujT%)lK_wAOkas>c#>AB;CLyxgPz8%gd5RZ9APhYM(a{fz|ZTR=q6d<{Nj z=RH&(8r;2FuB6hfr)REQG~@8_cqM={c%$F#uk>Sd@7RC^+?Lhxb-3^f_^a(O_I~;( z2O|b{D!JrL(N{%MgUQ;nOCpRRjWrcjK2C+1a2Y7x5d~F?6CLtAxK=!R&mMeUzct>u zlz8}j7&3$zk{9p@8+pHa5UQn`nL5_KJ8zxLAc+FAK#5X@PsmwCFODyEDn<#P?2{=T zl_yv<6Jd%|E3K$ouU`pC@&Ho*c@3~H1b z7aI+V_5OT>V>uI=Ma2#a9v(%4EdoF4>Y$S<0Q*?|22?u!`d{|8|5fAdAM zlIrS`YX9krn3(=SpZ}vT`ln8)8R^;a=^0t+{`n%N|DV1{;GZZG|7VC)|H&YO|KLBS z|16KyKgIK3(awMRod3`{|6I<0N}T^y-TVi#`JdDc!~gJ5{=2$iWczQ-h5?_Rjh%`0 zzg_-M>V}<}>Hk&TSamqsmF)hZ#wJ7BbTiy!A#KU-s<)Q0+I$&uVW_v3vclTE&2pS= zcdqR5_&ML+uJm}msPV8+wRSLo^yEZSmIcRWVuM$p}7EI za;R@=MPhUSd;nnbD*-^s1NKV??Hd>xf{}znXm-7GYG7)$1C&db``v_;Yo%vsZfbS{ zD%;##>r~HB19;oo+6vmr(#FWr0!9A;EC=uhK!e8bn_2*aKv0%j`k{Q^+>HT!o9pc&tZ z*A|9Ewnoq(a^V}B9Bmx{*#HhNC46L@ei8B6kGv6V>KTASSJqO12e>qRoQiqpzWyRD zDJ(g^y>q{b_lv`4c;-RZQ@@Cb=e#tMrjU~GdF2Jgle`z180%k!8@=C{eZNr`y1_qz zKc&cfxrmt?o9k{rxdFdV&$kXy8yX9Q4h~Jfn_<48!`D(*($v>efRr@9VU|ZmAH1Wz zgApPrzv`vG3h%cbfN8(C>N8`*;|sufgZ~=O-ufS_uey@Azb4^fYo2b4jdgawXX@(e z08?4mR{%C9EW5vys zMR|!IT)r*_0<(#maY-ynUeLJga$CUMR|t#lCe-eXZDFaXc-_!`GO$Pc3hD^w_VJ}I zbFwR0HPgNgc6QZb36V` zMhBM*eE9?JR|!ppfx>XRO|q$EKd}g_3?xSu+9*UG`qrXo+mU7MqcRQ(J1SS=r!%a(mdT1WbrKyi z94zCUI-_-nRjy|WW7Q6WY&bTwX^EAYNX>bj&orO3()odnc>tx^qFWuQHZ((a_!{R{ z%~cjZZ+<>eMO--an?K__$|FuRZh0bb{2+DF&!~$#IhTVq&*bdLd^LPRZ-}D()TO^p zPfXGS%Ow_NS@3TAs>ovJc0+g}#u6U$<7{*5Uc{Q8U%(pCd~hQdU)LW;v6m73>--WCC#(v&2BxZHhs&2O3%YM}t#NR0&O14&M;ANj<)=0OWh*fIAf-spr1H{0+Dc z(~0o*3bkw(a=H?3CdtG=RENuLTpXvk9!-M>(Ec>2*!qDsIuTaS#l7G;;BmunZCcDe zo~bAxsC8JrAwjDtFWo(hc0TvzeA=5}|x??SAYO1Id&OC5Ux?A#~H%l5+@n z&Xr702ic|s5kZ>%7d9cJTLAbsVH9(khW%n0f{qtZ4mMvmz%MlVf+!7vB6IS~D=K<@ z;HiE7IKZxW_;RYQQMJ{gQkF19L5S<}@r`}Ih6Yz(jxK)XKH?>LY-=DMe|IP;x*{LP zRn|gP6^`~sc!=i(eX;NfDa`3~+ptz-c_1-wBdZ1=pa9lRLtTk~8o!dSipD^TRaNz! z$zf?S%~?Y7@;Yq!TE?J$OeZZM=9lt4l07+|BqAuB&C{`zcSN1{thx?%Vk8_wYL|R| z9rRLXZ9I)E;1_g3QdXqoiA)tXCRtL5bQUD?D(Qhp33X16Sm6rY7J!Gfv@mTjIk z!?Uw0Ms)~BXIzEpdX^GGHro`;66w{MaC(@xq@34Z<;1GzN2=Kq zr__k-X>0&SY26{X!d}A$KSfs81#_$yH1-3&f^IZQpiY%=In_Yu6;O3>q_v}k3{=&` z&Xlm`^jH!Gfi?>cUah*nXWW-FH9J|9Oh+U4q~k9cK9NLJEQ*N-X<(0dNKIsHM2E8@ zA4)zkF%gnS_HjyaT0Py8xU;nky1GCI8&=%F3FK?YD0RF!E>cagZN=F}hOR|D9Gc_H z6m$&#LNHq*NvREAQ6LeM1Jvj|9KfALOp5bPpNr*!%JB>7eYzbZ7c6vt@f~w!MlS)AkV{BBc?QSsgFHoR3Tq=~DXO^^7#Vy{Tt19M>xQ?W+ko&YNAz(lQ}61ystHd&{<;=> zmlVt7%O8en?!O~P6elQYko;fG+In22k1=T&?*Yb!oi3;UPG(YSxRE- z^4#d$enww}Es-6>-+BVEoC?hE%#ziR&|cAI7L~~gXC8(_S-+k&^%vCy)#$?(^ZBO) zv&0;*ADd!c9u3Nb`dgPaC_R8(-Q-;k=e3DQD#xdf5720Z(bVtkhBBp84Z}{49o=24 zE#sW%bV<4}q@{F_7kVzjhpVEpmr66nFrro20mM+%A$<`(V8r=>Xy_?5z-dutgZ5}| zjW#p;G3Y8gDQ&n$NG$Gf$muGjE2{GBZ+MJJ|`s@G{{9N6^_t{c8!S% zHtv6R6x6Ckug!fl!tjMjs-{GW(MMioT-%y*!%SaL^LwK#a0`jVcog2~=WbLMn#X*o z_mmbFB8vMK{WCqBkriaa`qMG}n+jZD73%&#!Ui<%;ngeUjayndi0O`&<+{QJ5QZKM zt4L-jc(qyDVLx+l3*2UEbz)P6IHka4zKcT4W^apX&ZcFWB!i#HZ`nr;Svq`^#~Eej zt#eLjs-+rYj~B^wQ!k}~>tM9wUMXSG=0w0*681XrdU(Tg*iUR>6=ggx=tpzVHSOJi z9ySR=w%D-2^-?LNSNkwOY2($2}JCO!`)A2SlsnK?f3Q?9!a=HHdIn^iySq z$k8KeY~{w4&s)23eHI*~S$C3%T)+NL2&|}iID>j-4VQKF#Avz*(uyW>O4v(Hu-EfXmW%eFZ`m{bXPfRRg@38tO zdTLRk;t;6Azd8qeiAG}n zB>1ohCDrAM8+nTHaH=4Ywwx&$!vf9Q>FubQ${@TKhpKsawaP$&R0V)LOM~+FXACQ_ zDl5@hebW~7=r0BpW`MUH6>l^&vf#CRfz|M5s@7&H`;U`yY_Qubs=aw=WCD}Q&B*k( z%4bWK-4{Zm7v)aXj!A0X)q-zW>-u%O0ddD^4wn`J8$965X~cvv*#9D?5F>(vi!e~= zHKq{usCys+p)IpN+Yn9jq|2lygzeX8w8#cfN@$1waUqZzG9$7Q2FS=widXkqoy+_! zFiWsfy`s1pgteXx>t$?IsUd4HBDW`SuiXI(?ys@2!Bf79_G;0*Kb7uQ-!fP#RQ$pP z?&_p-xa%Wvk6Sjp)SkeGLDbs04MFeA#-4H~z`ITA><%o0=169@BwJ3=Ei=036c zM4HX3i)}DR`JkF})%pt}5a-2ysROj1^#Iz6a5%o){ieACVeIkS^iaYESXA2Ta4smn z-qxW&)Nw{5(3vmFG|wBZQ-#68vVKo;44x6`Jp>X5$pm5p$ST1RRS5D8qjS{IYYE1y zlxb@VfWrsVUqU~A79erEOMi1WHR+h;7i1(~xqY5K@!J620@&Efig*%46_NWxm8F*K zLu-C+oCXH-i+wz9xCyRa`E{le0e7$d;iFSd?|G8CZ#(}SNQO~mDpu_n-3cve2|-QJ zcy*PPxH@uSn^%-QqceaAl#$ZxLD ze#K=Tb3z)!))1pTrk1!+$LL_3h#$CcFFm=Iqc$40|J>K+U;AtwquT+jj+G7&8f}&f zngEXPd@W*Z1U!7XHpIqwKInlP*apgL6r%pBgH(DOKkhn3`JUr;OO zI-F0>)#Lc~8594K0;beUi98I6>+za)rPAN+q0>G{fmr?8Or-kcCNSjMveBlotnL|S zZ8B@#*L;p!(m3x_n*N3q*sd>?59TqtZ0CMl=MW}t`1wMR?*r;H;$c1wpF;N7K%lCl zCY5$sNJrS*J70ui>r>lEv<%tA*ln`G_Kc``x=etu+Kp<<$ueeM)(vQs+N#All!ydN zFe60HXBA@I0+NsY^4Oi!{y8Yp{I1TY> z5ml}e2?WE|_#hZQ`Lk`?*3#b&k_3Hdjt@enj0PS{wkG5>F*{Vd9D5rFw?F><1L zZ4o9=BU8noOp>iFM|gHjx7h4Pd=7)Y;)k9n0}gMN?=F|rv~ZWimp{_YnU(^jz(E%f?z@&i?3k|*QrM6 zmixECV(2Nl`Be=Bhd+tXD&#kjFV;#4gLqJ;rHm^>km)H@Qm4!WXgGJIZ3@EAe?JgW z85wqs6%m;WGjNVk;muY1Iu@1kdjJ~`EC-0`# zaLMl=ti34Gci7v{zmr$}D}EH&L*Hz@c{wD9rwT3H!+WF0!-GsvF4Jw_zJ)AOl=9S5 z-KaUiCFXD3pCa}RS4kf&fO=QsR&BB3#@sh3_erdo+}2KfEe7uMiGM6k=pc9Grj zkBg#L*%8b1E*sz&hFEdn)dW31%C_54ioYu27Tv`V)$)Kqv z%&*eqW}h$*pZFe~=IZj&Yu>3~kQfaLG4GlKo?hByBIK;!eu;5V2rNxClISsA+shdZ zT@09YB^MH!2#c10U12kCiBCru70zLA^zB%QR-fMxHLIBpRlqdaY--Id`S0?GVD`oo zgBn1&{DfVH|9bcQ_(k{n+Pf(cQyRksL~a;oWNpjMzK1B$pF9~G>U+1!*C$mTrAY z5A`#YijOh9h9g4HT(DIhz#c}O)+std+!7xMc7Q=2++%^iXj1!9sK0`y%elD_S;&4z zxKit$S`f9gKGvg0P^LxNc=2rn&Ik1dn^~-d2`({8m~?wk@A0}DB8Li@|NJR?2+_zsXzsg23RFf{E@xWxvmnkVVPZOQ-~WDh)E_%ged zHQykY=&mB+%;8pX>?!KVyhRRNUZx#9vd=lEmnvu)#CM^^rOeohmMtS4qBFAlSBOH% zC6MQjL0L?vD^FR_rDu;LjmtTFUjt`}`^`~>6ib0~Y$)bgjcgx->4zEzL$h+Bs_64h zTh1u~Ix}vu3P?}%8sG1nS4)g;m%=nZ8{OEDxZI?-9D2)86K%+#A$BE|=~XWs zrht|N>y(wPentLjB#T5<1&`ukh)=ih`3|knS#v2N=4bW; zGkIb{iWLZPFfppA0I!3+xuQ=pq@~q1|d);ky*0-s(Gy&MK_0R=8q%IsKl%PpRMq?kyTb-7sLZ!839V z6f->Uc58CzHnrv}bcB^1Ff-dNOt#16`dP&~hVg(!%T!&Rd;^>I(-EBl3DDs#7nIut zW;dCrTvdU75;Mg2ka&}KWHXDT(rHGYw`a$!<=(R2g>Uv15%M_HhPt~0JvQz=e{J)G z9gWjUmp3`e(Q~j$lA%Bs76~Jqt4FA(MJ&`xn|<8t9G|GFEJ9sur0DjJP3vmFGp-p| zQ|Wy)0AA#Os-SrEp8JgGFF&}$uRo};@t^RgxxxK?=j~OIG8sM@M6S$g$&DTl z^tY6Ug8~=+P3DMN*Cvq#H0#mG48eRIKI4?OH29-(+W#b2$>B4}qc8P20_# zbP(^B?8~3ZxDA&EgL}5l!N(V+@^p4--C}E->|jk%=CxLpbqTF&@4D~F zA1>qLgDm77*A`TFQ<(GU&un@lkpWP>ln~5eE@A8G!HjAJ2_}2qg!W_^Al@O$t#HwCwG8jR?r(ORKPaqQM*wgfG5~(P~WA@Bfz2`62-DT5ItCL{-Ks>GZR%y zt{;3Q44Yk5mIM28a*yg3@UFyE5w5VKHnuFqPdKzjna zydXj7na>#0P$7HAV`2-R(A$>{(dsLIt+;_^r4WE~JSWqG*!@~s2h&6a-Rrm*MDIBS z9ceVpr~B6}C9W(yJ!3BYNvO3`_m@P$s3P+T?m0&RLyxBzIyN1rh0wdXvGB?Avgm$=i@~&mVY`h2w`Bni7TZI@S zS_+bPim@7ZA8gA?$#zSt8399u$htX&M=sg6b4o2%^EDXpUZ6YRFFl743+i$;jfo}0b2_?9m$cd0w z&=i7U4u$RxgOl8VRCw2l(rKf!Z}`B+q7Nvv5GnYBY|6iSv@ibNf%PN;l{pn~gvPdc z*_%Kb>!JVWn_qXvzd0j6)e7bJ$16k2ZJXzVlMG0dP`^ceKzv*kImt$=K zx(T^da&6-3%8}_OYISc(&xO5N0Xa3TPT`!LNSxi{v0a*|f%IB;wps_5)<@DpXv6|5 z$b!-AIgH28Y_|orOPwzwn$km|8ie3ook(<{%Hp}YETa%DkSjhoW~aqvpHJ(%bYW96 zA~yX%gSgUkc7gPmTuH%XsJdg@_W1_7=gKu51MZs>X}%)k)7zKyH6T1&6&xdJ7<}Mk z){;csA86ywXly64Po3M!5(z#O@Fgm3w1aVk;u=l)iujJ};Wfpfsj5DhrU;Ym1o4aU z5V(WwW>i2zYx6-3hu!T5S-H(G=Ev83#uy;r6GDy_q9h0D#Af2m8m)wr?)T2xCi#cM zW((aQJ&)?Sq}?puf)nlqENQ8Q+RbR%%b@4!STdViR_YB}Q_|fL=mJr58j<9y9Ox)9 zDve`-c2C$QXZOkG1^?Shg+rNO9dV?e6BOL3h|2lBcWG*SykC4W6~$P zeNTlY3aIL;Sky^ytzO#2iyQ-2ej6iXx&cPgI{9AhE$!5jnwsGW#OjPA>|h}erDn`3 zlyb0@NWCsWDve%-OFK?)Z&=T^swn%8owDkQ{>9rj)v0SRLV29l;wx`vsbCz$jOcQE zk?=Mn73X$SbbI zz#3&ItN2<%*B;!40V*=i$(NY1Y#wqD9WNA9A#+=k=>`l4vtY*}NZWUww3M_|?Us`4 zpUkk+%?>J+IygjnDzUk57*vFLzz z7~BE+=P;O#6CV5cCGi9P3Rpe7zQ>NkZ19wzQ}H)q2G(i%nc`N;r9ms1bm4f?mLzn- zb5~JL5#IP*^4-3(B&;8hL_V>i^H2qj4D}cJS~;)?NXBlBJ?_o;GQWQ*gBxQ0^(vMixo;BC%Rjg&IR)7c<`))ps&l+`2n=Lj$%TG>uJLqixzA z&G}hAs)lb0w#hgUrw`CM~MkB}XhsR35TPr;r&5_)4z!==%uuHE4vV6#$XY zp`e1hAVriJm7uMh){)D{te#me18yQTgG#}_=cI@WONp>WNho6rklzD&HoDHLtHr3^ zAs1P7k|yh-v+0_T0Jy2C{AQLmhzjKRKh1*(3Vb^>2`l$&^kc%1^#lpMp(@)lb-67^ zcapLH%Nq7RP**$L$~)=Fz9&*@Kxq{!aQ#LbCRCKbLY@cj&|6sbWD)BVKLc=4o}{7% zy5}g)@SDGqFA}@_ZzV)&aMY)0%i$&)Y6m%DasR3k*np6oSF8K1P-^-f|VWnR=mA zNtsEFzpX1>i#i3jQU8rG@LHK8YEin4e;`ZXdIy6~%8fw1cbJ$6+L7fTZOxCC;i>vj z{sqRg-Ak(OMVL*2({@<29zKlKnKwu6F&s<%C`ua{D7zvYh zEHT=QEEhllgskIUi-O|N4B>jij(pk);nZ$88^+(AuvzgTp6l7TH z@E?UiAmq6a%Qb-U$3UUfh_7*S+6<&xsyj7*GkP@ub{JWP>VRp+H5Pma_OMahuUFQf zWx(%kr!z4=$Y-rpV}834B|RNN_U73@%O!-jB!XW4c~5@z8Gb7G(x5C4t`6{=f7hq6 z5_MuAccwy?s_(BM$BbXyup3>8kow5HHtG{=fA|h^Pn<1*Fqjk z^2nPK4vji$hB1my0r}>Q0ii2uPLd;TvAGH>)J`e2 zRj*kM#tr0QUJQm8+4~PHJtyeKIcZ%-`z8{3+=y(2DF)#x7CshA%%XNrzv)PbNvs-X zau+0uemTRHUAKY!`v)z)2MUUU1RECN-xJe>N%{ruE8*2te$=j@ov{`{~AAn2%p190S=4{ z;%NJUNBi4TE74wt;k2iAVtYNZUKXX?_V}s*j;{exinY&!Ta#IT?3IDbZ+k0=pps*xj$W_gOKy~Ig%4vMO*yi38ESsi9eHVzftkDNKUbdOab?ch7KP>wcwS< z>SM^`sr?;{b^7Nvq;sn^5yd$EWkn*dG#{=~7RC%-PmJyP$Hz`t{dmfVJX#Y^S1twV zyIJ_2Oy5Pa**pU%%16y1nD&iUS(eAKqE%#bjLyAc?5{P&G}WtTho=RwDSj2PbK>;mWWT?JPjzF<@9kDT9^+E(<`4G9#O?eZ+lGQ0a@ks+Ro6k| zcLIl*%V*`On1g4F_V6o2!oAO~!-ya6X2Q1^gniv3IYy z?-N(D#T0vj+^kJ`O0`YnikXWvpMxczm|F%f!a%G!`v#_I=-#$;uo8upe+I!cLL^vu z5%S_D>Y3vXkgQJv@4{b~9Pa=!@D^^4622xsv<;a+`zHQ`u49>})#@V#aW#~07W=6O{!_S*vjrZB|ah5?*}|Anx73KAV?!vs8M z?3pvRZQHhO+r}B&wr$(CZQHhX{@tyu-KxK8Q@O}ZI_cyl>Hhk83nyr3rt&sq?O2;q zUDlgW`cdE?EyR;8I6ls&Psm_VmynRp{3Y9e6m)?JJ6lqyF z0qw*(Z};4!(-vsX+H?;nh6YNZE>^VUKoQDh&tl6suDkGX?1rK%wv4pJIj~QR26Ef` z+YwO(N8iyHj$68$dkO&c$6KFXx$(eM-Mi+*%c^G26;?j@J8J&>Cp5IhW>$u~3pHf2 z!ph2Qy1&d%m7&?y6Yk3e8@<;6-M-|aE=B3Q!fvT&J-Q_C2~Fdzi!4%>Kuj$C2%JHxjiK@DxIX3SgPqsj1 zZLGTSqfqv)Y0FtK+U~9|jSeyDFvgr7W77h0w5djv3yTKN<#2Yl!-4i1biVcGu47GZ zAI+QZ^XI3pj*G^2dOdr2?)9Bq_tQImNo;#ptf|}b@ZM+2X!`!emUGySdx%ddy>(&9 zw0(Z4X07sVg3FlpeWah4qF!2DD!v5rmWG$laH^a&q8-Zo9=*0}k9_rb ze?YItuc?B1YkDpuCyzKjbs^pWWI=uELmoPqyP6)94=Ez$F4OvnXW+C+Bv>{-$O4Zf zB6Le?Zh}7x*m1(fi8+NQT)L`1jrsieZzGvR&3Y5&yF^4*7MUGCn4)ZrgBr0GP2Cz1 zpBZ}>3|e2`vnU51=2UP^j%r^dC4^?jpqmBP*m1DK>XG10&`mb@it@joFxmv&!ITXa zOkiRk7;b#l$v|gv8w24EeLKwj6rba(kJB7z1uk$6P=auk4rZXb0&0U+S#lkwvl-pUSk znUiKND-eSf*|RA6M2owe?wNkEfeGZ_?#mp}>}$H|p$N0hj8>1CRo0;@mj8`jz<{fB z)m4m(My>iu^23AOSBuCXyX(xq^L~!KX#X)O4znrGyBT=Y`a+|lCwEQ+CWaX##h(>C zTQ(upt2L<(_%rtdsP>);H}NDq)dg|e&#{#`mOC}N2fU$ber=1=!1g;n(Azh0YNoEY zMLz>d#KeuLw5ZkVW)olIPH;P8;l4Ye!T&d%-`%G_Ou2)kL(4gR^@0{WT!E~9qT2il zIX2k&^~*?Va)^al2ZWkYMq;F071O5U?BZ?|Afn?tBGfVdEi&HCgVG(8-<_74uU+GZ zj7r$06U{|PNTq=YnF;KoK-r5~qa&}RTsLKGCj*O~PXUJjJ`BR;HINYI>93^3c!kcr z|3}hn_du`wzu+&64etT2_*X$U21(I!aEEr2?LX>fAUrGOkI@5MLfe%EV6M9)~W{y`}2c(U7Er{fv7y=*5pAT28wRwF5U49EQ_$H0A7 zAZSfS;i|$AYRgU*R`hY?NWZi0c;dsfrk}#=?}KBLKtI}J-|h+dC!H%4!>SSbOO>#S z0jx)n3M}1mEqYX17}>hP=x0Q9VR%Wpf^mccOLjXik4olHvi<8Mvvs~KzG(zm_0B74 zYQPEpMUlD|!kI1MUz)D+b&0~3W~rLv0YvPFt(P)#I^9-&-c;YruVev{P|6Kr7+&)t z%lea@SU1n5vGeu630Q^+y?*e$Gf2bor7gVe-BrdUBM6JF zNeQ<8kOEDdM>thJHNX*nM1Z>s9cpzC(9gt%{7zz2Ri&j_d=MB*R)dh5Q@RHfHdBX~ zg=Kgs(UM%T9n@R~fGQgK4>=;-B+dIctffPAbyps#7meqimgZr47}XYyC2x>vz)n%|A z`{RkF2f_297ONS7-$A99mh^q~>2rN)Gkb3GMP{n7x&S=GfDXiIXFbR8)+eg%F9Enz%FMsHGU)F^+hP zo=>HH;qGaUfl)p4I{jePV1H;iaTd|w9Z+*Wz$<-{r+MiRL-u)o4PzR#)mwyqPW^qS zuax&s?U1avrrVbj%q{^EE(y(U(al znPykip$4`zu4&td@()nX79jila1`X_25$7S4Nxud4}1(OQ@Ul!gAJB_!=`q*7<+nX z1$X^JPgBz|v_Es2n)oPSyb%U|Oep57{gH~Bb4ZZ7JB4#IxKo4R=f8z_;bUG-g^t!^ z<$_WxazX`3uT(9u-h*4#o=hm$*NpEgw%~ z*%G|?%bdCKezXsdDwS!h%o~z3^I+wzXU?8CjS}^2ukGLuLn=_Hd#Th-1Z|{olf5SQ z;w-Btt??l;yWw^sY0}_E2<~D^gN#P@ej~8;@+_fJSqZAwKuw&_8}W<>YQrY+5&ytE z1gXw}t|z?`RJ^;@l9j22ouws=3Yl(ku3wcX4SL;M6dx&$1G7McdwZ+DCjoJ$+vkUN`FXN$Ts@GJzDOGmSR#^g%(h^ua>kdC5oJWDTHcE8zTh+ zWJdhbEfm9@Yfbf5o^1_DDqF!(TJB++#9lA&BsR7#d!lI_uD`1d4E1`R*ASIOGD+1* zP)b|`YTIxV59y(P-3&uWLe^5EoZl(-D?>69_m5bdxEeAO%t(FB#>>HOqrYP@otl{i z$gJyrFitV;IJSuuC9b zsy%Ph>>Y5!Q0Q^>0|yK-muX6^P{iue^15`XB+PD4rw>yVIOyQLy3NVAkgg;#oD1UZ zBj9WFV@}&(kLWN53q#!a105htxkF4ZFgFarS#Y;J`!kM4@NvPjLtQTp#iP3)xwbtC z=+ph)J8q$wLG}n<&5h)HGU^`XuoS~`grL*@(UI_PpKo9bVRF`SWlD>ju1o^2laSON z9Z4##FhZO9_k4uDw*J-Bdm+%s$D!FVgP%JJU3A)*-RGex|GXzUqe~=Uvt1L<6(X%v zfHG@%(_ZR*HPp|~YkM6i*;SZ*LO>Qmv16Y}?JP!I4JVfhW$)#5L>9TnT&$1uLeQjQ z-#!RTob|a&gz5s6f&q>K>k7y9L zc)p%UuF3^Fj|evtC?-vw;Xqmts#ZI&2_#Lo>=ex+@r9FfQ=3y`BDnSRFLV~dyt0a% zBX{vCqU2TJBHD$935Hqq=d=*%g^ofQOGs8if)8nhCHETCFiJyG_UIlTE^nMI6Z6R) z+VRf2COs5Q^J(rc$(|T`n$4zQh@w};rf;!rf4Xe#(=_#N&9sG28TE3t+R0vcpKOmX zbej^PuQ=cA3&<~3;P;|8#ath7+|4G4Kx@c3`1`M?o_Y27Fe%@OJ~-aZ?&to{2FQ^b z$E9BUyE*K0chEfZYQ!4i;6?OpR{TXff{#N#7L?)h@2<*gp`jra2)4?Mrq+rTT5je&OHMF8o+}km1#QU)WHHnk+SVPvc=zA_Dc9^vs ze6s^urw|+78pd`X6nlGxqw!F?dRIU+WE4`fFtq^A&*#0Pvw4^TB_sATXkF5{j4k%g*_oBCDNup1QTh&{e$$$mx;f2(9jB+(TvGy&fTW;titt` z_g8Ko_PQE1kYfOx8@tr@)GBMquJ4}aqNF4oi+%a=f|1qmsVhc-hz$_86gaa4vegng zvGSwLF6sc1Fy0+>wJ*Fzk~Cb~g7pgOhk6|PA`#FIF03^7Ok-(^eDR~RHVc0lsQ8l| z2KvZvJZzJV3{S|5_cPqb<9u3VHuyzsuLDq2fYqV7DvGB{k`wk_E5`ABJt=sT%UYcT z4^^~|UkgEym%C6<3`o#&5oqxGASaheip*!~`ia?@m&Vpr?^Wa6BuR%yDX~6AkT`;J zYvXt_6eUNJa%Vo(gnr*dB7*;)!pN<@QbMF@K0r;R89^@woQtZW92Q~1VV-O3Tm$MG zHVRMd2-?XWU~t!el{(uFWe)Gf6VvQY27P0`DA?m*fcrZ6@33=VM@Bum1vBxUfukVX zMfE#gSeJtpqDn{VWb`^68EJE(uW*{EwVPu zl-fKjLue~tU{qD}ePXMdecU^7R(@(3PH%tL^b`l;a*IUnN!ggj?FZX&*5|*S8%oW) zE3?DJ|HYE-RN>XBo&A?P15`~?Sd6fDJg%CNX&Xh=Zdl6BE~Kc)`F&gdg+F)PyT_Gp zPAR{Mli)9uGl?I{~dvONZaf=8_Gl8hPril+#V;5 zb7U|Rn8_xSb-5IFow=7`BbW*w;zvrEb+P7gE=N<8{n)ldVw6Zm0s0{$;B{4k^@i`z zC6AN2NzEhr2IXo5R`WF6LeBeSHR(E!Zg%};7f&xwPJ!jvu$_(}Q{KTCDN-*4Bg?}}5u^6b1YU-FIJb zTqzuKMjSk^12{2=XW)texi4Cj90!8*7}n24mr3rlP;gz%fZYF3F$>hhJp?`NT>JEX z={~l+Q5qGjTIx-#P%3D?K5I8$9^Dc=wtU^LC+`TB$Mfq=F?}9{VW*)9bRaadAJ+d8S&7aoWvsB zgy&{JYP$fFYgKoVn|y%sRn~oe(*5PeOakA^`1UddGLsMt zCevd?w(=%N`B#fI!|E-!9|gh(=e%?)eQA!q5_=gX7E}MC`-BpjMP2wVhm9YvyRfaE zbar>uVky{9X=$+2fDK&|9n4Q$e`?*s$;eeu$s)I~-Uln!AJB;l4IHFV(Fref-F67x z{83kP2W-ZG1Ck^NqaoL=0y4uqn~4f+=mEn2+XlRF^MFjN<0yu2aN2sWu${zl02t@p zE zL7r=%m4oXLT!9?omfYUKn?bVpk5zxoq^al>p!GC;0#jI#XI%-<+=|eE+ zE3=dAEn+M-^_Y?kjO6MOsu)L5Vb{`X;}@v(fsHvpi6+3W4BG6j^Rlz=scj`x0rI@{ zCHokQM{&K86O@?AWa($;wph1P*tyj-Nt|y}mREAP$ZkqkwJr;p)qC;#d+#JUUj= zY-1m>6k4M*Sj`Qnit6KbM^t~|*KLL12>r@ierA~&k!%%A`59uY992^>Tqd~Jv+IQ( z+{{Mj(4zrEv2Sl^#1`h@rGy+=D3?b-s$Aw1kRhU@?vX}j{5C)a-YlAjeL~`>*)ciQpKRUgpxIbv1^Y>QWNn$C)&`qh2eU z$08!beL})9ha#jjP3k2PoN-x3ewh@k4va}70n-B%JkpFUeH)hCsAdvb`9&3(-D^|P zf{Enrt4?*&qhIuvH>pi>J7Z%MF&Q$gxFtP#5;YNlKm5rcX0a8d2a=!OUaCrMA+k~o@~5@g37I|fLxQM$q*D6mDyAj00W1KVXU={J=Xr;#6L z{moKDEUX4po1EeZf5obzgyXu3fYY)y;@8T04uJZoTN-7yU^Q?cYqyYrIHe4vo)+yh znJeY;hD}oLo2`xd@hCkn=zRpqBDD2X{tcv8UU(SB?3aF=Db%wTyG}O{c7Hq7<=3wM zS043A&SWQ0A#WPM=WyV29$(%QmjLTBK!)%^^+q*M+C4~dr>=@|dy?Q^rA3e?zD z+WMQfXB|G$tZ}Hea2jPQdffb+WS=0hDC!_)-dd{U# z1Z5VyMAULn4MIx~4D2;Y{ltGn4VR>T^d5?__6HpgTL9z!xI%m@s0ha^f@a`$4sG9R zJlo=yolVUw)h=~XZh6p$cQ&fs@AwxJxie57$Tkx2ki*a{A&u@`_mH=(3~+iQv(DP* zaR%5-Oj|+EsIDLSdw(i0Oa5Vnt2+5N*es^n6@DXzXa^wVLz5EDZPnHGyVfY$JG?oR zR-!UHtHVKs(3YlOqL+rN23vJ2yHrEC^{p`;#GolFx5ER6P43}gwg>>#ruEbtkNgy+ zqmi;;|9C0Ejm~H|ju!od2A2dA=v+ruZvE@8pNi{`E|?sL@k!C9a4Y%ineEpx zq>`wpT}}4iGcR{8fbzq+Gxl?ZQNc^`!s}o>ztFYlrD_ns0ACtCRxT(kC_8+=A8&kPc45ac!bM)ko`fgP9?Lt z29c(M#=^AapX))s@p5%n*p~p5@p!@eI5_Un!(z1zkw8pR)#%rqHkZ(&X|nS&`==JZ z;uQCn-w7m24sNWt1IGT}0yVFnm`F`b#ysj*+>c5C4Fz*7FTLi^qKapL6yu;Uv!tc_ zF{T}3WQPM#Xzw;J(bfEHclJgh)Qo-%)^?{Q<2{xF;QRauE9mI=T8G+S#O`TFohZLx zQSOvP4ZE&&7DL5n@)w7;AH{M%F=Sw!tV1nbZr*CX#N*r2H2V-{LloG2lmQzc_MLgrWRY+Qm6>7F5 z;oxj^4_5ynE(eCSf0#PVIbW1`ALhBZ8c?}dPjO9TXQ2Y~l!7-=jIqKD?5b}5*`2#& zS5paGbBsZTjK2a9XBK5W98^sIx;EfYdB&!;rXqkrfQ{Xh0iq8?W*f-S=IVId7fAtO z_Epb!PML@Go{fy$zhZLI$$+!F!g(+@ufBeyqZBkeN?MwcK1gUB1MRm;P}D5)vcW%& zto^gaPOcE>P1S0*!U62xneD72vR$hAuT9hMXbPmSOuhW7p7Sis2HE>DL}j8J7=?Xu z)EnR82iQ=W2RYR(eVZ^ix}Axua-NhR&J62(7cwg1dPf+UWgFrenvUyEwM9XgtNM>% zq#nSH=8KO&(QmCuyY!3d*iw&s-jsIoEib`8!*xJe^iQek~?ti4;2hSlVHZyxIV;c)sj?VabfG3}KZ~ z9d$5;%Trq3E?D|ev>sPUXN|5k5u~P{PfKXf@x>FX%gP}h>)@{9QFigyiN~fT^k8@@ z7_H5jVt}QiJ|d5ixr@~A#OVT(O)H~mQMm({0`6Tn{~7^>B+<_JMfBsq_lPNpS6;}( z+Fmc`5l;=&citnx#A0a>FP~o>V6c@>PNHEGTl(?(QS%ZUajG~op^Tk0wfTb|^nEu& z*&UeB%kX7G81XKDWfXLA=ITOY3a+KlRMIYcirJ`xjRv`VXzwRZD;s)Ba(nu-nLq+YzMbKQ0SUY-J3rgbD&>jhFZfwmg1dnNTrz9KH6%P zm5VkM_#}Y{gkRf8Z6IIkU>=xNz}tL_TbA=-H0IDbFy~su|HEYg01D~Sk|!VTpc!eHTw$IoyrVs#c;9K_v&>kqtU7Gi@szU<`8Sl#%JpUBZ^tmt09r0D1V-#!a zxh0;as75wi@%3QMBx@Awu$+*y_^p9c-H?mR+xj4m`W=#l8h8syt{){flh+^9T(0Z)9@ti614Y#q}xz-GZ-J}~LD2h}&ljFakUmp|9?E`8@f3(@5lB+m_ zB4&YJb6sCXBU7^)6k2M3vL=+T)I1tl${b6Uta$4|ViSwWEW9vo-N0Ih`wjWNPd7(- z#7cQ=qZcXF9%yGDTwcsS!=Kt^^PeIogn%$aT(^m*-modkY^m`FlIO`bJh#*A{IaXj zx3@+pybz)IMzmhh$_|a}>88A! z@norfOsR#qyun)hWvELaF%HL|LC65SKL5=iUEk+Z#!C+~dWmOYKDBl785t;OC;Egu zwa71HX*dKoUu>!yfB|sL6+BX=M%o+7Qfvvetof3ow(^GPk)_uc8KnoFk4Q-%mj=uRT&!1@SNqzk(`erIcQQS4ul4hjo3*gNkaf#LdmufEOnu#M z(cXBmTjp=ITQF~H2xH;9D$z+mT-x3&Ve&`h(Ja`oO)l7?EgIMkK88$Za()t~{Uby- z=r{llA}5XfCCBAlgi#@f&G4NSZV4k={ab=2B6uiup}%$<@Q5n~MIeb!cZk(p8?YG{ z!ImoG43PA&0moQ}Y0N3ZDw+7qzm!3>t5|0G!F$;BD69ZP>v{>*yKr+TAfPuk)l0pl zjr70l87aKkvQ6{fdS#0(b&<-)hRiiC2?e&JjPG!Jx0CS%7?0 z0)LZ`>ILpZO|{2ZVf#mM`*Pb7^v6sm3C4n6Q;B7=559l!X^OM58 z%9_rS02=-gD0E|FGc&kjF#b@5rxD){$v{OQNB+huo3x}`k`k>Ajiro4hxW93YRV_Mx<#lq zim{7N4%k&@yK3q|44NQIL4qYAK^!u0hXL8*O#`}PeGa{8tM`P($c@qo1z*$ z9Xs=XcitEn*ngcj#$OAKm4z9Po&NuF-dGvfA!!Bm?Zk}DP0gI}SlF2$X@!g(4UKJ_ z@Tlq886j!Ko%F5D4f$O~a%p8o3|F;n5{C}Etp>-wy?Z2&!lZg56z5aHW z4)6b=;+X!g=lWl%I0kw~#{WviG0?NI(c}GR`@Mjm?KOYY6qtl;K#- zaC$VdNhKaJVq>GN)Pn;c^)&Sqy)8^>P?;%BO&vW9?Mz*7bWAuCK!hgw*(G0u2Ec{@ z#+N(}vez#(EG;xGE)F6%Q*3a%Z((eHvp*KPkI4Sr(Q7MJ#Xw0-~_zQJ(+9`Rx+{7nO#{0?(zs*7W- z2Z8?`8T+47TxRIurSu;e7aP4lONmj zpQu;|`u7kFe9i}-QKQe8-*5TNc_6)Vv$K1+4-*lVpW0{J4$xiRs(01AAEO_|@Y5WW z|3$^^`2SR1>>QyL3I!!4F`Iq65`15wG%(h&HZ?MmD%ih;Xc3Yw{4jrRRGAsQ<=DUD zlKn912uc0OrZ76#*FWOT>lgm4bkcoayy1%9{0KupZTwns^bK|hb9HnLi1haL^$7O# z4sYM6T8OHoq`p}bJ)E~Q8sDNnFGha=Z~zcfn~XgXv-K(xk7pTp>-^Uo?9$iF1)0;0 zNp_RUt}(HVCitNg0|qEFJ(V-HT-iR;01UggCa}vsNDvCp05k_-2T z%GCX(-Z^u@5)kh;Z&!Js7Aev;TjQ2(h!mj?9clzN`(~R*ba$ikzGa;V6`xrdKx`{A zfHOqZAf@-Q-tm-ggy#Vg7q zbsq~rWpc|xFHAc!Rg$B;VsdB)ZNBH z!Eytmvo?I}vGrL1Zr+%M4I5Q_`o|qNuo%=y8g_hScAiHoWYEYf*b>z!!7?~|eCkkS z-2IP-&u#<)UH|o=DSe6uc8s)pq1ey$NDjMBz?jSf)f(-nY0R}&C+i(*G@Af^(XhCE z^J!1zjwC8m-FT+569XJ2O8_sl9m07^0miRnzUt5GT7zu~1DmU+A^+#Rv#MlP3`MpZ z1gDs>v)JmcKUrFjCd>TX2$Gf$L?tJsZd9fC9gHB_N~M>D=yn$+lNm~ow0L*wi#SyK z29lbD-Rq2DLOv)03LAMJ1HEa5lvr(yIgFjHBO*p$8VZ$84HP+pj5o?qu{gz*_v zssM>e9_k2e){X|lSvsP{#FC{jJy6>panXvMy>epGH{Fu5k~vsZmG`UR`;_s5?G=gC z1x2@9b>A}ZU+YhzCdBr=xAQE$tQQaFx@@iJyFI<3{D29xy}*HQ4O2yu+F!6UZB5w1 zPcRcyCc$cBGTHVG9E~&9xVF#FMY(viJpbtT+IR2N@h%M#5~Iau&!67o^HD~>GXUFt z_sOOQn_Rl`tUTDz9HWU5Vp_`sO1PWuk6)J|3qmD&53IlXmChUQ*Mi?6h zZZ^d+prnmd^uT^4-b=~0f=vs3JFf8&Qcd*Nk$yzA-YRgZC>#oS4zx4(^UI{qb377x zTSums%ZN)hC+iSuLsd@qh3DJ_s$?2(0vJ1`ra(eUw+mcRC{V1-(QbLvs->)ituzb- zWK5B;8Ji`1bg+I-|8$~Lm>`p=JF;h#x7GjH2r*WAn=m$>e&-uh3CB>rkszVNZ|iOa8JGZWV>+x>l2dm<8n9}<(B5t7I0S*2Vjp|p ztJ?3yHW4Zg@g@{M!Tu0}1BD%LeBwkt6NQ*D8m?EqGw9 z3EM6lW@7e+zo_glE6k4L+k4qh&IJy@i>3;2$Q_Q#3`Mynl@*I7Lw*s8Oudz|I{>2R zwj!3+p&nT6_Q;=*@Cbv0*I+{+6mV+@Q=xSReKCRZZM==l%l$122ijTW4{HwSHKmhU zJSO|AdIrTFvtWJnb&OW#W$gPw|II9Y za%s&>dJV+$bI1!cO$$|8^lt|v^h(^-u7)meFfsq}q7P%w1ow!OwKQo2-p2reLXYav z%IZeIOKCotS@k#sA0`$vskUJ#DgZ?+=WN02K4H}(nT0M?Puq}iLM(_6J#QLagd@hO z3b(*|!oGIdbLVDPc43evGu%Oung0=W8JF`??t2YrW};s?Uzqo`a&02Jba&WtA=JELxQY(l9%?z^h;isLd%nU&#B>owoOKd zJUb5(&BvNcVZ=QUlRV~N$#ijM{Hwo=9NLP?GQ>|H;H04!(mczVoW5w`B-H@R66A!C z#h3;&AJ$7u=n|I3t&y9u`P-j3*-odC&oX$^h%GdV zmlb5}s3v3Lz&^(g=d3(wPD`T(@#D?IBvz-b!Q@D)!f;4 zuO1}tH7rZt!x5@HjU#8&d!j-M248>D&70A585`-HC#fTy?@$Vc<5OC2dzEN(hQy#% z#$amgknt$&g$nZGv<8D9#oqt%@u>pUJcTFvFbDh9cPlx8Ku+w@KuJeZt~Pb&z-Po_ zaT*#@pG>v*XK=!!19CMe$HH!c33FqGyAXmuw}11OqLK@Rkvh*Alo{ z@a&K=u4b8=sQov5A}8&59H=9I4O>exdoZD9uW4Qw#-)+U6l?!!w=<6?oNCZa4x|cW zh__?BCd7p(lDcc&KvQgwuDwHepuB#z%Opd{Sq>2)v3k}$H57J7X zU1z<_*QnU~F0%hGBkJ-E)Vps;cGpQfBl-v~q7rw{{{XFZe#^E_&yyv`(KR4a?B$n9~N(4FIR~4D|98HCicbRz^sn>X?Fy~>G z&h(J)oxDeqiu}7XPL?)rojapcgdF1YixnO_&MF>y+yW!Tl{#+sh*kNvP%4jlC3x!m zI7Sv$Xs?sWlD7Ka$9z|?{0xf5m`c}A9VKrnX-p;hhuPi#iay(#WB`HtV?P;y-BR0{8?g46H{FCeTM^HZwA>~z7}N06 z(O1ZJ4l6tgjR?(#Bm}eBL3MnAY9CEN(}ygD6Oc0oE*VA$z8Pee*a}dp2~U=dAugkB z+}JZdMkYbD0JH5?*OOe#Rsj*h8!F?UNj)f)ZVfp}GAl?W{hE?ZFgYRTW+JSHMxGRi z#4G(sBs|TOF)QnX!N1zJK$%2!XgZ5#C8mh^mkfyxU7P7n_x^3E7=Qh?qV2htflX3L zmXivcK(7SU)tx#D44+6x82R&{=Ylo`MPI?OxPb{~eN6>nMqEeBl3HD%XsFr1)@)@F z90Kw&s1dTzXiTKM)-dB8KO};1=)kZkCiMN{DmX=e&3)o3-^26HrF{YrU{&*G3x(oD z#P|z+>!$$}sLk)|L=-3J=%x3_=4d6>H)Q^{q|ZwZ=HUOYou-W)OdA6yt(j%1OH>7{XW(WDg2<@44!D!%j(5RLzrUrEM zQew4JcO!vJg)g4~xz<3tJ?_38zA%zZ(G7iUY=ccTrX8K_r!fuCO}o;k4p%qvh_Ii<_S&@b$}x6gkn3ZBjs{EUPTpZ7OM zKs7flPn~Mwv$4sO?x7+JXea-^DPC3>Uq<^Qe3?BE&+4DP?AFsA7P41h5{h6eC!Jvf zbE}xo)P*g`aA@n#lBD|bs{NiWRqHKT1XVT$cY(TLY>*HXBg*M124Nx0b%fztl2%R zToFe$e@c%#Ay4ecvjDY4ci)w*!T1SGlX^(u*jXDdt&+l~plDUsj|_}S&C?`&b*nhh zbS?_^WVTq@13VDj;Qp}Kjp!1h`=tP%Ot4byVC;$eRteHSFkRs}pqhGeD;0B4OwtfC zzMC6_HE-bxECo^B?Cd)vh9ikXc(AiO4$uP;l%RfLqp*E+8)7=jfQw{7H+OWzAa^kT z-{2{FB3#=3I*FCJ38O+=yGHLXq}h3M+km18XC_k`fkCtu!g{pj^~Ob@7j}=1%jp@R zxHDwy!r<{Dpc($n9HHi6vm789;R?lYYcK)#~4J0C{cfUg;L6aYCGB!|7 z?-4__@ipNsflZZ<%NYo#Q+WKyr(E|E4Fn$7FoA!mE$7wSj@NUj3h0;;3sbtvwwIoXpnwWzUSk&=_yx{LM3`gbl*{j4gE4-SqpC>QGkWcf}7~dqbbJ`<&)b8#^ zs*CXTr{~RUCkx`irWX%O;+1-d=yuA%d?mtLtj2{)74CmxyE}O26OYXN_7z=7u%X0R zmgtKKSkSP6EO`u)t>4uJSzm;}{+R{uqPdxly19)S4-MT?y&?X=fY?t6wh&)-d6aN#wIQMJdmXJ0-Fy3TUfvvv5qEMAtELVS3@6pGSPOD{1^5&;RJNjX>54}RgPJzdY4Aa z(qG?@HVubmzx7V+`0^H2qhPYdXyNjZ2PtokP&PZ4`h7q1{=0r#%NwArav??7MAY>x z*p#;Y^I_P}n-TtnNqJW%43p!hMNRQV*RyEk6T3Ew^pF6H{!hnHjYa?n%!`^P0s)G} zY}3#7dl)!!|Kx-E1jT$53iv!}0G&Ij-$K1xqk}O$Mw`Dwo$rn*AgXdb8k5KgXOLut zjXU*72XD}`)O}`a6)e&CN7V}z4qush+M<;g=tgx%@iK*~7&3q5-Kv4P{HKe$OK)od zx7e=gq&g*iHDY#Tl_tT^%2Co_e^)VcLc_gptI*D5&^zd@-^)55*2VoeZH=_=;)^i( zeLah!bJ({i9UJ=BD~04 zv7G8x7oH&|)zs=EniKk?+k@sI`b#4bmLPD?Z7G7_yP}#DUN3JOrqvVh{glc~cT~(* z8lz08-R>rP7-E((Bc$J(2>_b_k&6h}t7azljTMsU_R|xcNg|AounXSHyKiP)vOhN* zxn_!aSNW3VdaIj0$Db8=^^*H+6v(;8XypPW!2bDuL)J~}7tleyFAdA<*Vk;RtC>r> z9xye|c6!Yf)b_u7bs6o$W469!<7-n+NRZB$I!5o2bOZyS-}keofRr*B41(tRz9ii* z%p3AVW)Z|v=T@z@-~`Td12tE*yxg(;7CkuSncISz@0>x#FT}uAO>s?{;GmjJctewn zN#D&rD&Q01XL*`gO4bC}LI;g|E)G}mY$^D5giopil!1Qgp@}^}KXgR=0VyPUD>Z>+ zlgJ*TLrg=AKoX7uw?w36liCsx$nMBuB#d#5yLf*=l{}NN>W<{l82^5WbMW_739QZ{ z5r-jXUiywR^KCj_&-BP%@p0J_eULHf5ZiWi%E1~7axMe}Zuv9-=JN0buFp7R(2nxI z%zCgn)u#2h`1Y^K^nq2hDKEiEeN;&~b)7|cR#bDNnrN6S@BoN&WVKpW`^jccNH@=0 zGy7PpKbFwLt$N-Pa@4jNk`^K8Gp6b#rTL)oXsq0-7RfsGEr|8H1_&)p_%KSd@9gPS zamqMHr2J!f^?Zys%D)b>IL;gz>n1b=4&bre>jt%|j@J_@&sWZPcfU4$GKk_@RC?l-E!L>^!Xu&9Jsq0*T5jV)thO_1-&e zx)L0ZQP~pDhT#$4J>;MF%s{!PWuDMlWQg5OOQUd+WJ$wyVVQcdn3dvNB2RJ>@#cu)nPb!_dYsMxvz*TtF_Tmp`CGfME5X`>~s>w%*DDrQtD! z%1~f$<11`@U>l~cz#NRq@uRAmGZQI0B(%4IRTj@A<898HOUH5Zb}?yA9M;+4TJ+vj zdfAi9GBgbE#w%D{zcSP~gMUdkph&$CxuCip4l+v}_7wyLPkanYu|RXJ-0&IHTC|lH zwuXAtxfS|Q+e9qH{fs~>B~KCB9~4IVOM%J7M`O2U@=-SbzSdtLy?H(w09B#Rte7Uz zn9{T-m^j0B^cp&?f_It_4u(1Ic^oxd;N`$#0+x-g#|HifZ}$`SlX^2@rXxJ6Wt|I^b%N#SCa|mqQX&||rQf*t`9w`iv-=Z%m}=`HVqb7N&`Dm?pZaY=^Luyo z*}3DVa{-{qqfWI{PS0DpRI57=ooeGgd-aGdg4azE@y~w^!nQDHkzq}c0)lwtiB2(> zs!L3LZON6R+bhB%4UsM~jb4uZF$-zn92aXF4exjnMe~q%oV-;d9&pS1`=*f$AZ)GX zi$nk)lsV}n5%l8Y-QAhR zg@;m?g+fR@ZvFTh#EvrV0(#feVk(G^G8K}bt+|p_`hX$f!cH~+i?gCR9VD@^ChsBL zhwyo&KYK^>kF`gw%3Ip@By=o3^o3efNj|NaqZ|xS_kl|R=>=mmDF+p|eD zxCi|8*)+rFSR2z)Fnqcs=#}u-!--6|3h!lxKIE{<)Zz!e-&A~%Si5wH52z=_Pv}<2 zd5w&r^W8&?iniPd(SVK!Ohc=!WTESSFvky%DZW*x?18`fD=rZ9;!ZSaoK<4>jM=RZ zwn*A6gwmqntE$_RhS8={mxOg@K*=JxiP--vk9*7zQSSNKuNGr*pP91t_$MXtn%hiM z4Gm3OY~tOwMU)p9v;r2y?H->HCA%dj|6eCe>+N_^JY>9QAY;6kKz!+fb#2gEQR&H| zvXYAB@)8Cz{#Q2>4Ns;Hj1(@60U;_AYC+I|lNB`4U`KP;0zaPqE#BXQukRwj-ssh1 z!?wGCdT^tERS<9>2?QWBVer-U3{rdvnxYroW2S-Ex1 zb>V`*uGY(_oPQOxS8yygRTo6F-lW_!B4z3e%VkeDjd0}@bva%z8mJwtyoA&f8eNT} zi%qZH4S7;xhibTROFmA~j?}c>PKfEZ%zG_*)xPm(Yri3Cnn?opGy9u1vB>eMg2S_L zG&>Q1hC1w&()mgvh0VE`iP0Qh@@~gLjUrP6%r%w+LzsuYRbl(-jf2D>qib@#*VX_Q zNNi>V>`hEH@QpNI-23yFO%WxYllm+t;Ua+^+vV6Ok)Z`JfF6oDD%O#kUIpN#)6ilb z0oar^k~1yKFK4q)sv(Y5J??_}e|VEbCpe3h<+EG>1wC|#hCWOrIRD&I!?D&ZQo12n zv&EMK&zH0?C?;*oO_7>7EF(K?eQy(kklx^>pIZG+t^V>FZp5JG7{KQEF3e24P8f`! z;>;oN5~PGn8}uJ|;iWihz^Jtr1lHJ&@ygKr=**h-@xG&ziCi{;GOo}Dx_RoXrI9*d zurffu?;MIy9D9Ur@wO0kwB7YK9 zH`M`Ty&?x!pRftMj2F^f;@_}IsaW&{qB?PeImatsP;SHk@0p!(z3)$grg7P_#ia&C zwX=Nmi3~!xD;8*-!@8q2p3yN0`7qFtL)%wko(WRIY^Vs<^}EIw(VIGYbcVl(1*cpR zN}z1nYtt05Mq1==-YRjfA^cISKbp2oxKs%02&@Bt0WXS#Ui$H&G~@MA5vwrtx6mKN zqQMkgEyhNlNb9UXB?qe4%zj4t^hS^i`BgFxrf++%fPt^R`~wvx zF!VK%U6~*k(2N~ZEnx5VU3{P_qh?qtjn!}JrnQC9nOQJAL}AkO@P)D)aUhHr<0*1P z4++8SAwzP7ds9n;p=0GGv2;S(e|La316d^R{%E@g*kw!~Cx@f9#a0kZRHi;|Tt$cq zA_WFxiW-@%d+A_gFWVtm#8)&hw!RM^jShB5S76NLIZZebRXADk_O^x3hYX4DAk)0n zNKl(^DXLA5L^8jkq_{ukd*HM(mliCAH{;bU7X>i~J|?RbQZ<#lHALz>s}8MyV#^j0 zZBV9g{Es*Xo$kq(t_EFE7^RNw(od1Ha7W-%b-RJZKgiNOV6}Zl+@5_VFby_h=*$Rt zza2!xFNnI?oMw2Prt*7?+d;g7x|0X;x)r1bHTX@*a7;)P{C2L0A8&Vc_*gC}p7}$S z-#$^QFgPYVVoPttnYHlSGJNqd$nfUYCFcPEi)iI4Y>zg>ABrRC-w;DnwavsCSuk2y zooiH0&6+3R=L^_qN|&DB4-}a2ljDqzDUj3E=`QI@?u;Dv2Y8*4UBMeKD;FxL!$ae1 zta-#9mB~4B@G9La(zIs&fchqO!C=XocPzYei6)4DOUB-~pk~h1oo2H2d{RoHMoS7@PrqLXRvnK&k_yrMvjy$*7vy9-vMX`K zx0Ncapb^P*FiJmSE4EG=uhUQ#yYnD-PZNp16Wcy5N7rD_xKzDwbw!?tI5J(56G}Q2n z4$ijd1w7!}bhL)C2iwb=xKleZx{PLhS&H6G`3ksp4{JrjYHD4h?`L|Ae<4}~>avqm zDkK3IhhxHl%}Ht2mIZY^pm*Ut74w|7Kf<-5(OfF zJ95up8rd#xl>TI;(_}4{5xEK5C>M;20a#x?OT5`qnCH8QveY&S(t=NxIJ1&sSUpL= zYs^+KyhIqtBs#CH*M<}x$Ar=P$8F;2K^UVoZD z_bvi;{rPS@a$hzJ*jMyAXgO~j3^+tNM!c!^EgNVu2VJ#S&vR4Z6DWLL{xlOXf0)%? zPJ59Fe-K8t$<1I-3c*B;`G`T_Jlos^mujR6)S!B}-+zg_5XE|uq*~n91ocNy%Y9VK zU;w+~8dlxmvExQ@_%*~szkIug5ZfCQQEhGKq!dt0$VDsB|Mj{X2)P(!_a1|npyDeM zHm8R)sKYL!dI9vvPb5#B-)n!mn^u`@+%H3l60aDCVPxM!Dn*YYdzgq^Ut=ZkOBr$I zA-V2SDA?B+g;>0h?T~tDzpRIAL*-I;6DWm^yfLb4r~2BfI|lnF!|L~>7%fsehzt_2H|DQ_O}>wKi#G*U~IZ^HFJ0@8!9;Tc$* z3CH$Z@R)=sD{?6VGZMu8rFT#%m=ON#3GotOFz#6}QOh_>mWCVl8TYcZ3#j^N_Bg==Mb?|1932*8^h#z@Y& zN|v*QKZ8y4Ub4baueqJ&hg*b>fo1&F*zNV@v#@-4aqe6Q8q*RJ`ng!{QK|z5U9JO~ z?1?w@z#le}ZnkKkP01r6C6)q-XH0C+GH8|CUxpZN%2S{<>o$Uo8;&rHiyDj`X{lGgQZWhRwU=%PCjhh85Av4aStCg@zHZsMjxH(ok#5* zMTTfvNfYxQf+LvERkVSpI&6@^sIBQ=_1VMXFq{LWgQiZ6HSJj9nQQUP zo8|Z{m;~x;!%M3*@J)M4MMp<~wxazX&5WMQVicvU&y#JpB_tTd0%rwgv{Z+V)YlJ#9mwgw+K^*ssK8CWBy;!&~B~1X^(QY~U1@xwu=9=bWIkZdP1{}EJZZL@y zRRv^~+-z(l(_Ujt*cfM~#I6$@_e7YZRG;<{FRPd9UtPMjaO65Cub6O>M?QK&0k1?rx?m1}eY;Lz+D* zmEOL|C!u^U$A6F%)FRF1GfHh*Bg?0~Mu-#AYv`t|2LX56$)g}c#kP411m|iW2g*7J zpDVYMCzklN(LnjyhP()#|IGL~ixQP_c8ZR-I;O;G1iZF*d;$wj9His(X0tD~0lFxY zu4-2arcK*xaXX&Ke_p3yxeXqnogk6n0fc;!0L~xo=@|Gy3#}F($=A^C8Bij=sgS^e z4F^lirf-N)loEG?LR%9GdDX{9^C7Nl`n1Y|@zwpFI35L-KO^{t($Wi!^?xD}+ZdqQ z)3Xnqb6V4ZT3lZe1^TqdMGu;4(<^tP$h!a9TTv=dmS;_)U&3xfQfD4n*LIAiO-C{9 zolH7c8z%7uv@?bgA&`LCs8>797a3<{FJ?8s!5uXyGR6Yyg71D7U9XNIER8?n;`pi5 z{LXUav(YeMSsh#^+=CLb1wb_Z{3a?^GPuH`ZIaz;#KR>@_5?Woomh1AJhj`NkeYAq zks@It&ou%hu0hEP+HzltLiXbY?zKzv#9DE-F?LqMhvJv@nLoQd*|GxhK(Rb&OEI1V z!LqaT)ZaaxRyTvRs-vYRjPO?Q97xo_LZJE7Shp^I8oVO+>i#~SQq8_1OPuOW9Wq~Z z0hgOAtAC&fACoUsk$K{DRtQ~A%vNdr+U7$4KF8&TmLq85)Rl`Za?b`aBbtyipKUQ0 zQhVfT^)lD~rrngt|2@dex9{3I4>uzvLeTwHg5t!bH?5Bo$0+K}pdd)2xflCQ%xnyI z+~1yY>U`TKN<8WcZvT>itp=MtYA!1tKPwayXLQvOX7h-}>@dF&nJq!kz%IZuXcXS? zr4n8#@4Xqc6$sOHWR&rQ($$)5`xLe1Xux4r z8arxD!+sB+&1hy;ZC@DbL?VCCNKolXOybrnbq6yLY(Z{Wl(w`gBU;~xwOru2XNxVH=688uz_pwxgYX0?`73L<7n(pkxiM&0oE!RmJfzugAoYz}-FC$NR$@A#Ql4H!S2bnOhR zXsm9#LRuVJ)g9$yQe3yUs6kScKd?4rxLIywO{I+=OmK1h&(b(g(xLoV#j(fDlesP&4}R zW5o$h<{-~+ENkBPWFV4GBaT_|-+yzff};FxDsSMM*h9{tHx$FR>n2fryjAw14J2x| zxkF#1Q@2rAk&eLYSgtOL}3v}%L#x?I|&Bf5XrojiP}6=}iW zT_Ng_vFebgPA4UkF=?X`i@Bh$i?U+^sVoZF3Qb{+vwUb-wTTuM-fyjPId7K&jB{yT zMJ48oo(4ofYNpuAC@Lr`?8Sx$G=|DUIsJg zHK4W!V^*P#3!Od#2i8Ik-pM z_v*@Igx1YBI||BSC_m?qbGOdvh7FcgAz;}w{IH&(;;}@7okSyi4V_df{~bZB(fP#f zEvw=w&|Y@$oo`9)KMZXnlgAiw@))eaGRE4tG+N&*Kyo{MM3zYw6}kv}&Gh7thp%l! zM@3LpAk-w<);u=o#)jD(6=tmVs#gbZ!8Dny3dkTW$*B3(G~dg_&{CUn$>F7f#wtp zX!^A{I)1N1%kbGjTDD(hL}rM8%iPek_rdRT+*oRd2myHQhY4>1lMCf2q7za$w1?_~ zy2D%lLK8-~o(GId8OaU_GW7b-6uPU-rq|AN!3cddCz67y3=|71Aw^*k)qsu|eUc;N z4=0ddZ>cBMtJcjKMy496J+u35m?S-YGriQJx7gbARTuzE`j~HS5hV8f@+4tXro!jH z)ZWqO%M)jS)eil?X<5LIbk=d?#2K!D zpm@W|3eTDsVJZiNhy0OXW5e$25#k*U%cU)^XRJIggC9jHer!&PTWC~rmW!?DLro-mb04Q8 zBHklvyG@`3jv4-qgC@#FFDpohk58l#5ELKD+Oe_i@|K4`_0m=78w1Lus41my&5{VRg8GoL_?H;#>%x;R<;?9 zPIs5y>4oi0EU7e>Bf)*iA~$Ht0!~1{2n5e=^E~4cn$#i)9QV;Bc3t&Cx-Ia&LxUDJ zEKYF&ilzI5b*Gb_lcv$xc!`jpTT1g(5HBTvCqkN|dhswQ_1=fcsJ)M`TH1Ti*J!8X zf$4|n4V-QTE@cUp6o{ixWjj7i^Fz?>I|dfQ@oxr3S)nKRfu_o5jlFn!C|f`=Bs-f| zLtL6!tyDdarYN?0^NIvaj}`F*jRY-l*1^Rtt6;1PS_}+MdTMucSJaSjZ@OL|rd6*Sd}18S4N`eoqrTc-9A7| zIeIg3m+332CBR^~6g);*e+5^}YZ1@VPICV)?^#sogn~ zKrfK=s|6^u8sI9J?*yE2dz=R?3V4`;sT{miE&{svk)u#TTgs6OTu;aOm?sqk7xx59 za+R{${IS`c|LIZg-t{l7Saky>x_F2D&_0*U^-j}dBlBG_hN~~-C-yJa!S+29ewWLhA)CiA1M z%h{&vAZ}D(=rn|mOE*k@8Pq=TMpVA=5eCMmR)u{tIzQzQ3i>LmDCvc<&j9lZfTV=cU1l}E zAEjZAV}N4ZAXMSHhxa}zZZj4p)wD&61(LG0Ev)LYCS^8oMJtu@R^5k7hYK;(gE$D} zY1$=+z~HlId9NY|-feh$K9T)o8l z=rYsk`vdx#`zbetQ%)j2!pi0y)T?6@$rw%24loUkokcq`1=!q4b&1@tq`NHgcCtm* zf%zXa^9`xa&BkzzP4!4khWu0h?f?oQe@T%$^Oz?mkrLGx3@m_F`07tIqx*ib*spzO zwipkGT;9=>&so&eKgduNF_! z`Hs^E)eQkP;PC^#4uzNt8(rUWI#Xim$Y||?qskgB8ZAa)TRY-gB+iSieP{Tq-fI%3 zm~DK1IMi_!!t~GxymAJ)gtBWl{~a0hT)l0Q$bl;TXm^0G&gZ`;;RO~V9b!YNzCF2e zd76kR`^S`>C?{eV$XEpoj`{Q(5|IowHw1~X|KKKF(@v)m8QAJSOPA5eE3H3CtLJoQ z=*r@H#dpmfh;r~=S1%&_kZUG!mt>TZ-2iI6osG{|=KM)6gIQAszSKbpS5}>Q&Szma z04So57yIc%gwE$XTY#UStt0cK{kNcm+mGhvF4gmgkY-PFX}M`A%l1CSOvx~qJBKQn z>jy%N17gCWNZGy?CG13;c7-p&#?N2Kg^+Vug?1lQ zg9-iM@i+HuqUC*n-r04_h|Yf!k2)94fzD>MZ344mkD4t#Pcn@W=!Aa)%ulYk3-yZo z*S%G7X8!6lRJYf>vDyQKtN@ROE6)ceig{4%QjFLzxbMy}HtKiCmfqDFGszW;Abnh5 zQz<7Hm43lIv7FBs1m{0Xi)<$9hGdd9-Q|>fDn3LLe=A;YzYJ=^-JCZnLy=eo?o`F` zEy#+zuojfJDg4@zn^ugbD)niKy086>YKR(0*FB(bec;&kCN*Css-7K3;nq9feW^@L zMPNo+XqvvCT`9(lxU~E+2|is$C#;@qrKZB#Y$~oc27guhV^jGQUt1QsU=E5lE|MI^ zSeCtUL@40+EPGy?Og@!gt}#vK$yi*g$*dtCksQRqAI6)#^T7p9B*c|460F|6%hxUF*a>NKOTL z6MF3@XF4fQ%Cm|tJ$%gBok45H#TLVBRvbZ2J(#TGh!HP2d~!wUO*Ybaj*d_wznHT2 zUQ-Z?9E%{v*l^j#1EhQQ;@Tt8f1sjx?MW{HUEs-zj$IbBC#+7yImH6s$fJ>x@;S+P zq>r3fH6E{dL))jCY}2T9I5CUW^n*fq|#NA26-a;|4B1UL63xR5ma zH|pBfGTECVcO4FWzAnpGDwEkv5XL1X(o$-4LXd@Af@;|feM+fQ`{)w+02Nzh=rwVg z90t6AJ%@+T)_`iJXK6)GQao+(njS<*dqQrdNuv$E9cOMW=jjC%Ux3GZ2>trmL2E<# zK%`pBbg%$A;_*1v*SL<_En#Bp2%|4KlMa%P8pC^qOfqZQHTd5@E?JYS54`dUaRUh z*@r2R9wHS4PvIB(_YqJD-1U;AEc^QY2pU6a%IsyNtFum$t+sarW}rv^D69bV z{#UwrC3eI-dYu(fB5-j}!+47Sd%%W;;&{A@mKL;LOmYl6{s$9KIy!hjY`ziw&p47W zGCRgXBD`f~{4kxvdoIwgC>K;@#hOu0;xT7tiOL`|pf(+exm>2@po`|T)>-A_lgf+$pU?s$_ z*A_6@pQertm7y$WaVb7uXq`P>K=$gihI(zo=iBe2r>m)6k_9evdJD#2P= zYT=R0d)xwT{y1H9+w8K8La%}1!~|-QkU96eb<0p3DrGXgNb#N%UKd{vhE<1&4dXMQ zrQ{eA=1|1z5|%Cq7`py!oO$H7x*EG<0>9mj^iyaMxXRlV3RWf~3XKi~5lLKa&${4B zyh2rp=9VEVv_%h0Pl};kUSr*lwB>wquE=HNfHqO8Cj(~f5ebQim93X>_D8~8rEBKR1;1@52A+<>`HeIXIMB!bs&GaLkP4!RuatP!nv!ZT8J>zCv2KKt_2W3_|SDl z&WGrC3K1M99&Ql~NZX0L+S|G5URg6b8QYrD4?d%JS8Q-pwB2W&$kgY@-^^Vy z9jTW?za3s#w2-LO^s7!i?Eohyr)6H8T_?)WCtLZ;FB;D%F=e*63trK&yrqwUSE1L% zN#Oa)PPHG=u;?O8iRxed)hb=LvA+5XrS_t;aPbB#)(o~Nn}wJQ^)UGg=MFhvv~64T zlDT!VG|UPK>Ct-rAx+l<0LKsn%>fl2|pXu^m5bfoi@s2LM;7 zcaj#F+OtCS&2-eZ3<>6bopu3(U+~3;qft&09fb^wm+jCG#_FlkxA?m3THj!`_sG+F znNqO^fiD6wE5++_hBCN^33_y)3#w=^crTWs8RMe0M2Pe!cH28W^SF#aFocN_tQA~n zL8Md#rI(u~$$27s6QU|gf&Dp6+|{OM37-zBX;oMbzd2G_!XLq!Wk7s1wlKR?2(s+d z4_bxo{vH42!K572UuH|c)E-ct5pV9@J1vf4i>P+b6L>R z|B%BvVMFnWh7G}q!RB(C$pzb(XNz5;WO%cV9D4{E(Mi|SuJO-Fv|!WWMAqCA*|D_) zT~Y4%oicfc11g^y4PfqE80KU%Ad=C4lj*q;jjI7-tNKx$(t9OjPL77!Pl=%w+XEL1SnZuN3W#hb1Za$$}HTrIT-SUfM{FRTAiw)$w=#D zCT`@2UBMO7qC%+j$f2&D<05HN61%J?xKgBQZ=Z`s2^tqd?;Ky1Xt*j1>P`U~mh7EF&$9fjEUP)@`G|0bWIb4U+^@|*%y@6Qv~>s4LZ z-?@bUWKQ~$2Q5B%ojg7`t~sw-wVKA7_8l1gW6)39<^O>x=l;#IThdHb=M8v2@{h@1 zs@%MVEycKOi}oWzBJg=^s`i}9v83N4nYk!y(LZfVtC9T^Da*N7Lk?9N)hYMpSWIo< z=`A!~BdLT1A^LuEBns7F_UnOxE~clUw=t}$bwMHo@1#-q&N4208Nqzs57^F@e{Cf_ z0vJZ^zG2EPb!H1MqcY9hb`eSP;6m)wnIhlX`iBhNP|%%z6RGDgeFEI8hU%?TthQ++ zWC>83*&C-MLI(8Bm@~U1O;|G@E}3mPVyV*!;w=KJ)NMGR)L+Y({Lw7b({L>WxFAx}4+ersEENEl^2s&&AulrcM0XmkXubYow0TZFj zvEv2;%m_o!AH;~)rW2(lSO2gVQFXg?4|dyC@x?}0OAH=5TlRBSrX3I}%*wsazUJLe zy5o5B68E}WE$L*E;*(vvb-dFwhg8US-n!Ly%cG9ZB+JP_Fw#B+KNqFm>uUW3n^uQM z?bEm>`!b=XMIfVHG$F#dC5gz{_FvuDy+w1+&$f-+ zNe|+)cvPmXH=Y3;_s3NlU&(W|`EAhJ@uFS`WxJcAe=%!j@kWU3K?Xmun*%+G2Ro8+ zul7k8Sgfu|Q%zvrSE7%>tEO}_1-^`?4uqZN;!Jk=aC+!%yF?x1&NlD+E@n!tb zgZSAOm|vol)h@Z0I2^!qfwGzi(IMT#%gA632jXyhXxwR{;4ZK=I{eF~TsxEHv6hVN zagaO#LjS7Y!szv@6p`f{vA{)uLe7X8@V&@oY*M>{SisEz0og|JM6E0S{q3wU6PIlz z9MaB+S0cS_E25;8zapJUEokhrWg{m(1O{T(AFd@Z%!p@E6oJ4^rQ#N|NOEW`Z?ap0 zga)0{=F5kY5hb^Q><(LCNY+f=Fz;|SK8~zFOPDx$q2k#&ujvqBEJrru+7%P!Ynl*^ zjpm9+#*aE8WDQvHyqva{iJm_# zjc(V0R*@^76=d&|xY#|>M8!8D0P6xZx7yCuVZ z{6c4>XG>OUjS+#7tkDPGgSB6+D2c$}{-YIU;*IhQxefuO3jb(YvlDF>A^23v82nP&j;;{@Sh|zOon~%SK^gQ4xSLpRXfrQm=b@yNYC)-q+OeBq?L#(`lvG z8!{g8^jOozc|xc$Ex_wD`Y^>n4N4+APhoEsjSTv!q98vgeZgDs#>8O56>iKa;$$m4 zbTj6lq@D1wj~cNC(`XS*l@8>+U7|hN0IuDgfBppnbb6nE5_==-S|;Y)g(nK-(v*F?sGOxK!Rmqcq0 zGg~n9;!xuhcn_K$?)Zwvkdi)gt=%`=3z8b^j$+2#Ij(7`H%lFNeFKg@heQ<4Cv2xH zA=fyRwev@eea=5pDW}Z%76foz74ek_8MgPD?akAeEpkS%BH@i#%qypdvds@*YjSXX zv0mY5tX|qW8~zOh;sBJEy|V0Xa4%mDuxE)Qtt!6ObvW8kOe(=)$?aOCA1wjVs6fji zN03KOAGm%K`ZDX|6}LZC7)S35BJkT~qn04jCNpJ^IH5KFlVG@$f#I z01*IuHzu96^#t1ZC>9)l(ec;}_;+hhIlXj9O_76(kc9+A+-=RU_)e;!8~HBz*pyx% zGnujSqR3|;n(6?MqqX88?#NJV!~2_jyu*;5C5iSpp)_mJ9ggw7g4Ev9vJ<{^v zNUK7wT?`xq?iO#tOc3)W8;gY2Wb!nE$}O2*ne?$Y=+ZbPo^NC;f=jz#!ajcl!Ix@5 zh>DC%{6Q(A&}A=vj|a@KHt85UFp#!&Hnz+TsHzwBybLT{ozw@E@3jXQ_g04eqY+`R2sxze4 z3%Uw*@h=zrAF-4BK*s3lA%(@^<`LrYLcxKLXV}MdSALL>M9oRosx|`}a`Tu)n+1g# z{Ry7QJAlF(f7VW`qc^R^_`O_3ohaxE#yh2OZo zmeW4aG6J~R->h~s^~cjIO^HaSOg6$ML&eW&<)GY`J-LRQpszwfAEXzus9$o)1m*gfp(+9ho!1jlDu!ES4Y97W9FYFt=u(o?cEg1 zKO+Qk1|4;6P`9pib(2BrI554Pg2l^G5f8o&dY1kc2Q>z&&G|fhRF~&TbQ!Tdux#j| zx=Ys@_WM(WJgAg#TS_p!CyLWxA8nYdY@jiS+z7S+Z_2?P=OJ9VN*^@#8=kt_XAYS-7b@PGoS zv(d}y$Cn;2DUfj5#s*-LsS5~wYEO;etlGm*p6fc~eLaP`Jpf$58xirL8Hv%BU9;ZH zN$5#{t!mWi6VzY8>bR7>VCW#;*(jKf3l)ZS!F}XFA!i)30X-1pnkm_kVR;bbouLUp zr<9v$*PF>A8_(8`DdM>2s#%?V(D`XT3Gd#_7=;><$$_if<#`VOo*zWdgmw_5@%eEf zvd8%q={d>8pu+Vb2Z&0`$_XHsyW6vSbUsjNe+;0=3*Z=nb{Y^Ee&TDT6ghLvL%(0e z!c|TLl{~~uzd4Io4%pvFdfSbb^S4wQej7eM|1n?+gIhZE@zZ-{9ZAkQ$>$`?wEKIM zdqrm+prspzqdn9DVFJpBQM^}N%FH9_LMOpAlwV7b)ifO{rvp0s;43eax;zXmTNU3! zp$oA&Vl&DeFEU>HyQ2zGBL}b2*j=_Q&}g;qdv=@9Xj@|s$kpSIW7cW+{ zmPQ0F@CvmqrUDdGZAxCU{<_R| zV92y8v39=LLUM^ei=qb5-|sI@94-b`C9dd=f#~}~^p&}LN8HRv9BOgoK}p86FFbod zsp9-BmES(!t+2ycs;>Ejq95-6*H<0JC2S%+8Lk_%V!~cCL`;1w&PSu@OfjdI{Fuc+ zHyFzSr6uu==&;AGh3)K%)#FV7r%>WqN{P~&1WTTXBHgZjoZY1IFfq2%xn6(`62Q^l zrB;em&pgo@s86%UUw6h{SMs`Tt8bHlPm!W*5#D8WXFP28MQLC{^X-}A0kn|?1ric! zld#_ApxVNk6!SrKZtei?#32?Plx@|_R1xAg{?&#|QTg4vcH=AWD^a~GDOtpx_VWG<5FnZh|CW%O}lDq*S2&QmVo4w_? zmp0SrN-M%o`vr{J`OdoC5Q@nhvdWN_`f3~~`Rzr`Hzk zWx}in(H}5vP6KTnugibMFn0XxbuudP2$o$HHBJpfd@aD8n2uNtPPi4;I&QHufR%08 zmqk93%rAPV)mppb1!(j!qD@*`8!U6GPM7>bgsxaG`AKt4UvEJ~Zeg%f6!rTx>D&wH zeA|zHJ#R_;s$-M39zn+mxr~St*>?Me7v_)w;CF7+X0|cURpZwR+eBkp_zUaN>o>Wi zjM|f)8N!1#OVmLQdHYWdr=kuxiI!CzdsBAR7%=?+U=FdKtv71gKiV1$fr!&KRpcdN zvi)O&a|aGZ1-Gg@ql&^OH2eu?iSsher?dj-P)+r^Cr2mEYdt?^)2a>wjnT;J#klmV?yDlYEe!W`+mU@Tq` ziAF7M3vVMr zAy?hgrI)7u@i|>?ATc!wM5uoChWXL1;>{6Y3|STgwQ51!=bMf1pP0ktY~e#R;`GO3 z?*KXFfY%YUpjKobJ(Dnzxi+hiuW&i5bs zYNM5kmKtfp$Msy=Xl+;ET=#}~ph4`ukimN`mi;&z){j{X7<{%ZySSu%Vw$o#*@{O} z4PR<%6hej?*CA<9V_YEM@@hUgqFwFs!WB%Ekue#fi z1}KAxw0>|~8@l1u($Dye&=1)mP(;}dj`D}Wf(jHKd|V$>ig@TPKjGIZhH?x(Dyh0v zOY&m`_>2Vuo`0nVg3=5apqvUhpqrTd(K6D{KXyZd!=0Yc#Z(I`bD%!r4Ry_Y^(fiU zQ1T)DfNOW?-Bek63}?h6*!i*r6ZgSR@C}=eO)e^y(p(897SVxcL5>f@EZ`>Xc;kh! z{LQWvv=o;A8%LMrfAL=bZ;q~nu%M!%+J8E_%*_9E+kd>PnIrx`4fj7lSwTTtH+(G` zMke-ujxHlBJ_9>5Gd>4BhYl2-z<*k~Z1n%#(Pd}-Z;tN2=lnl7x(xqkM_1ziv!qMs z@!u@n|DbdKo2AS8zkJ*Ot)4*$ zBVVuW)Mtm=Y_7yyOEdNl{fDG`ZOmv-+LCQMbGzmEce|o?$Jc|UBG;$m@>(S_OMPo+ zMr3&ra7cP~s&5EZ8BuNC*x*1Pn317wAU-jY-9Jj3`ubWpTAP21A2yCc9`p#n*c7mV zo`GR79$4fGhdY~knnv4CnRMxw-2Z}Av?Qe^MV9}+JYD?S%*@QZ%torfL@K_)U3^po zh%-Y#pbU)-KR-gK2u;NYBz{Urca}VmF}NdRV_@a~$>{=4XlD3bWeF_tGkKEbP4~j{ zBYScwP5K%*n7)DgWn+(xAJfq@k$Gz6Wu;ZbwFPuh%1Z|+sDPLon?WTde_{VUJ(&7l z#Wp^;y05)B^P~MuwvPIt!u*Hn)-I19?jiyIVPcwFS{MQ~hhc1O3N-pcw^ej8ec^xc zt(4Kh`7!!{9($+b#b4HgEB7zKZ|vQvVP$;~ZNbM+4(qNCtb`teBsa5#a%cdqz*y(_ zH5nRTfFb`!=|Z7A+5xVo2T1=Sn;TnM9KQd;e&fMYpcnQoL9D0$k|dt*Qc9WGOTovL z7ZgwGy-Y`0|1`YB>HoYOFVSm>mG zKYobLziU(L>bgVGd7!DV<8qSIp~t5BM?j8_4Bzj4_cGczIsC7*f6n`MHGdp`%o_Od z;o~5;HX6DiV{9qrO%)%&eEP_D0<^0BTNnbszhUyI=)j`M=c`+qoYqACB@aQW$v z?55d%T1#D&gb$H}fv~5gMG?a-YmInQ64J1}mhZSJOnBBnFZcQ>kJhuqhjzhqx=4IB zzbM6R3a4gg{b;CosGr2hL&&UR7#v-xk{F~I;D6SUFX@;2SrAKqy z&;W)Xhg}2HPB_F+KT3V)x(YQv{WR3!34K+>0EfZyE+QEj7-TQrti86$M zP3e3t&gZb{0ppF|v>rOh+Lhtd*ejZU1>LTOqs9!mqmdA648n9l=kOZs(-q>5S4}F3 z%bRQ$?iGO$yPNxG^HFUYqD4ovP)eJiDSaFGG~a6NIw~y+_Ipg7Uz@Zed`fWx3T@iJ zbwcV=!y{W_oRH$#vI?Mz{)o}KQg#NcQ0u+4_{79l=E+C(TUOqBI(UHUM@?`WP)qug z3g?_RQq`Ip5T#jI7E%P_tS+Io))=+wzU3J!P<6Gr1T^HY+i&?pa^5V%r(I_gPL!)> ziR0IY;5tnPgGtq^-kd#C_sA+edS{E7TN!Mu5r(z7O+BSNu1FV**_7*eaBDiG^w<%@v}EdezW zF61>?qBm!u?4_$}Da+ zl=R7lTSP{xi2pVs21$aXJo5(Qq}*>d^g!hK3EeNqnWu<*0#5gY_Wq`(N{nnIxc7F( z7MUYL$AHZ14rnVxs%}+wQQskjOg&WGa!_I5)$H7R{~v{21yq#X)>Z*2X^_SNM4Dj& z1`t8IyIW%D9J)bDLXZaOmM-a%ZfT^um5?s+AHVP3|9;o+{`a57S~F+QKIc5=+57Bw z7VCZ9gP+lYpr1Go$cRYqCWCT*V~pywh;s8YU~*40P$n2tpA#M|`V!n5u#(4Mg;$HeVxW1e6um(mxiS-Y-rvh^ zyG1oRf3PzNrK3z+IeTL#9Byxlr@GN^+bs^y-@CLTI}*PSR2k(k^nK0Zu&D&}11w9u zk|YkbV>Zx#)VWQ}b?0|8lFxCL4hfPvyZBV}VQz)Gtb?Cnx>kgKchrHn%BDyZxc*QLxeL;y}fzUXp)`GT$A`K$8a9q zD|Nr;6IfA0-1%WpO*Poyc9#QmLXPm<#>FR6M-vQ>_6bZ#cNb5Z@^9v2yt4GyEo|q% zxp{PY5DC$ zm_fVj+<795-@QK~eERV@15B3SQt2jrxjDHB>iX_HvV5n9HXO)S>Wz>;tKC#wGwN@y z_Lc>0JC^k8Cazc?dO3Vj`QomXG7wpAN(_)R=$3>uNCazydtdZCD3(BwJhUq)=rlch zA$S|CX#%#TS0{bJAhRh=Fhb8&_@dq08GByb$A2Wgt$CXy$P#<0S zvkvZIR>`@68e5+AK^JGbkkI26!9grl!3*;3oiKcPew4gmfN_k-k$U9zQMiNZ=#t6u zH;URr$-bJq%t(u+fJgZV90xFI;ChSG{?q$t$|&SHXLh6CQR!5kOOLJLv@;TPE}XTjMW*H|U44Q7*125os)bh@hG{vv@lEBM4(Km?ItVkd--na%RgcBYR|55(-0& z6D_Fvvg0DC1%3xqjtyL(9a@~D7*}Ude)vB0k(qP(-B?)>_Zo^PCKfFic*j!5VB{eX zOJ7cB~@S`F%9J*vv3j{YN(Dlxg^rN_VV+$n?J3`@h-9I(+m*TN3Dwkg-2F$EXszV z(K7)>9lQ@*srTN>pT0PTCB=W3Jr)ppV??kBDQS}X-~$b8)>%V*Dh9@pjO zM698p)&RwdUXb&NC1Tj^PBgXncE}gA_GX`n3iI~63Gt!aHy0>l32Q>P8g7DFM={hZ z$A?5}SaTaQ+CO-*QP5)p-+(D>3LYX+gT?U#c|TdFuIAL)MYV5Uv3F8>&P{tV1E~`E zN#W8ceIPL(CHIAky9-v^{ZJS70?#E%z$7*$(Tt|Do!Hwww<`m&&qfV{O&gCb&T5*$ zyV~)BouO579w`LHx;5re9Iv4WV3Xk{k6a>44-kKSiG7ih}KA|dPx^s@b<#2;!emO-%Uc2(@*@Ase`+zR1 z%%fvxUq0%Ywe752oRY=5ayCX@FA9GZ6znDt*vZGXzR_@_)*5YvV9&}BGPb2nsqV@) zw}W)oNOoi&)<%yQI2}Pmh0iPrJm(H4Uvj^MYH)z6UI-s^+PTU1m}=L{^1Rp9gV9)& zhP7n~nE|WeW$1AN(+&A&(Hb0A&fGYm=4`QA9>R=5#!|lB>T&Nc$Wld@HYE+8w+@Pa z1k=}{SL^Bv3w!K`NOqr&(jg!2>sQcO12srL3~1*vJ|G*m`>y&m%$@1;ZC(`BS(Y04 zvagjB$ziLdRUq0dW@6nXg}>4?QzP8e&^Y*E5;>$+Ta7jF@n1Q*^uRFVh60N9Jt2?7b$i*K$bAEiV zOI3ei$Rf-@u>jt0Z@;DB8O8kg^4f-zeIzz)tZ|gbVEm;%zmY&?QB>jNi@E4VB|+21 zRc#&f!U5qPJN3saQ}N6WJEx|7EIEaGtpfY@(l}gI&&^ixWabl+-+#eTl)zz?GEE6z zh-4=8L5VI-ql9vb4m^tEszYljemv7f8#m|q)%d5D4f6+w?!(yp1M6y&h+5XDzR>4$ z+XPhTpr8F3DovAhd41I(#}<1z6t)dm_GqyI#|S;wdRT0OUGZ!39-GV9=I~cDwUH+6 zQnZ`UvpnX?SMIBwp1v}ryj4H7<>=Hz@ORrhYU2ZS#l$ODe*4;%7x`I>PL z3SQRYw4%_px#$1JQ9Xz7VRP!eGE0Kwi1QT9`y%&b zZ*cq0je~v+g_+}ku0x~1JZZt*l38<6;X~}FuiY@{df^uBUSdD2x1^u;^IsKH6Zq!~ zMdD-Nzg5f1j-%7sT+f_)v3&d<hBi{Q5 z)R@TM`hv$-c}r}Z^pbOoUoR)5pMJjb4)fh7=vqil^Q(N*=@&V`N3zM2XIo}T{r<*8 zb5SQ?PZoSkJ0CF0zQ`|iAz!b+pt@|_pX1(D3GQ8e+kn=Chkx%GeOkq*gwz;3laRyE z7-i3)7R=3amf%lJ3$9D5VqBE3yJ^bHvK{iYBjBJ##TSweIzJX$O<;)STBrq@>kZq0 z@Z3oSH{`=Zu`}Dy556xACcbJAxefI8j>~3l+Xpjc^bP2HsZ#x{9a1tcYHe6vKc$AK z5DW{rnEAaM;=dUuqj~?dz%`G%I>+t})o|_!7hzufwNQ+aQLA0{F0SmDPIwM#N)XVY z?r2sldT(9Qx+d+mcr`>7uiw}TgAAp;R`JY{%okf5vkKT>t}NpDVxEPex9`2r>PC17 z3IQsZ$(TdFM*Kj+1FM;4+HRGEAkUXAtfU=kC0HEFIF~OW)MiC(+K^%wvrE7k<-mcj zP~W7D-P@JT^%-=~sk*wAzul_D!J_d|MKzU;XzuxHbw!1s(Ul@o`;1r#gJw*V{rX)W zkK|3TE@#)1uWmex;&ELpRUZ#5l$P=5>`x7Cg|6?*tddo)>{T70)4#Dp8Jm{S!+(%N zHvCj3Ic2F^&hdGkPu26l`b!;~vM2n9dhT>jSDZG%c@ds%l3i9obg~3lnccIj{dkHV zQku;QHN&U$x^aRm1M36_Cv-B5cRvpb!bG)~29~4_cnMvKHi{J#4)0x)H>u&&g3wXh zAc$_0)y;X(f{Eo@gi4EC@v^TQgt0o_A9wOU z;EJEm@{bgHSE<{yQHk)ql*pXj9qEuNT|W5mq3)(p(DZGAw!3U^tzWj#U@k|TpvXY+ z^~KG*fDj;!bZ0I_OxO@<{Cc#EVoXEVH(kxgup*tS4~-Y;U~q1AMd6|^e_{wJVXA)|Gi-ssVA$QpN# zGrUlVmn(FkmFlWYYj*U(;#Fw(zDrEDR@xxxUUUbdaNqg9yzwKvo-HG@?wzP;xsZod zrr(U^ry6&>Vm`QVBS=U5#?wX*yyCA(E>&b}=7?wRrF2pL%zq)8b__xNRMkBMuG{Q% zZc?*~(nQ#sp> zwVAQdh>XMAH=|jq4!b2`ntn6Wffz&M%Ry+>Eg1F3%uF&0;0U(o4!7E68-T=;7G=$Y zM$fFhow6<2($~?Z&3fVG28|5y?gJ^JRpm4h=oWfBHQ&t{hcF7gi>tz$epZ>xdvklv zZ`X;#BGe0GFI$4$jJQ*2gd~MbtZewt0^>0W`JF4?-e?dQE`7pY8PA~ zuf)&*wTC^Pgv+?ApvJonG08n_>vzDYY6({>x|eJVffeb#E0m|{=@G<6b7B5-weLQ_ zPHv;Y7NlMaG~+!%hhfx*jn@%`0tqVxOI_Pz!yd|*6LNADQTDgSy@l0;ffQh;MRk@7 zuyi&i59O2N^}`NhE|!VcnMDLpuBMy%7jklm5~32>KCcP;%s+_Co}!GIF*1QbceL8V zP4O9h8Q^W~<+p84na#Am&88cRb~F+e=7~u-w#;VlnpAvVU0OfoA^Lk5W3SAJCu3iU!vu^i@i;)Z; zIqp32;Im|lsFI0oCiOEii(B=w{MzwwrP?!l0r-*^zX~*dU~}>=_WB~6^akNY;c1he zL0Utw`VNvuK3?o5gu-B%y^C65NsNDWWGL$CQSz?w1zu{QZ78a={F{f|d^)!NyPNTu zg#$v~P&4IBzQ=PrW@3BH!SI~!T`$vfwlsXr?&=C=vRy2f0B8{f9b)r`#B>(vrf%TL z9S$01nij3z<5~ux>&!2sUuTrk55wjCoCFS*hy*oB+MgW=1^ZzXgFW?Dd;%@p5Q6j8 zY7JYt0zpw@0hYHp6o4{5ObnW#i%q$*m|KpRlGB(J{cy6~gq86rj8r_tLC~vmj;mwr%BzhSG}_ z5Nqt)P;Us_GxZqbR(TI>s}a=p;NUGjKF1kF)j)e)jN5*Xml?h@%eTq(dV-*SC5R9t z)o`-5+Oj_Jm2d0$+WgX^V(gR3^&ZF?K=6i~;A5?($ydDgfS<>*oteDdj|Jb7e%o$c zP7i2)@MRM+MA^30*O6yc1m_FLxeK_n6TCnWM>C)(Da9IVFA&=c9$eLcxK%rPnI7C};I zE>*GZJXP5BD3q!Z`&$8OHyvt6O zCZusxKbz>F&#gO>w62-1)CFL*Hs4_iKjRW%S(YH54jo@ zXg<=XmD!MD$-CpUp@2t>TD79xws?EP?cG{`n6A)SXYD18mV$R4L%NCeM4|6oM7xsq zg{P}ruwIJAD;&5zevIp_+bvxq_b~s5lT1$J5B0r(DUkmMywEV5XVtHbo|sKvcGoDC zl7!?b$;6hf;)7&acbfE1V{e=0xGv4f8}Z4q&(2u1OZp^Urx7%PtB8a73`Jpk#^3bZOkM=F@67_)bln)qa6Rh(4Ri z>+SqEqGD;ccKQVvrT5;1!Y$A*m}w`Mxu)}EEgJDC*5x@1wKdtOg&1^K2H=Ji0eu&g z;ae`B^)h(|)x-%&qic+82T0@l+QqZ^>L*0d{JkUZ6hHrL!f|@1TK~0KvP|;&z9m?y z$7zJtg}NwTE8mIEF}c<0Fal<#z=5YsLm&}_jp|jFhe1ehOH?$;2xFFfK7H!mmz01Z z8g?R{M<{KzT0OcZ&F>$DSV}sWV0?Q3b>00|nd=pUxWe3Ic(z&$k&D^gf8bV=J^PZ* z5;_ec_tRi%N`EdM;Yq=YDP%5L&3PYhYG}jk9U)Es5|QKGgaSnpDHOeg%P?O*5#5uc zT#b|Fb>mWB`#D?rkHgr!MuYRRiP%ogsQ!zgJ@Zc9dZK%-0_a|3&80TNIo62fg%^i7 z6@%IqKC`}WF#G4eOFxW7d}>s>vd4HcC7Cps*N*6ZWcqf0KiqjD@oghd;ls9|JeJ~j ziuIK;ZQ{{MzV`~^?BDGW+zS}BV6{t92n$>0$xzr|5a^(sVMAG?wYDbl*oX2dJP)G< zIJcm_;mB|jDOYG0ISuHkj5%+46B~@J>XxD0qbX2qDR$=`Ou<-9o)(xdR+!>}ms3l? z=UZgLUI}GP)&Z;SSQ?6^S&Y1Bu_N2l(;iu?Bg0&ilTHlL)tIG10n1l+nw>b_eP;n) z+kTtlko2$K2`MTg)jE%PKANQDH(7JLY2Kw3tZ5~FH;pY^yquz@=F&y*R>{@*1v@R2 zaL@>M-9JJZ?S@0Cjy9U{YxpGxJofGb+hyRDtun8^J@L+>u+<3`G@~>yvn+bG?I{m($QQke=CmBL9zB3A`>XWMp z9*(pf6uhR_m_BsDd3wULj52REiG6c6Bws!)`T-%%9wt)#YT3Q4KXlUiO4MlAxEW6* zv!H!RB%2qDDR}74bS-ymqMoJObOX(6L#ynLmvuEoGOO@@C%sf~Z4K(? z)7}?tDXG2hx`I)t?)N z5G+i%sVMFow=On&Wmi8^%Qy1b2ekC)apvp0Rs2vMoBN;z?xR#YC;8wL0_g>hIU7Y{ z!Mnr7)(}Z(q^YqO1?aD!&6`j8_#y-Ln^&KD&cS@G+#Rp)VkuPLV`l4&Ly1l5=}@GO#8ysVH&`IWW!t1HY$vk|pg*ja#kPzbeJws= zEHk;h&R3YO!+!4aRNF5DTrkd>QI}9QwpWMIV-SuJr{k-)7;2*ePi^9oPOjeeR`cuO zFw%VO{9>HoNtdRSA`y4pAd$}8n%kJRyTJu%O1=}rM2W}_N%Hx#t=XoVJJrJaYMkLd zpU{B>sgK#gQu8I0{ijsc0T|>a@xLJzFCK`oM!gvuvN;urX^VIc{Cnpyt^c=aW8rXLbev3;80w?0I9Ij^1zzLC^>tIuEc^ry0lsabDl85fF$1mXKu zw@3OBG&b+QeT?cz5oEo%S@xyudD-lV5thN5hVh|0&6U)baLGxa8j<35i>FK~#gCu; zIjkRk61G~KJWUTX`bb6Pn3b6FqBD^eWg-nkyF}@MJilG#IzW8jo;S(-&A@z(T24@n z#OOs3PMHqQdmB(2b-?};!naa*3tm8@T(6USvPQp#a@>Xw8y)K;h8e6mUBq9X-4z+& zmATY&2ZjadztpWc|FtRqQ{V9%z?{}o zk5^D3rf}gBo+f$H>?rK*I+JykZT}ELx$SNbyqDgVK29kwf{xsC581at!%Ap-N9}dR z4a(_6mtSNaI|h-ooz)z==;KYHQjk!0rx8h*NQ6inrJC6+BM(57tHl3uY(CpCSk{3f ztw*E6b=Ns!mS{SO}wsb>a5sWu%;F;_A^92w0 zT}pv!nO8I$ni<^6#2fQADv#D84j=rTKof15)rNG!w?&|Y`5i1sMSUU8^-_*13dbGS zQ&RI|6xw+5%=(wk4V_D+Du#NFuvCrH&QUHeL2n)rRfCCD!^1tg`>xFcR6Z@&)Oy_r z(Gu1dMJZ@s?x;~vEEI7SWH(^t*e)Gad1CFkoAz$!ua`t&9bfD?RLd}x8)f(Hug$NP zCS@b^oE(bWY_;><{1Z*;Ijo$i`Np~?Z{h8O2$q*qCamK0qm)53Wc}vzg_tAzNz`P{ zhKRDo5BFgqI_9x!reX|`a6PV5a*0QPARQe&JF=^YR&h zyQ~Qwa+Lz!Dy8(Hva;u5`X=I4em@FEJ#QM=WqXgw>b8}*5>Qu%Zed?BFB#gp!!q)k z*juI9c)&Cu*GWP>xx2=ln|~)@N9UO?=J3>$Z$t(TL?fuJ8pd5u?CnpFA*#ciy9_-U zxmg`=NX#K*A9xGmG-7!?s*EW4C1)`AN#(o)2_EkC?yfUxp^m1Wy-Dt1dujH>V=}LH zQDY)a%gPPLMh=q+Vl6 zs80g+U@w<*9gD2E4@MNEpf#27d49?CQ-93ClPkkmG#Uu%yvXRpMrW%gW|gU9C0zSa zXT^LuY0Qa!_D&x)Uv zkK?$XPET}_PgNgqah>(}aF81sidUtKd3Zek%>PXWt6NQjpUbmd)Fj4F1O3z2fPKXX zzSXJmQ;p*x+RGu0TY0}+*5TDyf~^oi!!jF_1ALVQ>V&#px{zKUA$%NtjTwGd9gLvy zlQG5byaT5%ZZ+dMJMB^zca!iKo-x8SCo_UGNUjvC{NH40Kzn;I&f6ALO%y_fpGVl* zir2MXek;2ij$pLvYi{_FeeWWkMWp?i{>cSSx`;jbhVeVO{*K88!C^GZv{i^r?qUks zRsPEbi_eA`dfOEjIPo@CR>_vE^mFIEl0l@EQa@;Wsiuq7CCj&{^WKK3Cj&(&uIE0w z!?bY<_DI_5k67!DV=XYvhxwbUfsfC;#9h)=UD%zhg1U0!o_dpnuY~Z&^a=NJUuh-a zZK7-|K2wL(`;wuTG&7*x7^+H{pv?ufM<5uVKMYQ@5zEb}S)K91r7Z{}($Q%hv1>8#~O!@3iBP(tp(C;c)3aJ*0(0=lRY>TeB+{-v^v7%)Ozv+kJ zJHNr9et3akbp9?!k^^9h3M`cLY3-FPDo_4SQc;7ic8KgmPId?+X8Bf#2>lsdFMVr8 zf8?t`Hw_%u=!afWvZ^?{!5}>ua|}FbA9a)`1wVowk5z_Pr)`}y8F+j9*^(cWUNC~5 zssq0#-nDFgONB~0D64w&g+Jg*K`lj|hW3WYfb@j|q5pyXT#bZ*ehv_%FsSbpF;4?@GzdwGGEj5#8B%)ger5hrQ{{K3cH+ zNx>jA0u|ff)A}tL!W*SkdLm=;TJp zJsR&1sH>%h%_P)JPdy=Y`nC|e3^NcE;()cI>Xh`?I$|ejTALZ zQ^Bk$g2d~g59DFGdPq@7p2Lp6HCjR9_R2ok|{AY(`#$jOdl5(1VQaP$(w{*2ErHUMo6l!emD~qU*!Yf z`%3p}!OQZr!*e8?>euUU&d6lg*prNITIG%&&cO;X`1e0Spb?jpTcG0 zt}E8lQx;}ZpFTdUkt#MF!Z}xYEyu(S+gyQdXK!T`Oypf&I28(i`rNCmJqFc0w6snB z{LYk=mraX9rotd3^|iWJ5LH&OSnUADz-HZtcA6BSLUgJkxht%)(n^YlLO&^m&%bOL zW*KExWBh~M=ldgWXc$Op=9&N1pU^4wXM8nZkE=stAp{ieD z%oHP`8AxsVQ3;>cg+u$a_{s<@ZFe_!6ZS-jW^L_d1uNE<6O3NYg35%H?uJvmXFE3m z>@LlV{-;cm*iWzGqdliq^koPx=lzsk`u1G7>$A`@b)Yd~oYkXiXXLh{+P*#HwoQGu z#dhj&=|q!~O7bX@K8Cu^X@hr}VUjD1d8^=5dx9=|2N)(_H>MUc?I=;#O@h84(P|a} zpCyWz#TYABdS<7(tN=;+}ojGkgNX6c4<147G#js`JwB2yZ(86J@O>Wq=KFrER_o-1jiheT@1KrK3j%23vv*ytoj$|~_0))_i>R!4%!d85AU6W5k>3Dx<{?bl)! zYtcMji>g)JVS{gkDTJNA?u<1j+gaFNt-B~Yl7*(vSL45s>Z!*Q2Pih2#&!`XObTRd zZyVlc(y)5asbq&CJs-{H7x<8Rc9~3$e1)%R$-5BYo7L?@B`iHkC|BXDwTPeRW4Rs@ zz12lXqfi40DigLRGS#MfI{Eyi%M`wM^lWs*>H-{?1L*!WMo%>@ltzt#dzm1MEDk=uISi)hxETK6oggQR{dqtgMxV zed42zn72|N{N(4huh2l?MyEb)V#bq-E@~Lnpgy~I`N4Zm*DnR*>Qml5-1u~%B9@^C zlJyBmEfYERAcsx?lTS~iY_A$J&$P?0zAz`!6 z)@YpYYW*jM+i7fK@@q0*1Irp-MKObI1{zuvkNf>cP`(DZZ_;0qRsnncfQGA6+vCA> zmLWc4Y2;2EGUI|`41zeD4q!-YZY6N>*n|)l$Mjj8a=x#GEGqn&GNpL=E1(^0;-u)& z3P+#=nR=RFb~daIbExa7eb>e}9=5eumn440v|UXZs+VW$mgO^cyvr48kGe_KUnP!} zNJV5-=u1&-j(ZzG-wQHt(Cc9YvqIc{;tp1#72b1U7mY7M@yj?ekZ-?$`vhZbJHnl8 z=HoBmox8Q|kJ?8G=JtoaV3J=s?;2dIiyV_MFZid5#3@I;E>CM$wOT5%E839xL?FhDwF~%no*cF-~1=Ut#n@Pgw9tncAh~khJE%6#_WELhrzclT#wjnf7=F^RPKg=|@QMz1d zRQaKG6a5ABT8t>#dp}lR&^_sMTH@|0_ESD*f$7gxD6`ejTLLa#DZ}bQrpeP{FGn)N z`C@-pT{66ue(REKJ(aoK-o(v>}d84U3Xp@yt(Me}d6{ zMAf_bw)&=kuN2DC+QCMTJvBhgG6U&W)Yr zy~lpym8+;`{s*}O>@T_5vLB#}}FWuOYs5NHfE0m6Z%Ky#qE zyS+Kw7H9#q2HFDcf%b5Og`Eiy0dxd90iA&^K-Yg$EBq2C{8g<02LFv3FsC&V9XhC+eH zb~ZMK|HSqWOpexuj^;oQIKmESXA1{9x!M7p%n@+pGVGiY$dO&(Kt~HVpd;J`xt>4R z1KL_39gXd*?Q8+uP@tg`PzWdt6ak6?#em{K37{lU3Mh@_L>4Fqlm{vR6@f~B@S_S; z1F8cxfSO1p3Q!xs3qvwy^uL^$Az8BkS^}+*l-VFjvqRG6@K?rwZa{aS2LQy&4HPo5 zKr-NH;RpbOpg@IxwZNP}wZF7@fQJ8SLAilQ2LIWQ3k-DrON$F=21nQ!+L{l*-2Qe8_zQv#W(%5or1 zUamj&IHGVzV}ymhlN|y8=KUksKyeF%qmziaA<}}(D_O&THn@;oG%QS<%#n*j%21Gb z0sLp|0e|GhUlZj&D~A3XJOvpoEg8)};r*!_LZbc)JP7hg(_eG`3mz1y4EkSqAP^Gl zKjHnEw*Lj*f8OE$4W71^w6L7qpR%U^#2(}yl>8s?z+A|k{7-m)YJmO=yuWIK{#Ahf z8@#`(u6|?hS3v%6_WljtKV1Ls;Qqpo%s~J@Kh_^<2KYnT_21zAy-NND?^i(n9Ud~< z{t{fIChVW={loSDhW9Iv{~ey9p&8r}StIS7ksARyu#APtuM+iZP5|hSyaIs!2nw=5 z|6v4k{(AfCenSe@ejioD(8vdoyq^_qKYuIw}+b`gJfv!2uGq-71QJpceb`RGPJe*g-F#LS+9_Pez)i6@krf?_^M79eZZ0mQyD8uwHV`)ynMuE10Neky zaY2wg|GSNo6ZD%O2*k|;Lhh%(`XOx)2y$QiX5;4iZ9JqOl=tuBfndnM{??ZlhRlG! z+Bi9(P#$EQf3rbgzs-R}4CDH3JWk}rA9;cQtuGA1`5PAG99*#9{Xm>x7&7DjZC((P zmfzNkoP!JWH$Mm`>~}v9n3wzawShPxT)*`Nfw*8izwyiog8Y465R#YQ*NgN*stn+a literal 0 HcmV?d00001