From 4065c286d297a16c0a8f1011bbb7163b6ec12ae4 Mon Sep 17 00:00:00 2001 From: wiebus Date: Sun, 6 Oct 2013 22:40:12 +0200 Subject: [PATCH] Symbols of LPC2104, LPC2105 and LPC2106 NXP Microcontroller added. --- ...17-10_HF-Radio_Catalog_DRAFT_19Aug2013.pdf | Bin 0 -> 189897 bytes ...ocontroller_Philips-NXP_RevA_06Oct2013.dcm | 33 ++ ...ocontroller_Philips-NXP_RevA_06Oct2013.lib | 445 ++++++++++++++++++ 3 files changed, 478 insertions(+) create mode 100644 library/contrib_symbols_dl1eic/Symbols_EN60617-10_HF-Radio_Catalog_DRAFT_19Aug2013.pdf create mode 100644 library/contrib_symbols_dl1eic/Symbols_Microcontroller_Philips-NXP_RevA_06Oct2013.dcm create mode 100644 library/contrib_symbols_dl1eic/Symbols_Microcontroller_Philips-NXP_RevA_06Oct2013.lib diff --git a/library/contrib_symbols_dl1eic/Symbols_EN60617-10_HF-Radio_Catalog_DRAFT_19Aug2013.pdf b/library/contrib_symbols_dl1eic/Symbols_EN60617-10_HF-Radio_Catalog_DRAFT_19Aug2013.pdf new file mode 100644 index 0000000000000000000000000000000000000000..02249287d7a00d3135b497e58a2473cf485520c5 GIT binary patch literal 189897 zcmV)uK$gEHP((&8F)lO;CF0}H(+V;mFd%PYY6?6&FGYBCM^kiRbY(m|FJUk|JTGHm zATcjPK_D?cK0ZD^J_==SWN%_>3Ns)uAa7!73Oqb7MrmwxWpXb@Y+-a|L}g=dWMwZ* zWo~D5Xdp5;F*Y+kK67+(Wnpa!c$~$(&8{`MjvYAPPjOzLk0U}MDdGtVFwldl9yWSl z*oJ||cLuH=^y^!TKZ{i4xvo%_8;y&bdG^W>MN$++QGfsavwgRt)Z_lz#{d51pT7RX zf7-tO+y67*er(&;zW(3O{`DWn|NrmL|M`D?ZQtA1fBBqW|NGZ}|JtR*|Av3nyM6uV zpU-i9U)%m=|LWKIUBEG?Xzy9^Ve*GU`|C|Z`Mx&JP>-^Gx_I`dJNBOG9pm6Lj zDePDMZhLn&e!d(~qJLh|*skwmzrV`y-P-{gTl?N`0xya5?_=wkhyus`y|+OFM6dJ0 z@77KO&g=RrL(fC*KVKi#yL}($+j>pj+S+$b^4GS1pKV{$KyCH=JhBQLS<*o!xt$v#o}@qKSED=+8qeU>vU!GQ%!I9eHPfhMZp9zRFhji068g93in z(Nx}~M;k_e_33x(?dy|DoZr{I8%6xC-&?8peO)C1Y;rI08Av~s>LC)*8d+w+JY5-X zCh>d0OiVp!mi-F-;P+Otg4o=yAp#%QQ7)ts8`+Q#Kt0O?QG@tIsq%epSx;fIQEb78 z&-?y9YM7DzUa+Ebzr};8Squut5WXHXlk>h=)k^x*>tH{YaK2vRtL5GA10UzE`Q+9)B*Fo>yyz z6iTIKxmIBi`*kJp0-;{RYFtY|YR#Gja?il|-TS0lSk~6Ea)BJZPu08ixq1Vkep4|@ z>ZD(&r`7horBTp+FBom@*BHRXDA8emz6dOMncDmJd5U}6#Pn-#-%YKwZRqTvdhH2# zFPxhXe=eAh3xhV@&Me-NZ*cigH=I}q2-}dZ>jDyZLAICrjgiazMIX)9_84aH`)qgg zegA;o;REFE$%FKAYQGJjYS;EMRw;=J7bn6o^Cq&|&nHRu4IkF(HbcHLAgp^C z3b)`-j1l?*CrV%7M59y3C*JX?vv3d2enzVGSTDx9oig=Ce-VKD3l{P&9dy0hf1+|X z$Y3xdDuovdNf*3@hwdZl+jb~W{e=I17f`5S?fanBC8EISeG+&}WN+6fqv6mGY_A;S zcl$mrsIq7T;2*pqdhMh25XDiv3xBm^O~7lrzx#D339o&Z-oGRw$Rr6sWoYioLW1tK z)io)t4TrCm$lcq}f4@_|I^p9c4ejaxN-L`Wqh10G$EHBJ6}XEGsYI@!oIRJhzPr&- zqSyC*g0oR=uW)x`l(>Zi#+(uWe^(h&|9p|r1YCC&0K>4dp|w;Qs}mbT&HFwBwP(J@ zjHD_bPPLB#=FIiFQpwqZmfaqssoWly8Vh!F^*yx~?56aZnhS^on%-OhFxyP+6_7U= zOId>jU2icUo6Ll~$zaw^MtVa6@HPsD1KD*x#a4@|yxGW%H(LUv*`f&BjdZ=;p1R8H zt@IBy?Q@L!_ql8TfC-&rjNfYuQ$8h_*cB*a5C{OqeT{%Bl~KaRUprSrdi| z+@3;BXt5F4WyJy7&#Y~C$)P%L*3cc0x}8~h8B*;5RA4aa3JXCpBC>j^ zP{)D}Yadj`?6}s^@I~UxFe=K!41ViiVyg<(s^RykbCQAF z65#+TNXVQ+r`PV^jNqc4*SE~RKOl{->v>CxE?0I-_-p(O=LwE=j0MXwkx?0h&Lq|A zkh>#x3Qb&+gc$tZcawU$44*)>F&U;01hPrh7N-*evYX&qY>^v{Er3Yk(`5qP<1QJf z;;2G1A3%MtllrRIUsmy$<_xPvGpHd0soNz;6+b8ZRHR@CRq1tiwB9SJMh7W7l{2Ke zc8P#PU2n)-L*jb6NkTU_;_)oy{e8E|NJbfWm}DdaxsGeiNUowY^k|lWAZCtMxDHJZ zWMDSf51_5?nc;yPJsaBqB(@J@8^}GWO4vbPO2&4b!`Swz*ywk)PNYw9PpRL5#iJ$@ zc%_SBZmo+D7z3e;VM$k;v~J&oGOBiutc;n?FQr~_)5LkZ;L1(udv-YK8&^e@p(DQe z3!&eNz2WCB(ow>l+`G2Z#sDBEEbpSVge!tQFlpb z0@*F#*u^z~L8LB#V)mvFtpNXLGVjM1@~(JG)6Yp z*Y;Z%4IKD1EC|Rr$3g=sHTgmSHMv6kK1&)i5)oHJ+q+~dL*?U>ZLwz@#k{8la{%gn zC2@|^ylF5$yvo_q00E@j`^5ig9+yqTZ|Dw4*&AQj>F1FxjC2z9@L|lF@~{z#L*GNoFI(o)`5DKSW6T}u zTEyIruI3v=xeULzs3n$$6&`2GG9uwGgHun-MX=sJk4JtBAU>22rwd%l??- zeNaeMN3+KoLM?fWMgI7{VqA$&!m^MDY-curvg=qKMlukYX3?@BLZ0LeP27)J9+1A@ zYaYK2pC+@3dU^Ct+H@Euxf!OSEAUe0WdhzD|PiX7^8X*eH1lE)!bu{e*gl*QJ#X|nkTfJ`r=@mNzJ zUX!=o2T;vTXTRBSEYfY7LaLop8CLBOxm)OU!+)PLOoVkmnXO?d5L0M21XREiaoC^lZ3K@QQ21voU( zS7dCbQZxh!Q(r{b$5?8mvC81fsxqfE5ChD)q))u=HnlKx{Gjt&u~+O|7U_rNj)a^( zZW1{+n^S~S8jxn5=(*0_a$59m=Wd}LJs=S}NtR-FBxQD3Rv#*J7Q<;jBzvchQx^hL z>kLHFB~e%U#+v6mWK}pf&x6o!#a{7q>i&YE4NUBRBy!r!&j5K%#)m6wd)qA8y0G5Tu>)?4sq3JNeQ8IY-twEM zX&)|h%Dz15{8H*AH*Q{<=?8M>yhQ%QG>nSO0No}Zbbc%Law64xNfwA1(7Yrh$*cMI zbD?f8vZWv`f(%4vew;yO1eq^Iv{WqAIc;XAt@21S`vfgkL3Z0fnpQ!4`W34nE+=Q; zfiQi}QcT>FG&A?C-V^n^r+W#woL=VTq%GxS(wuE+Ws!w^WpVd}aqwTcuAqwuKB-F! z4KtZv3ccc`eW#54Pt1>Ewa-2Y!@Aekn2t#Fn*Ac>l(Sg?B=Hx(rU}Mmz(^O~(;6%h z+L;#%qjJh=P19=+DUV2`da>i-K?C9X3aejP(?p7}M=Ax%I5o2qt6cs~XyeqxPS(au z=a*7T+;C0Pxrz0u^o^^+*h#(lLFl()ulTt~@q-QsVfJpj9o!|oBqKh7UA1fv4^R#+ zB)?V`w!R*D@FTKB<>b0zQx8y(Ii0pg47wh=ER4;=I7*(P1hO#kLijbO?E?9mWOT9( zq)vepKV7e&bEWLPn@HEpIirz8BsYFrrV6s z814hnRmqFtUwq@j+s2tJ{7mS#VoUs}5Sbs>PyR*|0>Mwx;Y{eaVy^2cC zaF2Rpv-!H@nYce(6dlE7=+p4#F+%pi} zu%i2-ZEZjzU(u#54#>t!M_w*)RLLl?O>Wzb78PBZVhCQwq-e3}58vXTRDV)jY0OFr zz0bcjI(0@Qszkh$SUGIlLAhl?U6E65>OMTm70<&KQErZGc*wj<%#(^0JL(>kv3sTq zVtbB3dz0M?x{LUAU@2R-&fOxgquN3^Rh~XEWq9bBlrfR{rO+#0s-~$-Ze>Pdup#As zdX`_m2~C`utmHR;A@p0ZSLUem4{!kRJI^0SE)bWrNS+fIOToI92|Y|~MY zO^A-YpIkRq7hZcpnY0ZN5D5LScv3mPp(+mBft7UeAoN?YC4P2a9w0xv9leQfLL1JH zfAbeYzZHAM&!t(EZ~G)Mh%~rZK@8Jn?ICE_ z=r80E!i1|?yJ?unD|ik%NA-=5BibxQ=iAyfk=KN zwNTY0N^YT3uC|Fzb)I@^J8Y3isKX0Ir#eraLL|L<_0b&d+09&x)TwM~AU8*|z$of( zjIVsr)JsLDBJB{QJ-ZNj48-M#PDN?isi={aPE~iE&xOaeHJG)b5BXsmc%6(PspW4Q z=r-T3WZ70W^Cv3b->;q4dgv4{%&t~FC=!(;<%S8}l2oge0l*OJ{!3wVNJJ z&0TvyvNJUNQM+{0ahN+1I$xFw=S$k`lB}P--Fb|@?Q$gN+w@#?F4i=UpGY^IOtG^! zJ#L+SFQJgbN`Nc4bfQy09%o*$wNFLTw$!2XKNt*aBk z-*s7}eArg4S7iEAOh=NKw;lqT5FLBMj0dCx6m6XxvOf^<=_3opKu6LX=)8K$6-=*4 z>L(jRQX;HsAf--&^Le6o^+9p~`Lf@R-;q zM6U{nVm{pt(&5ioKfQ3$&-)0&PYfnC_rEb;OJrO>b~rIb)29{H7y3 z;h74RN2Ugdr)9MAPe9IM6bmt&bcpJ;Gc0*(==Hf(pId4efRmPT)@daS;Mq%dwzl1R z_@CV1FyWka1d=n8c24n{GHZU|Fw_$Og12-^FPU9t;QKqg0e)6id?HFIYRf1rKB<#3c1XFVBn0fg&|aoSG~a39p#Nep+<+52*mr z;JM1-LOtcFbGj-(A1uo)st>Yd5N+19Bhj|q&uTX*Uv_UyE4Ds)?{5$rj#!rt-BsuEclfrE^vx8($9 zPhJ$KGiA$G2I|yKa)MLV*20VW>DB1VMCc`0ipiazO)tvoY1QN~kWhw}dL?>$jKM(U z#7wHZngP<);VjOcXN{kLLexsTb#*vt+QHH00Y6TohN8R0cu}a+;+(LjZ)3C~6pHp@ zA%>Fnnhq7{BPnTM`Enn|LwQNdQ951nnqUM!xA|WM~3i=dp<7n45cWYL@(yft* zp1mazn#n+XmCVfKEb{>V0iyGKHxn$uOmnV9Zp=;wvYpviMNNSm^aXAx=b_gwBozi? zhQeaB6p{{0Vg0m}q@VYef&&b5Z^U}TJ~wHzz85?jvgAF_TlUK2s; zL+{jzvso9zCEV0~a;UxK^3Em)x^h%rPjgNYmDggkbc@OXsDTkUEbQ7-!-n?cVGI zXgjih!v@+s5C+fDl7ZMVC`&~{8eKMg?*KF&3(w1${pAcUorv7ZB zf3#+^!vINtj!m10TsI%Sj~x* z?KInGyYX(9VNB%;gl8L5A!k^%zOwT&tj)JSCgZXV-2rH(FdLht6LD8Gw}mSbGFqu% z*XUA2R_!8^JC^Z%mO++?+h^itUs%&IcaSwvY|lRh=RWX2Y!hSBg>QZgT@VSTy;un5 zq(d8CJ+*N@8~m^wXd>)LXoIycX`_Be-F9uvb_6r8Xf!?JXT1ef3`weKx|M_a19JCl zf1jw_p_e;F-H%q^;i)bts)|p%IWOJHl$&#+^INGUZnW((JK9k>3iv z+9ujH{=Ou=ug555eo!<;`Hsp~b%*&S(dwXLz|xaEPa^&*f+PPwP$^ZO8%b0Qd3Pvn zOnbW=sz3F8Gy;D|FETmEtSGQV!x)OV+`#Y^2 z=Z{8w)OB0+&)0tJa=f6AX{L@C{=Ed*fv5+;k5~By~xVrE9!aeSnd~nKoBCe*F zhzr1&WA@!%RoP+LL*}OIUjPn_IUnptk^+c6Mx7&4(^psa;Xqp{V?HdmegM!XLhu}r z)^X@-Ngq5kBrew9Y#H&rK9Yn_7cc&av%s%xT6%LyleVV@i)Vn5g16G{(H<9v1QsY3yf{4Z^sJTg8XT zk3r}{l3kkZ906wkx-N_K-qhM~zwYI=jB1-MB`F&(X=q_7g7-SdP*O{-rY4=^_bpGi1Y7 z*sV`atT1+Lc#-N3rQ@+AJa$1Nd_|;Zoed(pzVPJCAGnUOr=PePCnPyWZz@BC4}08! z?o^|X;tO;ycKZXaWdu*|7mmHjH0DFkaqRjs1+@V#`;yV!ozgS!ca^XygZ0svNw zk2R~rap^qz93Amo;{ND*-9`X-ghHM*_TlHabXRt8f(IpfOG>-+Ds7HHN54ho4R>Rz zlJEx1FaaXY2?wd8>rJy^5*_Pm(YFVUB9|ma1LMJw77hcvi;Lm!L&rHU@Iqx}AESPW z!J{C9o1D-q5_Rq%1<$4-jv133eV}WzohhdDa@g)fZ`)77+VQ>A*afRyBan@1i{4?& zrYz{FSM@f=(V!cX&D^?^Az?=e_+>vf^L}od^u$kNRY(( z$DX^Te6gi&2^t70$oY9ltAuFhCV@kA%ZEDNsSvmS9W|-2X$M+q{n1a83PEFks1UbK zoXNEnJaagy5F6l=VZW*NZgLoite@Ma)6NAGI`<=c0}weRbwu7@5wV9awBn(FTOSmB;Tog?cInK5 zt;K$1F#*(uEn}_yWiFnJ$$sz zle{JBrVk;rtpK1Y*qWdr&du8!wP5I@Mbu5-;R%z$t2TYIs#%y<3P9pf{H)osY`46U zWg@?c4?H_5GF!hn=V`Jy~=Z@l7NsD30_t_?kwU}B+AW5!^bQ$ zMCxKEK^Q{fxzSYly~*36J62<45fa}Z913d~gf4MpNs3EvciS1+|0yn75U##GPuECY=~o%=fR_;j;%>LB9Jj3*E&+6L!SIhba9vfw#3ST zZ1_dS5w#{BJMpi(YferjY=3qn#J5G@Ba=L%3Zp@UU$B&pD)_tV0=0KuzYVTJwVH~5Z z>`*^41w}jwZOVE|)y~9K9K@W=m(G>nRvX@EAR99N$Q1p@KmdkzjJN<Cj|B{m}cx5+x=F-)S65SEAV;UTl134xJKPa}%QVhyS2_#AJ zOl(p{>3trOo*I4^&*OHCl1<`7wxZQVDn%x^5dxm|mek8q2zVmAAP%K4G|Z2EbuIZUj8;>e*7nEJlOq+{It@n{BJEzM1Z zn^W&R5aT|Me&rdGJfE~LvNt+>ZmS=8NO)U|vOoj})x!epQSH>;!#$l_4li*@gLt*D z0Nn1{lH>CmE5h;lC)mq%>YLD;jU$Xl@J{$GlS!%yGagYD6%0Q&X&m|ZNxv`T_IKq% zd45(a7<@x!*Jp|n8C;fG(xlXn0tH}C(S_^wgD&*$IMpJpLjMnBlG>>x?co^GeP*-5 zi-DVTI+qD&2agGPBV}#cQ$xzC{W?{J)R#o5-U_l~c|UVk!0vJ_Mlq0OD`m0qY?CsL z7Tc$169wIQxW?H8aSAlrCU585$!!5CG6QnA)!<4HPSR~*Tfc-+;kF>D_((#ny7@1- zt#<8`s~vJ7cG>o&9t|pY#4>|O$2Ak#r?)X8K9qyuZdMNZ_?;)BZFFEf))-xy--LN} zy)8Oh<*00K;f4q9XDhh&hpDuyJk05h=y#PgUg_rtjcIzFR8YeUP;G@}=Kqa^ve9DV(4_#KhhQ(&Q8gKu z)M3bui3#_7y`_VVExz=(J!Ef|W$dS{Oar+jb-9KG*fXtI?XcPd3I(|#zLKl7NoAPq=^l#320Qh#)6`&wL~lB=GLE{~<<6?xCZbz@ zSUsUv)#GY5u?31T)H>V3D>_~8J@eWzPgI2Mb&l~DJ8h#)&k?7C<7g#(0I@Gq#}=XVZoU3goln&AKr-rc!t^WyDa}gri$}_KKq{12}D) zDej3xP0hDJegZmet#il(F%Hok*h)WtObZxAt5Zz4W)E5DMzL0iDGS!in`wnlJTsVKovg;;?;F#Y~@BD_y zt8}=gf4!}KWS<-YtV9^EoIr*^#%!RSxsQC%aF{hk6BB8^ahSz$38-wGCh5jene`JQ zk5TN>$#No1g!U60?RMPpjXrK&^Kw~-J{)Ozuy{+Rb@SH8t-0wi=i_cqZQQr4PbF=X zO@rj6+KcSKy^;_G+nx3^HCP#r7>P#j?LZ{kg8hrSPx0eO^M_Mdh(eGsg<$1Lh+UV| z0xHL0+F-Fm_st~Ico<_pQuQA~*NU; zCXT64@<;`f@-4e(M8X)RD|h)B5E}C`)X#^M*$Y^Ew3u^9ufbtDeJvX@ZQ&RHKe+wR#?3u0sK7M;aY6>i6!DTQC^i{No6y-SPo`>H+7%{Mz2c=G! zhRe#ScQP3M>M7UKLRM0SJ=KuvvZw8%iRCpa8U8qE6Jjf~Sjg_A*<7qXMr~j43J5pv zV}h89!Q|_iNn@kInFpK?Au`EgA&8Ss!dmr7u@4=+Q!H$JwlYUn{6-W~Nq=~Ed(y_} zDLvEmC9SO05#EXgrBat^)rWB+OL9KQ{P^-&stM=N_Nhg$X8kr8wEL`6v0INYB?cW? zVG!3{w$xMfN=&WF31gA1OxltAJFo10KDZxtk^)!A&N^LThmIHbiHb5PmDiMj^U?q1 z_S`w93B=q;l-ha0t`g>~@WaXpg?1LsRkpHCy#`mzZI5oeLWZLx))&Q;>2~8AAMUWy z`f$xpLcbMz!_Qev7amFySu#PA@lK3IKdhi6gTvd+d+ri#_@=2_^PZ_xYDj}dN~Y|{ z5`%3HW3i;SO=hY~adRw=+iP+RVQYGGJp9*UOZ<33gLQ=+_&3qS&U3PZ2ch4JfgddL zT-$N{!@CVG*~L<159Do^8{kBqBQMF|{s%jbrCW1!dmJ|H>Fwz_GqNS%4OP6RGkSF* zYfo9@lozh9jByq_>wGNM&{7V5Sw@r}Z63bp^V|^94j-I)nNc~{fyGG6q+TB8L{h{dHvPk%cBexbMY&6Cb=rQUF(yO5GhSCw;9Ych>O zxR}eELCIh>F*O68%h>L0$|Fv}slVJX_a<8sQZWlu!aLv_?OQ7r_#pEmgs}@CSEVOg z6Nh)z9+5e0=B=Vwm)(b%Lw%HCi9>`K$(DZPdj7M>Hs5%i@&ys~7neQU-Q-Bul|;Zb z@>rY6$Ss$@g-mk!{nn1uvHUvxa4JBsN*O){gpTYQd8vQ+6a-E<>=w!a)6$6(qVZRh zdL#ReORbYBWBU{`uS=%vFRhu^TN>RSLWie5IrCNGPJ{OndP3W7env9+5_9JCeOh{uC$z7HEyTGjb%wtETPV> z8uvYFj1k4UDUq_iFYCWp8qqP2;`emuq?Y{0|e=)@r|pO`G@ z4Tl%*3fZ$|UN*ReA!0Z2J-OYkuU=)-L{QOcHcf;g=1Po1tnT$Z*88@`Eb8D$Vz}saPAl)oT4b$uvH7uFteaMtBxd0p}zK=z<4l*?x=3OjUq6qh?o-V|^<>tt& ziu*EO6QwQ=F(&xkPafg{7d7HVd8g z7!ijwby0?0pUMc!?YQ#v&XoJE&sSum%ZMC?+s7EK!3lZBp@uC_flvxJzZKjjaOpSt zqC^N*;3FOYH3M(Z4@zku=B*Afu-7*l2Mldf1PZ20JyNMR zI>ckUXAXt>V0D^e1HetpiJPMLizh?v7&xzqol@mk@iIHuKbo2p2;f3NUz6pDCxL^yd6`i^D&h4TGoe>QI8IGVN6(HlA-N=W(8F0kxii4 zlrb8->9rFX*=?p5CV=z)XGw7*5a~tHt@#bRBSMOjXEPtvhO+`mU)b+DDOE(@_6Zp+ zeDpQ@N3IG7AF;NN-Q=3`k|{r~%sc#zcM&xqT|=D4GnLGpHQ%`|$gRj0&!r=zXqvcE z(qb&F`Uzs8>+9_WA4=+!}1wi^L0KS3CM>E+Yw%8qg71=s`pITSdQJ`)9 zh+$FBoB)gJS?E>f$Fg2V=0}oMu2fantNfl`UX;k#`_)OMI8=#!+!?PW>wt^K=?w!&+Z**yQPj#9-5-w?%Fk(-)6-4avHmh*3nGdDquWB;R+-W_#>H zIrVNAAnL&LAjGE!v{%Y59y;<*9YL-T{$X$KHBQt;28FI@o7$Q~v$Q|Be249{eS?6_lT zG6Q>eH7TRvY5^p}s0|05*mbGk-0PrS*x4?MoL!CTW76W zPL!%=k~YSiCO2*6py5?I^PD1$pD#ZJyC#Ibg_&f)-ZRXK=qetwp7nm%9{yS z`sPXJw^Fatq&5Kyq3nUhq`*V3j0+Feo`M zc>E-Cf=adcld34)R6%u(z+Cc;NvF6raG#2u8$(imFl~E88gCesdjWDdj{NIc5*4IY zXZ>LZfu!@2a~zYfIdlXf6OU*$S1cqvIjbK?vF52n%V(Zy6 z0=X^Hc70jOIMiJChv~|LS643eMuUCREO%JSFi-K7j9*@oNdw{!4VvxQ(0uAx2v#O143;G~9mx1A#~m-zvp7~7 z7KilgVY4Due(4#&WL~%l2V*$B=c|J-aL|h%@zi_>K+2wAC~$7wB@iB08W1w zUa>HpB6rjBjdku#)EO*_(cl)twCm{OHI=IX%rwrvXHgg5hJ4u`RP@*g5xP2Dm`G zW|sw?Wo2{8Fv@PTSn3c@5KkG8jwg{P|J3oYbD8=rAjy3t9ac4O+WQD8u8F~pKxFc} zgQ1OqkjaI-)|p3WAZ?9esQ!!bFIiL$<*XNd>@7-wGvQt z%4&rqV`b6Ya8zi2&2!1b=+d|UQs!$+>S}XBnBJF5k~5Y-lMo&^aq5QX5#>nOBe&Bj zolEl>2{ChKsg*rxCRf^%M!C4%EX49XX%s8=q=j6zC(Xs;mb8${x1>>q*pe1vd2%j_ z`Ia)tZ6Mg&j}RyMqHcQzk`673zAk_6tm_tA9J{lZ{q~ zj>3^PsY6hQY#+Iry+igYY1DO!6N33Zwh#l4*i63<9c+_Ww_YlqdNIxe-jj>))bLg3j#G$7gTtbi3a)WzQ*(ABoKUE# zY5vHI?Gg?rWH&2dM*`%-p&1XZB;K>%lc(Q%9&Y^Rv@GdqOW>gnT#MEx*?q%VB5nKB z=tG1f38?6eUe59*DH4V`h6&w@w&BWj&(q(!T%bExIwc`-5=LYv~#ihTlAZ{fSz(m_I{~{ z&B!KxFZTf41qP$^ehdIp*3{MglW+&uW}e2)LgpP9l9RshOkJJ2gdMxdi2Cy=^(uzf zt5b~SABn5cdz0t6HLsjRhZ{d;(Puipm3qa^HQCOOEW{qUArK)Wxfu%dcsRcK`1zEj zK7-@9*q#^0gtbu2#23lbX#i3(h{Dcox3vyA^9=8~>7FuO))Z^vH-91YTd|i%M36QM z<3@z8W=f?VdSoagn}kZKiJN34_vGJ!=xFD?7=#eFgbiYTAj3h#iY^OAZ6GgDa%eB}*`oL_)ZLev}YhvP|IuAWTrB z?_+^dJuJ-|-`v@Uw4y1k2p51Hdv2{(Y@m%6#bbx1PROBlxe_j5{qNC5>;5pOmusqh z-!mC((3DV)=4I=yNh(?9%pLvI>{${D;mk1=(zD#u)+-^q>70G0YKWdHY8uOCL@(;h zeP%%S6cZulM+!bQXVXo#Fl5^Y(GDAI+_d$mj0oyb!=|}IE;+TG5%n_vPtyUCyXOam zka0*I4Y#=7d1OKD_dWrq?1Nt#SR}x|uN41a5`cDy9^Lh?Wc{}6n#Mvbvf>~{E~dM( zlALx4b<#yYEMVPujkg_?Ns8|_$g^#_zO7AD0Y822X*QF|g)UVf>Auts=C~1B{gsR# zu1QtN?H<{hgRe2xCn(J;Qj-H>(F6WO)m$rIfc zVnkI4io^~s-(Z0}rg+?&yE5+09N6t!H!cfj5~BIXDE4wiUV24%BRidxd=Kuoye7cw z4rg@92EMTFOY^tccJzzrS;$QEGIdD2eb;iBi6wjW5A+y!dCZugWsS=hd`QQbd_{Zy z=$iDFP3{$$eVUa2aOFL?@zwbtjFoM%)39!=y^CK?#kwiO?H$FC>|C4jz^^DQbmr^BnJ26F_OJnpx0AHsU=2OIj2KjkR_o(m;9rm^Td=aSfrsN+0cger?Sup^&oT2+5oRCj^-!2l#MK|(d zv(g_hj02N+gIqG!>9@T;!6KJM8zky?VX@xZ9;0x^Zr_pjki`}LKbIeu37uJf2jJiq zX{d^X29}$tp+r+ps$oALlIBT=GmT%$ykVruBA36K@R*4 z8dMOH>Dh)7QPYrK<(xG%6Zxgg3r_A`Li~`1fKy!~0!tJkYR;}_EPd2_0V?q1n*ML>7Gu?%~V5bQE&d{TYZCS)jnE5|)$j{YqvPkC=7NqNe}=1C|V z0_-!enZ2o`A4JYI_hJHmStQFiYouzte^{>zd2yrT)UF+t-i0`HAO=qh;>f+$-Derh7Ga zdSC8et;qE)?ZT$S>C%>5_N_P1Wwi&Q(s~ge7@Ve$9A2mQdgJO7{gaG45VCopkbl85 z?3h~|B{g>-E2{T0?&{>3w|-CT1zlwi2;MMA-tI~0w_>mODN;O3<;aRuUdGKB$YtHO zD0lj*X&ACRA-g3f^Z~Lu{NU{=ynh{TNM57bvfJ75M)q|*5FfS76X97a9dq32>O)t_ z=@M|K8)Zz&PY@0b&O_)CC_u;6|75jEm59FFAyEJQbW!Y>U3KVJcOF9sq9qp2z& z^~!OSaI@v{3;^!IOr^-5>-UwEIITRH=YCAX&L2U_#IXYlLpGlHldC&YMUMEW0i?Dg zw=Et?yYFnm*yLT)U>@{V?2P8M@{nW#hNjZV2SB_#n_62F( z(;$SreuuJt;&r`$Q?T}Zmu$3``R0WlzjsbB%!LvODfPAJY$e?$5XopS4tno<3=Ry; z!vNBE?VRemHNK}O+3n;MUCAdPIukGD9+PxwZmgT;hBdAu`w|>VoXl;<#c?H#u>g)- z@rMW<9m`Mx(xs5BIu!cQ%}a$I=JcshA`3>rG8}<$&~j^8?lM#|t43ZI%<3$7U}&x4 zrbn-AXhy|Np8QE8^UPH4qL;Kke)h6a7!@|acT=dx%$BR=@K>%%LGG6IF< zj?kmCSeS&94x8}m*~Oeg7Q$77Tg`+MLTv;;ucsJ0E<=pqVJL&ZN*7}xF&(9Hm1!g^ z$2@ldAjfJ#5xB3VPN7XHHvEO$jx4d)rpX8Rs}E-lC6=K#L{hh9?|~bKu34z-yY(p^ z$Mr^KZ9==_60Dp+AwX4OYx0q&v_Ov5a?R%^&r(JkoSS&YwJ<7pF}oVTIhhsf$%s}I zc7^-B_MD{1i1}F-V-Q8s5kz_QjG;m|?;YfMaAZ)IaGrB==M|B{G00*lA|Ygv*T|CB zKd1SBRJ_vJ&z5HjsJP0_>#3G%(+iF*XJx*^!zV%vpIFQ-+zE%7S=C`>EzhC!3(r1z zna=c+Q5}EmN2>S}5aTD73K1HIEElsq=4(&gywvCQoFNfeu?H_hhk=7}?aqSZx|wOL z#DkW78Y>5)IcfOV={#w-GxZU5Hve)_HXe*pIIjV%!Xx#SscTSPc_ zJwU{D1^~Cbrb|F~NyNef7}2ZzNVR;+5x8LWv`Q_#&H@W}qWOgR`By-NlIp_Tn6kNV?xFa8}sDhDYG^EW4nzU0gzpEDR zU<)MkAb^SHv7|bNIzE;X=P4a2Z6fRin2FKisHeL{1GghUW;8tN;%~Oq41++K*a}ok zSz_HF5aBd+M2U|e;%`C1yps6EdWis=p6s|q;;I^?Vu}Vm%*l!>lZp;Q&VKi9>jc?@^!xS-7;fb%TwIjFYY^O=ltVoXhQy!=@_Si~a&*ZS{6xg1g@|fm-PjC`&*NCp?zT0XBS$*%G6T2&JXU8w%xQ}arfPgGql5u? z97C5%8h=TO)x#y}9=-p#h|@COVH|n1OJ$g@unJ>Tidd$TC-=Ni%}x-|=;};} z6%qgC{EhJ?AM6nnkXzDEmE+QYQY+M+*$6Uic}$R@4LOc@{Q8^MkDC0iA_c<>W8$~7 z!6*s59WMn-KUoUffki5pdQ))|&^ZV@+&(LAFiXP5T%QjF;#HPuMaG;|0zB~h)45>f zI3&$6V3>2nX&Y6q0Ur)F>q~vvJr}EG6g!~dx5>tI)jFqH`Q41#4ekzP>E8|dk zR0?I~^(uizsLSS)oPMUvRG$_y9#N%}K*NtH0oEm<&)IBD1L?4m3Fqwl5z z_F)m9A27|PLIfJ+sRqxL;!W*Dk}N(MzkK6~XPEjVuj4PbI6q7uT2;L=jVsgKoLD?K zhLX-{{St6E9hA`N!n`+1h>boeu>> zLPTKnue+%M()sB0B<8D4L5}wq?2g+AKh~)IAh4KQs}>!~Y*hP1C4SNbC{LyAT9dKX zefbwgs047%yj?nnrY5wH-x!*Ggp^<<9Cno}dG1oRYpUQAQPs_CMMS#A$cD;M%T8@q zR6Ab%m*6fM`rk_Ny667Ntf{P{4!=B)uW%RYy*pI<5b{w zY$=opD}2zoKA6Pqq*HLW*X--TtH^V4`WY#o)B8mwX1zCRu{Pb9c5>_E-?p9~l6&aX zt^Jj_F(Igtxl0|h=mG_wo=Shbhu6Bbmh=lp2}-)>-{r130KwgP(~bjNj;OQcKYne& zT0Sds*q_&mSU+a)@4@|MwUGUE^%DmJfz|kYu-a#+R~qQ1c!2T^be3h}<+b3y8jT3t zJa~eoa=b^HldxiA9M%F@x4>0->QjZQmQN4xZHuhq;Rs*Y*XPZ3(_8K4{BmYeE#TeJ z@RsH8f|pGQuC^~++K42&+K*BIWhK zQv`tpKRGmO|M_+!B&jWdzg?Fg&65vMya25p)q{)y%pvJM)PFg1?}Ne4QX32q`2E`u zrnsCQAx@7b-|P1B3aj49HM;qeP%WK-q7aP2CfP8(-6>d!-MVz0H8Ho&NZAH$L*iUT$9yB&ZO zj>=&(9OmV*M*ZaYBDG}!oA`O;!ef7h?OZ<_y@R;bY_B>Q>6{ns@u?%%e|UnpNV$@7 z1&nhcBl8z5Ky7W0lrMj_Wx49UtsA66b}1pMNA(`0&a66-9w@u49ihvqofs^v%oK|D z4Y!8Fwq9kZpOh`1SXykX>_PWq*@mgjD4#NJG1y-uuQpn(0BSe%mF2maO!>XjF3@SG%iCPh^5mcr1sPCv0Zx#syl3%ttcDCrBR0OVZL{ zBg825j!NH4>GYWylql2p(ZFKf#iZ4Fk@$vP)0v9WhV^H z+VvO5J}$2}CMaK;^X=o9Zk+oIk=qt#H}2`!{|%9ho>LH^IRzypsDi>0_RA{ERmSP4 z^lkJv_cC4Hx25E76}mU`%-k7Gy~_mAPiRhdcnMT|aW2;lHBd-G&J=}Ddzh|bWJ6w` zpwMO*|MHY4h1|2e!?SX7rhC}vipbZYEI5n}!|vkXnqkxUw=Mwg){s#WA~m)R-{rxFdBF0X6E)(y6Mz(_cy((8 zA&C%lR@IZPryc!;uP7QEZ6m_kzOTy;r?9UawdQdJ2Q~e ztxB)6kC}qGR*pNg>_MgIdw0w}U3z9+%dyOZ;{Jh){}JbXRg!#m;!PH(PS1Fze&eb< zZh?rjm=nW*y<9PosqX^0`R-`3NR3bRn7<+{KG*{|q`f=)~(%XIuKFJZ)`?T+Og{AC!qG^p<6%SA^lkXTq4)q%q zOI`;EeBHSTb4s^eZo5@!?>g>GSZCTGZ3pfMVgB}pr`I>ahNEDy7`E}(=%(spW!Xv% zn9?^f!lG~QqoJY;kjzH?A~0b{3p-{edWNIPf--%Z>&(mnLqFHWTe37V%a&k`I`=L@ zU@qIsV^AAGXRPs5bh+_VwT-;*E3Qq;HtivjDZ_`%+$Dw&Ud?LSQ7akfjMnq0D>ghZ zXRhS^oGjew0m57`ux%}TAJsh5_B?>0U94PZf0OEA(#lW0BR^;m8$V$HSBXQ@B_M6% zL^~azGd*zmQoPaHAtRcHl zR=T-m9sby}mT2CM#mj~r4`p+Y%}zU@r>>`xz6!j{YoP|{Pl~uw6+Z{`#4MkBte&S^ z632*-IQ|gDl;E+hds!GCM!T^bJtmu9*8RRm!#&%>w(!`dAdWvy8`!CGSRh16BOsjb zLC{U*cSN}vSx3pB=0kAb{?y2tY z7^VtA{l-X#sj2uRepR?~{HoH~#(RcAjoC>O|56}A`XpX-9LgN#X|?}S@XDr`&{t)5 z^Qr>K%*F`v_Ot>x92$W-bQ12E@id9B*Ch+?mx;2lP?y-aYX>;J?JZY{2tjiC_amDs zfVoW-7@G(3`MYJJ_ds_?x;#1w8_Jvy`^i3EA>SLXB5f9fapLYh2cP6p^o|5u3yaGB zB=(Lu4E+$pX$jB99?0s3)_dfi^F!>JSnI6i=)O+jN5m(nj@4da%-Vge-I&aJrZ$c{ z`Z~JNbECxnYIO8^-)lm#1H*qUGIew>3-F%D%&H zokQGF>q2I6>IC#8Fg9-pSY+LbNBi+LSr=3H=yK$*pdhl8ao?mjR$Q8wtIsNDX1UEY zj7V8e3@0ftfc`cr-6D=3t#E})x#ex~bC zX)BGAMARGWjrUg80J{X61eqlOHPAUon z2q&x&ZKzM~)j(|r9Qn3)ALYK&y;*xNrefi|J>!}?YgKZT%4 zjsXBmu7Ut;hr0xElYI);ti`Y2lS$6h$>UxCRv2gHTSm``h6MSE3d#M2b5$vAtH1y9 z%NLS+Z(*NyG+E+tzT`TV#j13G3Fksugg@joMoxd>;+89P+nDk)Dd!FxV$=a~?|WhM z${V$o^)(mif@RCHq>QS&6#4HdVTsX!B0?S~xWh8jH zIjv`g$tF)miGMBcU(R)c40^plBC*A>wV6*i3?A;GH~7*pZ_-fh2X&lyAOdLpiihM+ zBzXRJ;c;lde#I)jxbORCz&z48D$hJ}GSS}J2LC&@ z7wdvm)useP7n}2roC39Dz6kTf%4~C_8A*%9pXD<7DnaBO%!9UFd_;KOj9mtS3}gL+ z?;P37wq?%AeK8XzuTLQ^i(lWm@q+P{0hXU*la0=1(^Qp|Kx|_xD7sR-C#~#-5L57l zJ>5CVEHGZ;@Lk*)mb$MPujl9SVT)YbVGqTFNU}z*$s>!luF@DQ#nDv^T@?$)sa2BEV{eiuUnySO%ugTm)^uNa@M-F14>+x}Pk4LQcEWtpw#%&v_9EzJ zX$b|rq<9fYFxYx<^+0r%zE&-5`IEJoO9WPPym%HV$v*I3E(X-{f+krk>ShtMtpnspq4^45IHTKT)xb3`%d06qNR8eo04Mh(ri{M8# ztjunNgW&FYPqFI&S;qmivnW@_R10rH`1N*9#}pD;kI1O3`1HznkMB?? z+H>A~(LA#ZaEDKQB9MJdb`f&OMP$QZSN<=4s(3S)NVJSUy$Vz+5l+$($rJJwIh&gC zhO3TmzF__5CtR|e32a~SVpnUA&}b5Fa!Pf;ms^1%u$HL_HY4txMSA@C zPF#PNdQSYl3)e*O#}3IqtVJR{lRGinCE}yWY4Mly1tlx9=#P)*bk`l2?luL4JD0H- zt6ghQ5Jr&+SU;brF@ongfY4Qq3w zT6pNINsiBj%rqLzChtvs^t(XX?!34XlE;hGutthi17W|tne}g-*K{wzg>EF@k}nO( zcJwM2(fg53KH2Lt{q4T9&hK0CAA8x7`E$OqEF7X`hg1to>W$WG7V1*M7Yi4mVCh63 zDTLg$61m_5%6O9gDySjjo*vzH{k^FwzFFPhuQmbmE3HC_l3;HRUA_-Bob4L|SU{>i zVL&##+DMRpM_inxd6i5l5i|yqJ8G4_e-Z)?W5HL7FzCVD$Z{m7nYn8lEGB_3eCdp_ z<=s?PP~2{ocI6ExxPldx@51umawC)wCI+dAFYiW(hDCJn02U-Q-zSbN&9JjflD*f zxd>2zjTV>xEOB3_v=I%0>QZHaKCnqFcOg+^ZUEnLiv{vPRD`)aOiI zYlemvf>Zna6U8Jn3G@C3pe*w*QLZLjVz#O2=SQl4I!4b1$+QZN@H>W;OQpCPf8M9a z36=srK%$hqKLDEN!6M+$KCYn+_=I1B13fqefp=mK&-p)yEu7}w`Lej6vp1CeJ>#GG zy+KTTw$p_8Q2xB`zhh-#)2qh9r`)y3{5|sopTaNgb}SNIxx zgVkK+>V2L;B0ss13Gie+ht=nV2m5m;ub?|8|B)5R;_pTBct)*Xy!`1fEsFE6-S*Sm zwo0i;4YOQ~gLDXbZDq*St9QM!VnB2>2`R1eB{4b-dl+E~?3Np)QZg-^uf^-W2@lvp~<% zYLu(;7aUA73-kYVDE^(_1#GS8+j19H$!e?9{x(Af;GDfhO+fMbzM%xvh_&9xVi6@k zzoG_)23(ZgI}<3B!%XR~6?AZR`dGhx)Nk-}tQp0E4CIk3pV<;zuZuJN${}37{vG{+ z_&LK~KZ=iGrD`lf>thR4G^jWarbX!EPVfR%Os>`$12qVK?9sa`e32cz;=n20#X;dE zBmfs7LTB-dhZ;>12b{d^v&WD-JlnIP!4aQ(GHc*@O_zoK+w41~8$F}Mh+=;ej}|GO zCBZS!Ic;ca$U|yRYPDh8TLBh0!d;(Uh{HWJBFxO2`OSjiLoLo`!zw zIVt;+QlQ5v+O5-3I?#w2*UEj3;2ovKNR(IfQH#QAY~d z2>d$-l7Ek8>V5Z-N*F|kY#&{LO`-F3@?-gEvuK!}_0orvtl#!-M&=B}oLXCSq>w6y znH*hdv{?)cD7--AXd5P6-vb%>a}nxr?_&Uyx$p$zlC%!Q#zDhswE)_-tmiQ{!&99XD~_kDpuQdH0%Mm|{^CEUqsGtqAu+Yogd7QwMx?yi=d!E&p9hGk z)3~ud*CKguBjjIcA;{-%8mp^}j=7nE!S_!aO(}aFd)fM0MWUulctd|-fC7u=uivU8SX zJ`W}vJ*E6Ehq&TJWVetu_WHQa9w_?gYY^+XQH4O%5_bV-n6Cj!UZZAGkBi!&!llk| z{rnAVFwLeXOrpbBJ_+BN_+%HNLb+#XrO)^fLUfAE(p^7$I628$${}$-WlK9k&Ym2e zmdbUeN?!a(cq{~7UBIb>@8a^l?o|N_SHVmrT@g4#>ZCl^*OmZ}#s>eJxXX+-VE~;l zKK6NJ4_53h6NU6<;>^2mh6lp0Vou1s0b5Ih4)wm;$thZQSz*yg@S|{OD5zfINGzR3 zoXM=<-oj8pY9Em7tGGiP)*PRwY;afuI9D&H3>EqJ8ij0n6mNK|(f*lcv=U|@0Yra#@*t^Vl`j9dD0Dq?^<_Q6)9Z{nRFNk z(v3y;Po^S3Ys}zP$Jve3s-WMj0rC}XnNA`W0!vMtEtfPlH?LTj9 zA?};&?9 z3W+XG6mT9+RLauKq|_XNN=AxGU5LAWiUTjzH620yv&S*&=5{EF?k;ATW+`Yjv4I4e z5Tlc4;`Y&(;#KRkqR-yWG~_Q> zBY~aw!1A(9Py(MY79VojPS9bKtC;~;cio5Bk(iLu=6Qzg4(ann>9hT-dD**UD!!VG z%~Gcw(fxEWN3TAjnx8W}0bat6vsxEyoKfHKK!(LQU-@#W#Y!46S8k+t#pDDOvx{Wg z0EF>Kw0$2U^OVwX3O`|$&9D?-)-&Vx|M}ABB?Ea$2F70vTaS3%sOauEQ+xFrR_k;s z8N&Qp+4SxX%XYi^<06ILbt|;e7ZjI;g#M;@U#-AqUzDAjsF`QChCeZM`?##IiZyIp zy=lK2%PfVOGdD4wSh7t2e!&f%zCoqp&cQHSQB5?9O|kQN>NoTj&RPw2|Db!4NVPkF zS~I>bXF=d;a_lzycYq|-Dv1i=y!^CF8S1E%eV(oeetbm#i;Jocop75cdF9kR^*YzP z&+zkJPLN{5>*%91dm*1Egk1zkcvuq=#NR|G4!KB4ZfLqLHr-}^6XH$vJ9~2e`srLW z>eA@Ed-WQ)+Z0{g&oWA)ApN?(yM*?$f>_>R&R{)}8mc9MX)3|(DT~f?*#0(z$eZMh zO#4y{RqEwFjWN+B{emW5N#tL34xa2wB60_#ymR+{Q&Csv>wGD6d5e+M9@ttgMPCgh^Ed3%>L)iBKvOh`bWU!2 zXfy_V5!Cs1PO}A?3Bu!+YbT)`T=Q!jdG~a{8k$rW6$e-JFL!keW==&@ z{eo(uPK&(yDCCzk6Duz^9MVZlzx`(4YhtxRyWaCpAyQ}I zU7fc!{yVe3+Qo@V#tUtdx{N7*$K>!yQQ71AhcKsX!|>jVk*DA&wO_7^f0{D+>@jKt zh871;hiUf|#;9|{d+m8+1)n}}7ofdCE8TPamaG_WjrN8IC4S}Yv|~FRy4K2`bYEiH z(2DJO)vNqaRkHatCa!S6^6{8&pSC|PWKs7iYITj=wwsy$`Z_EjpPDUO;2kWRB(??S zPCKXi*9m%c#v0BLwpOuvHQx(t-(sXY1uu>tW#c$%O*2K3f}`l~ylna2IMjL1cpF5b zI^Po1Zd`bG&qG3*xRvI+R~X#?sC!*8{l2}4i>oa)bPn<>pc$17wpy~z}+ zzw^=7@!MU6rMO^_F7x_#CL#)m+i(fpEToG&(=c%qX^QPq9^^j70ZpFxq*mE!RI%96 z0GB-bbYm8hZ~q+D-Cr3IMnLZAq_%V2W)qgkY}`n3OuvU*(^n@4lnm8G2Kuy%=q!j( z4T?`|r5=>(o7}&?j==!)vCyTJX&UCwE$i_FB!3Av6aq%QVC7%!Dpr&!w_}P+gBJDplrq#M0 zffZ+qoMCku6ipg}5ZL{JOHvzas z1Fm%aE@Ez8(P~1Sh~{Kvg&vNqqqo1 zZ{^EQT_P*BREDz{1RK+Pe^<_{`(WFtMABarK*2%5BB@AAqKa87Oc=rUeh?f%FLfC4 zQzn+NiJ$?}PS7U^m6FCx;A+O)^u`V+dqw-rSE1>xzAH*a@(kBD)Ln@0vQG-u*?rO{ zs|b+Rx+d~6HP5%W^$JIHRH6+S{_2^VSV_=Ng&#M+6KW*Y@y*NAgq4pVDQ-FOKRt+v zJVJ~y^t$b$`%o-G1_Un*mUfy%>XIb1RG;-Nb*?e8YNg&oHh;n=CA;XZ8!$S^8x{p7zdqPj+C;2nKg;yi>0lTE;m+)gtJbFvzHAIgV1XwZsS!8QW~2$1(}l zuSgh0p_RX06=yv7617r=63LcWN3GRkDsP#}Spt-gtDcA|OpvD5sh&p17IQlRkRO)B z2hrN+aMPY>RXfCkl>w+T2gwr{EXiYO;$l(+G2iDvxu z0W_k8x&s5MIzK&@NILSNA4V=F?i#Ox?xeagGN?!X2B=vY`wdd{s8!US9y$`(($GQ* zZl5l0_jJ3y6E07JQ#XGOA#zQnIN*{Cd48U`XAs_gX#e|MCpOJm!P6D|7`0_%UxgNV zD!1+C+K1GRC`qcG9Z=F&a)RG?Iln?_Oq5$cl`Dw3SCCi1Rpj}yE(GHUH2BC6L_9OG z-Nw3nw)ve`=I}^tf;lW}7Ar<4u_1sKX=+Hy7rl=P?7I^f4H}Sd$pBa8!72~sGWd^` zFPL!nk5w(c)N#_d$N)pdfeAHsO5YMLMchUJ3?o$`(%HPk;!3v3_%GYj=?_;mM)5EF zs6$cMvzds$;?S*n)TC+u1blh#eSq4ZQ|_~Yj^K$pRYjV{XlfJ%(v#;U(0g)r7CJw3 z5uWWHcw{`nWzt0VaAVLy&wgnbjjVRkX+NY#i3+c(@lBkl;C6rg4VpL2Y-H6J@l-ILNo;1 z+#l?b$%N&QWtMn6)@I_kQSVL>6Q7yN+U*HY`g}qpfY+Hj&OJVM<%58hdP^G=##`~AS_xx)PkuhJM zacnR9E;Br>EzS9J>q6N^|C}6E=p+shRU8rPnoduhm4vwfE0&Vc6t|XI2j;7U`Z6&M ze4Um?ACv_bF$I?~xTI)lMTGx^t}_Z16F)-jV{!`m6}O@Mx=sozYv{p z1U^7k*;goSphElPsnwQ!?I#IrOr`#tP;GU0DR=EKZGO>3dqUg1<*=U|Bd^_PVvpo7 z8YZwZ7O;cyO4aT|A49>zI;H$7wht}SUHo;2Ad1h`(Bip>m$pm2tQbMUCNt@4pEZJB zdoFWp%z~$NQk=|i`8Z$~JES3}j0+r#3(>c{V{mxcbce%Ngyw;C7?UHR{5m~S7TY)w zFfvXwQgfx3xGZuZMt2%G!6oPU(>)+x4AXZc<=9+V#2ed&&`OBc4=?QS$uJot_ikin zz#B**HU~#hg!XqJ?fW{nc}H)>02(>i1ehWV%>!Rrs$%!{@c=xc#otS6cG&-wkFft6 zEd(#)(H&wO=M~&A?<`Bmp;~GoCQH&FP(Q5}PWx(q#CLN;j4Fb3yt%R?cD1`|j_99G z_%-3p>iPjx+~j)J9)i`mG)!=3D>lT&me*o4u(>W?XwZGFmlrL>$LI>b!8oaig@R#5 z8~2(y%sibbd{!Vn8^4=0`8P!svv(7-4m0nyK{6=m160NZIn!6oS=45xyUu&9Z}5%%`>RbFyf!lYoG;f ztf5LmJjP5UjC_~#T=64NyJtJhQO{DTdzdHZLvp-C!5N8_CV@%B7(a66kdI{WyFa1U z=eQk<7zHMcd&QXQkYokpQRGBOym(Vw|IUAdovu19c>j+c_rEl_|K-TB{vVE11=dUJZaczb^S{WiXI-{t?}n16E;`25K7 zwWH*=rI*p=qEV!=NqUQxiWuHe`$78t49gO9QHe(5idd}E%Dl2&$SzCFmDRI@$fi|knN zV|QJ@vcoV*4idJ0=A!4A`H}p}b8HLj7CQBOVm??4F#LZZ@fdXxMbg|7MqyTZq-FKW zfBKP8SBWN+ir+_0jYFEdPM>+kA>KJAC@^@81x>r8s04+d*T;YRo}TAR_c*-2?${I$ zC1*P0dYmcS`uVF?*~U2_-qthfx+B0*I(5s880Akw(a-@@??WS6PQn(Ljd&BnX8a!# z&p?ok@d^0_ba4iHzvCn>jvzFx>Hx&%UrA67@*%|-MBBmn8F%42?(;r5)&f<^&uGcB z!bIxTw=ZhyMGeEk!dac}*Jbmx!W4yr4m8AZio1NF>w<8J1@0XC6e|+Md~U8@5h!!J z9NKaFq_qo zr?m!2(-M8_GHz;)_l4CiN1D!u((R|g9j&f4@HIsnG*52lLNke0zRqsnD4m+&Rm$-`ZBU#KiO$d_&>vT z4M-3ea2tH`5jL?`)>_wecy1Y5JX4;a>q}h2 zTL&RX*h|vw6mlqwD}5FAtj}Nz7kBn}zAJ|v=fAYlLsgg{i;bMB?@EbE?>3^fRD8?Y z>EA4MjxYWkP!{0Yzen*Q`xuV+?8{3!A8sp2HtdLbulr$=9B_SX#WnkCBR33-WNV2& zXJh03^ZB_EH8VB2?GzT2iD#%5*bTCR8`k`oV7|OuXH;3U5E-lgzf8Py5?M|mk>)Mb ziOi?a|Co3t@vItkBPD&<9R&W$MbsXyw^?EGm>)P;4d6fy?6KM+_+MJCVNu)hSw*=v zP)tCB)!8+-aR@=I|Co68!b7UjHDBz2NCRmwBrR6`&Xk5RYwFsWU8#RfO8qyhUfs{R zga<>Wd}IG(;&Emyf8aTn1i-MKJHOMYi1k(~Sgj?MqVBCQ184W$#4!!R6JP<%=hsUE zwwzT<^*9eTdLIQZG_6~`i5$yHt*{{o0J2pTc+@IXG{*UTas1Cgf}vT!!Sh~b2BjY_ z6RTnJ=nEz~;-25<&nCvk6RcUXMEykxAEVDJdX2IFG4To;3N_2kM8li6G|o4ie?of6 z2rW0)_IybyESmvE_X89WXvnB{c8_EZ0Vk7#S|2JG`Y-10skmLNLnuMyD492lN6S~- zo=&R2uYPu1#3!Wn)Et!1x3901Y}ekn@+)sIFY1`+a(hiNAu5l!ijS$~n|A$%3J zl`rNWx7KpjY|QZ|5)doaREjI})dMR3aq)89wPT=MymJ(nQ;jL6g=J1SboVZDc8ACl zlw{lu1MGN9`r3;sMJbnGSQyDMU@0jATPZg5wStkl^@za<*7rp^J^=W}z5s;P<2-`y z#M#FBjiBLs6FY7f#Y!~~ATzZY(Sg0))BI`Pe_%X!W$R6p=#u}ycx&r=+T_3wxQ6R# z9IM6dZ`mqR%}0r;taE?mtF+fS+&3}TTX`SzNR%Fl$_tNYu>x=274&dICE45$B~UzF z&bam�-c0EHLRUpk%y<=*vz|;a!2`gBN7Ca|`*WtM&`u(J|Bk5~N(t4x-5Ddw~?q zox&vPYf66Ol=et3h=`HFrk~`UQE-fOiHM!r0#~>21FTpT zuGiMtVl*)g<*cT^8(Xx}TCWvk4+SBtJnl54wz5L#L}aBXHOA3!^`US){uHYgWco{=U$z?M%>x`zmAXBa|=6?4-Hbe6ie7$rF~U~v=URiLZ$lY%`Q`O1Jdlshkg^9MQubdGm(3Y`o5!9$NyQRTK|U* zYg6#y$I&RP%J|7J?JM1&)2!_Lck_wxhKKh6Gktfy2!u>%QUbc&xu>C;ftD zmSm_uIF^0WN1l_L%kg|~g0Kqd#_Q)8wUAJ7g81W3LN%{kkeq>gq)%q?kVL6czO1es z@_EYI`Xu3QscMERS`*!*cmD`^X1|*GpmyPwEjf;jbxm`zIC!_bhcXMXo!nhoD?4&1 zM3=abP!e|blH9NRX-eVB|0oVcpBLg*vDrl`_5VZUJ%;p%)34za z_q2tsEZQ~4ZDUAI_^sa&hj{=br4(kT`J3v0h#IOiXu??8 zR0zMf67i!nXD`lJE7|r#m=!=T_#A$N4ZYeDaw|p$B21r|qmlZ)M-VhQ6@pt3aC^;$ zAEDX!MPIzymTIyT1ls7Y?s$PzKoh<{fud+-fj=^ofQV{YLFmwD?$ZbyR&Nuz=a@??Ye>wYn%kViH<70(gUi8hO_9OjIC?l^lHdv&) zcD75h_Fa*CEpK8E$ol9<|5<|w-yH#Kw2Tc7uIw`ZKSUV?60B4yHW;sYidWwzuYr(0UguyPuIma=~^sp z-@J88za}soP+#Y_hLuoqi!6hKr?#@X)@AMjs8CZ#rv0dj|6%fOemDp>6$41VuSc9e zw+2r{0K3uZwVYMntxhQU9wb@}BQYt7dawW#%K*y(L-fdCFuDL{>F<@ONZ%xj{k#oI z)gH3=ABEI-p)w=-#4BX25hxm7|N zlPzw7r=j1ybI_jsi4TC%=vnI#B<~|DkgDzbpoeoQcn(eDpN$X`gRJJLgtKqps?#g>dws0~siK+o^ z%e+n;5%FVvJbIN3tR6U=pXD(fkh4>7r)4|I3wy7r1C2QxQRT0HnOS7~#Y;bZh@dcB zf7*Wz^@9;NO^6pe51*CKt(B4PbQjn%{Gex5Y=yfCd6ACeyNgXg$t+|!8} z76y?xF+)siVE#ZMbT98F;gtgamv$Su{kYgPN zZS<<$R^u@^c(m*v|9)Gvfb;f7QsX@8Wo_#;X5u4E3q>|M_n+ID{-hy_zp#bt!`GN) zesSZ7YTOrrl!vWGtrewBL!6%1M&y#EXo&c&&WAKE!dbmxTh_&Bpm6^5a_v%7_q|;^ zg;5$~6E~Apo!IVDeVLYLZpS<8l1E)$&Aiva)Nh9z^kx({=~A^`6=|a`ZLtI&<{aoD zIPYNZxeFrat}~yrp%p|wR~THInB42XXSnGcfwIPvRs1^G2zbHJ6rB^Ke31MBXNha|t0&>jB6=S@KId4enRy_~G@2={$j9S1$Kp2|Od9gKXcw7JLW>NxgskyiaTk2J4DR{+ zKPF+RQ%J3VEtAo1P?d^lE5;!tivzyQ`b@S?wt;4AqP4>0h4Vw{J6r^-MfLzoGlJnN zfIlAA4T%>!5OnwWphj7$f|Q6DAI!DwQfyq>a_S;XIUWpH+eb# zp06I@+m@8kgdoNV^p^TQ&d)l08{wOvj3roJ0D-$&DA{GrEt6%w{v>J{Rm(8g^TZ+C zg*C%SEmzQa*dF0mUaP!ee(^( z`N>4H09obolf8eggpzf$H!hU9Ra$Me z3Xj_Jg0|7KH#G!bGRO9L*)FmvCvbl1;673IHSY_6T30o{aagXfx zHa&TQy37?SR%8S}+QX{&zaW9u~iIk5Ks0;ND&zx0g@&b~t14QyQj zV}ZUAc>y6Kew#Y2>$rm*QP0@jvJn^!uW|~hh(v(JR-EIc%o)P&IiO%M4d^(g2;nC# zbEjq}H~MZXL=r~_gM|0qVsy*Ph8GGMXj&2|RNbi)baMs*Utaw&J$&Sqg7PB~1Y zKRi46i#Eeo@}L_CbrGGv--e9qu}~9OnQMv4uv3d`^VOX)Tz;NLF>y;gG;#SMTxzuY z+D^fVtnhc$DKZoOPWl}m;On$I0|_~N{f{A+tL}Ng{daJ8FxZ3yARAJi^rk0nVn1R# zzlojb62NG)=l5eR7s;a=g4jyWXeuDYG|>#E9;CzG`eX4IWU_U+xITMFK@h=$@Nj?0fGQxc7|lYA z@z_>H?@6n(hF;#z-h3WWtwa_KgKgkG^ji8giN0^oIlTMXbLe#6zt=YPawQ!GX!)wP zA5R11-*CR-B74l&C!xPJd&STB2t(Rl?J892y*)DVg6QLvnV+Vwe5aX}5H}Pyvvc)K z8Xn~%{p&sQa58&knBg9UTyst&#C-54n#BNuG^PN%Pk?c_;)DI>ep2CO6$wdU*W+AM z9ueqM!ckuys8b?ckYm=M10grsFiR7ZL+)!n+6)E(K!hc+i{9mqndrbuUP4|3*tarK z0m9Yv7JrOsAa6u(d&f)^Yw0yhRQ&ur8acnv)XHUp!yX(+mko}B9f4OTOn@G3c%yvX zz>d#;qUPSu+%mH3T>pt;1i3WtyIGRny|jeAZ@N4EiF$u|5O}{F(czbK%&&aeKrXuv zI}LX^^|E_;Aoj>()K6Ug-d)@_kVi|;srNE?IoYopB*Z0UtCgDquI6;%fP|Nds)7uV z(3h1mB<(b>e%W{_yWT@P0^y~E)Cdfl)>rBw!rD-jkc`XAtOBsghHPwJfuQHGDAqD0 zWb{_SuG6K6>>47HJGMdfLy#o``%K*I3%g@?2U!z`SK?7{R%Zp`r3FbBC`kxi5DBKy zECd7T&<47vHqPgOOEp$GCc;2M8|-~a8}&Q-_WRyj31(i=XjYu>vqh*ll2p^IRFL`; zF=7OTD_!A80uru~NoD-eDwOZoF#xApClQsVZ#uCiHi9U$@ ztHf?B#WAeGQ0i;H>oXK4bi5;F_2thcCZh1lDOJO%1ESO`7sQ z2}KRY1ys~T<}Zz2Rs?8jJOtu7`AVRW$oL8**J^4uMM3oDS3>L{KY6Sh(HDm3v2gky zkslGpaBKu;1G@Az>>BG4xg!7p(B|cN_g1HM*WY2tGWz!nCPWYDv)F$4AJd%(T`HS} zR3OcDm)%n=a2So6ZRQhUAmNbM`^viO*Eg~$0a=(Fsmr{r5v@eXbKysi`7sxKcyC0@Q^Mm*DZnH8A>@Li zQLHT>9P1A{PksU{hNFNO3Bk!eJrK09-cd>E%ZhCVanhx&PeC(usUFIQtM(p?~mh-FlCY{mFjhLA;BDxC0+dBP@unLW0dV_Gx4fGy^2@ca> zqD~;(3q(!Ls;}TM69S1L&{=U4^4+2~?LBVf;qBxrKj*afz^nMl|H;$z*R=N_LbuLl zVHzMErZFCFog7A^!BrfDfh299_l3O&PuAfy16Y`8nM!pcwyr}0Ih?n3-GyZB0`(wo zzwhp&(5NsDF|h+#;;u~rnH}x%N=GGs}KOXlFYa34oDJNz7NK`L6%nL?xac^YYMI#C@ z9vdq5oYXmM=5_Ib%^<3i$bw0vHtsdb&dt4@p6}1OD-RxD(?U@So$mXd{ry=Ufaq)g zGHNU5AGhPyd++Az?$E)3rEdv8iQsdNK>mNAa#a0JYNF!EyQgyG$Ut8MJnh5o1pXDh z)M8)dB`XFj(EuZ)VZh5o>Y;ZhK?A=t8}cF7ZMy^KzmwP{!MyEXUF6tH`;wrK@4CEf zycheV@q@x0PTx_`Oi`+8Zjp}v3dOm}udub!{4WgkrvCKFIvan<=hu&WLlXb; zpZ>$wzeQ6XauM$=+lNC{b$lO^+Q0mx)4SC9=x5#aLyHDnvarTqG&vJe0`CMV@{P$m zpd0%6OX+mTHyh>gBz^yie-K^B3$F18PZED=@`{hHUtq0O9|IFUx<21TVT7u_l@h@9 zedx!%w25+Z>4!h%sV)sO5?~)GW}BuD<{7ZbGJX%CEh*BE)~Pi8ROJsf()@$O6t63( z2{>ziC$Ah|-20?mE_n~zxP4!DgbCmkktWu46FKEsEX#fwRR?|u$klr@!19N&HsU*&s9mqEx{4etOEoYeyOyxwkj+(Db4kmKd5| z^drk@Xyl`)wJ2iKq#yd~Gu@9(Hspyw_2WK1^XPv)Amh;M^E9VR& z8$h?msG?uF+IWt{*#`)D_a-5n6Q%sO|{9&-k~#}v5{QD;)Te3@eE7yxV)O=5~r zCFH)%Q-Ugq-^zMS5o!cPsepwkGIssWczDTv=_~Z1fHy=oeFQOx0Ehv;*NI}|DG%l; zaE?5jA9Q>pV{b1zJ~X5V!;sdV()$yuA?#Lu1}vP5(Ca+w_(C z#FYbJ`-3JSm=g`Tz2DHxtjl+Cp*G*#_1*w^teH)3_T{4J! z7Uv_-d1R3VX?YbPK;GCW);ohlKEHzcloks?^CO$4`*kpjRS983t|J$ zb&ybq;H{FBPMNA;@39s>75r{gbskj3^oc4k#y(--M<>KQ+1xE<8GfHTAPmYO8=Rct6g$$TaJVT!QRKmLb7i@ zf*3D()3jY#tCEl#XEJC2p&c|za}uOu_MrQ)JFpnG2{F>dly9EyWoowhc#-se=~gsN zO!)|1JEw^Wh;pD+64fb(k)iiwi4Rr39G|M+vysl_I8esNk(E_j(i;|K+f92*iFDf{ zoZS;1-*r0^@-o@54N-FOclh^X(_%Ov@5mhcv>qtD9ac<2${#^y`yrAz^i~vul=B$Q8hWYLYl^aexdJ6A z&fP;Ngq>ofSgPSR z6+!F4;1T+AB*}H^cfB$qxA0~`<-@Vr{;S>Pi_MWBa}t3_3L?-f!YrrEneKhZj0Jni z)*n*6R7K|k4OKX@W`UlwiwWE8Bs&>#U;}n zT(=Y1H3!#=9x!B`I^i_t=!!~3tEJ)V&G)A4s-M1pSef_z;Ccx%2iIosS9R281d9>O zpf~qA^l;!XJRA{vIJOE8N80po?4K@rD9s;<=Mlqka!i<#q zo--9kLd%kAo40?%o}tZpm#W;eWxa#J&^r<8ovlLeq)olEf9jn)E{Q4^>880g`ePA$ zMW%aZJv8QoV{Wml&{*fb;Qibhmp%=UT=%s-)|NYz@KtPuu#|~zwx_l4aqW_`yaIVi zrc9ebA#?gxl!9M%I`IQx95yc@n-U`kEs4U`x zQk$VJC{eY~$)H>LeJ?R&>jQv{qX9(&Nl4F5p`NEoUoKKbvw68(=_+WV$cJdo!KB1^}=Rn>)(DXI3~&QtPSAOsp3*I|2ST3Zl15(lg+UDm`m*zBe0L zmv5&L-4Gzvs;f)%OJJoN3&zn#tMnuaPTg4GEWpt9R}((>@5&-Q9ZCVbMz8BvhDED# zS1bVorE=b4q!K+DdqG&DXT4Imq4OaD;C!SKJu##pE30mu`UTLVM9-Qumgs>jnMcQs zC3(hK{5IB;wD*7b1Wk(H7b^6M)`7&h+<*iDF)s21{+7q3ANmE~G%#|h{oEB{iJpX6 zH3?oC{0Z3__>~c~Y{ibCix@%oj^xJo>V+qbaM8G?m z)F{zYnl&o)tWzlHsnoY6dU-x*iJlw;r-5jiFGvGX^|8i05XNIn5&0azS(4EZ7*V5l zPAU>2t(UAsbWFv!$D|?iBh~21-HD|6u7Pdp7(kC2J!{fZjh>Mu_idgNkXK^HI?XD$M4lD(PC>!t#V_zO#KcmU5NR5Stc>fSE<4qSMn`4Acx2_X#R#;*@BLf zR58=o?}%DG;|yvg?{u8z1zb zq1M;MdS>FVMk&^7dtWn0sU?#nK@6!N7(!O-x&FbiAT~rbSL}6PA){hXwgFU40AMg0 zja;#3q(@ZjarmQZ52GDrdnN`)S0D^{lJd(%?kS5hs7~WsKfmeFA0YRiJE3;EIMH$FPQgl)ykefBlQ6|grG+r{Dgm^BSt!Pd1 z68fG)nrOA85XMe4X?aD!^Aae}1%f0MLgpWYjs-fAg zb6yZH65D;>MQ0$DZvB|%10m{*&0rZpnbyPJW1^Esjxy!Qh{?)9ratO)QTyMly~73y zWrmGgxrMaS=1Xaix!i-al}f;&xzkJrNCyWk~i!bRGw_Xe;=yY=3P zMO|UNglN5NM(ZVIS}%J~x<4LUmXISOCM&I%`dG1Ed0+R)`{6(spxg+S0VFEBo=~QZ zC^N)K(}NK~AV{r6qI8?~ubR)4H7oZIWMw|p!(A^Yf|T*~yqX2FMEKNmIT?7bC(!(8 zA@Z7x9EfH9s+M=AF&5jJG6FA4>BcpUXxkpH?`0_+@^RpA&Mm$^L~ZBH4RTTx(+_8p zDf@wL%o07(l|#nlYlw7x{U*>{o2RFua|ePy zwBb}I-I(eR{k%R&964o;Bc?U~DQEFzqT)adOxIiQ8IdfVlNr~3O92yJ*&4>B`iA!V4gWbaB2 z1%pG{H4z#%TZLhhHZ7a|)3V9qlBk0Tbd2BSJBgL?lNTlDb%=!N4~bA?Y_&4RS5aLi zj^$dfAGR!Td}_p%I3{6oOe8o)t0j)><8UyLAl%LG2QVf}x`sP_TGC~gMw2BGp(U|Z zSkje;mfg~b$k~Dsm2ICk2ftr}NJVqVQ?`O-!9)_k;&-mj0%5Y60D)kEjAp)uk}wzN z=o|wX3SueHS$q-+=W zEzs9B?QPzXkxZU0^~kK0-5v#BO0ATAOsDxm#;V)abh9Hj6=a^-sQ~C}Gun{*dK@tSE7gW$`#O#t zb#?MfvIBBcq}lfMXjasQdvy5yk6zs@SO5C(PyCfPlE828;GaNtYZ@dr`w#5JfBzGF z;4g$nG*8@XdEq3@n|eP_`W*X?7whB-mU6gztsJsqhkPc`iVYvF-jxK`4Hsh*JE#@R<`$(SV6~s@caH~APs{Y*=_0wfV{pSnhoGL2J7B4wC(LH1&HQ ztlrk?yuP%oNu#Uj`_-06mN-iu?f$a#EB*ky3=6ybFaDtMw?;3M(OLv@*~ypBjb4&O zb{{DNemfD3JcX81o^GRw7z&j##jpDd9vG4jevQu^7hk%rcTncy-0&lvp zo3^PN2S`M!(tGX~z)Cmrxs}Eyzj#kB^-0DnDB0KZD%&&LU3FGk8W&VH&| zTN??ucKsA2Uz`)5d*xZt<9flV4QR*^9Rq-|qDjmVs)XF{k4Zt55OC&W zmQW`kOBF24lF>Ae7hY1z`9}5=F(89phW2R6+*t)GvHX4s@SJ(>hh#d>g%u zp~pXAR+oLz|h#omBQOvQfC@q%La&8`-QW(AR*TJ$W4Oo*7WQZy%#N}9BI^o|Zj04dT9t0qGA zvJvOghom{@*gfYZS)_(329cXk(KszPE4q8kq>Dy((Z3+_C}Z&WA(RC1W+AInrass^ zb@qp>NXv)hWj? zqxUq-4^_V$pQ?pCG5>ej0oTdK<;xlSHA&hv=bn~5Ja#A0?(YK*t+$Sqf=I~ajq*5m zJ=D#{;N2&KvD|4!T#T%as+H+kXH{>WrtSe?xnrZ7=Y1m`(@==v-6uPPhBBgJuvO9! zXoo(~|Em$Rl{ihza_CD@YZJ3{%^atJ_ZRnM2*?y7qtV?+BvDSN``>!5aS+_4m%(c-UZFV1%Px+9`qj* z%-{0Q-o+p9Pt71KNx#Y>ND-A1mP_2fUwH5h$m_I7i5=UoKg_aELfKPyNvNYwJMf=) z*)BJ0`kKBV^|&1AlN*uO=k_wqBtU7ntQGBvgu~|0d2$h8Fej|GSHu>>xB zmx7JB$q3S%ZRkFnC7E85^zx8^rh6j@3Fi0blIhCF=*MKaH}Y8QrDo5UcDLNKGs<(* zJ-bJFVY-0AbkQtq7o@{>(S26^n72O^V?q!zx#>b3OSW5gUr6a?fkaqScV9c`_o_X( zk~XyhwX|XR;hTLkf-u4rT~7}qBG!=lziaN_k)_$>uNI_fQ0;w|%#R~$gfFepl5rna z=IBp(0C`PDGeyClzsQ%y*k9yZTeKUpV;oU`+ha}4=Bd44Z%R|XBw}yoWnMfT7Bboq zTe9PJ`L-N0MBk1$$H;yLh=^&kE`a?tS-^oiEmS26f&(Z}e{?lI-%w!yi;pz5)g&C3R(kz5ruWn~v zDZn9D3IbFQ8^uaN(p)LfeXJB@dP!1GFEOg_gK_`+sCtx%J*()G3k^$$7i<)2CmAZ) zU%Oi~i%~V~OS8=j@iJj~!amP7fBr7dw$Xh8a;$)axuaRkHm95>8+wnq1r8?!i5b-V zoi1!VGts*vi9>4@a}9)(nLhOM`uV-QLA7c^?3jIllFi1nV-}>HW739vv3g9) zkE|Dc+KgXzku+&T)KK!q;3H5!a(au%l3-Vx&aUj;KKW|X+SHQU&C?+nkuW;BXuUsx zaZY1%b0cSn$%TMFx)1^!bT$eX0?PCt-gh_M(Cl9WSA>pMv~c;59|%6mdT4!opnZQjTTGG%ZCzyj_LM5_8Q zE|9?yPGL6VJO|~NGVGlbgd8TMaB)VdUEx8Ndk}$el!fjKGY2J#F0Z_)B^e1A<%K;O@X7-&0TjJsZ`yX?xPET{n)SC zS?cJ2{Ri@iCja>_MBil>lyfh$^miJ8{JUxc{W9%YEVpDAZmWnX=_iid?Mr4vQbTB@ z`aLztBvA7N+o{3$DPJ4!!x0JC1@X6ZiO55^4TP+jRH)(7w2`jg-R~%)|He!vcx;gt zY#P-E6tYG$yErVQFTX<$Byj{nfL>-vWuk-fZ`f_>9e)!XUYb6OzxjjE-*Os4Q@8&6M0F|}VCX|3WF6hghU3hPC17e1`T$jm zf4)y2H+x|l$GU#XOp zSUe4dJ(rw@!k$LYLtzi0$0YIM*{~Y|&;Xlol6XaO(mXnbqP?m&0bri9#2-FP<7pSH zQpW&buWZ73LbBxkd`t_nmH=a(6NLhTELE^DQM$c!9&XY<=o~6|Lu6=%G!X&7A+~o_ zWc)0A^-oL3fPUC$ZEE>3T`x_Ur`hT+7=~x@ zCk(@y2|%rELdOZJm}l%3Q<028t7M&i^G2j>F14|AdZKEt5JbP1X5f?G1VGl&g2I6& z>e(LKGuL(MPi2hS-w{N;C)*XFS7ChIhbiExZ%3%XCU*gw9UXEA$Un8o*2d(*(O z;Wb0aA?jk?);{kf7WC4bW(X<>Oczf(UNqfQ z0cp+T6v+R^C|5j-xN%d3mlL;X^XnCvnp+HOrl|Gt;9{~}BEb&{EkuC$ka2A3r2uoE zwqUQw*!|XIVI&-49o%$bbkJ&LPWTtua#x-EY$xpZMN{WQR=Sew)aA;`v2XpZ>BcJ{ z6T_q%>;VZ8&}xa{p^5g~Rrhf8xb5Y2QDW1Il}rXm@MkrE8L=9`JlEuvz{xL?LHbT2 z2Q%5;hq&f!zDqhr<-j&e_64Z#=Lcp zV*(kBe)vx0c%;z0s4|6u0N@9rO~i(z%uNG(4?(ShGAqL_98VgvTgfTNq^KTgWdK8g zMiGRQG^ZcCk8$sw3Jhe-nZ8%mh<3|1*&LCT8PHFzA|BOAg7BQ!lZ4>Byn97uHr-yB zHS|e(BS+tJRCgoDnR!%4B%&xbLsUn~@I~yMUWFV+RCkMk+@YHxMU7_med%O{L=rzB zv=Y_H9riJ%(P<2yp~TBqy5JDV$_NhRow$S!WWZLIQ2mh0#Ib%TFf;7mQ(jv^N2HcO zHD=(UCWtsq&e3JUg6TpiE(*(E< z##%lBh=jqh872TJV*;>uS~59|32;q$qo1#kG+H(8Zr%QgBzBz6iuqs2rZ8@oZ@}z1 z;q$6kZTp_1;488;5Nb|Qv0!q^mgIRNtZUX&AVK&1p0Y>;mO5(sSVAa9o&rR`v7nei zmk54AIM@Rc5}?%z$9v(<*SnJ2$Zaulkdi#xs|Oh%T1{jM`*QK`TEFj$w_FnqY@~(CI81NB2Q~kh7r!e_|ivP!i*^PjwU{DHG%$f3>kXAM!!nbJy&o^10h@nQtbEw%B#EsFIGN zIYxOyS2Z#0WjhJHR9U+|i79VIUWSMP;_XOP_xw|O{^wO)WgbL}Ac-{lguDE{s{WS9 zP76c3{JuwS3>!q*$r>cn$#QV#{d?%{Lkm7@)8F!D;6I8;O{*Yc*_s5C#YzAvt?Ub6w{Mx|4s2)kArF#2+fOnn zzvVNANesEX+eZj`|CY7lLrutos--7o2_kn~sZM!_ciThV{`-Rw!7#onI+n`ix#(E- z6?4&XLcHqNTjiqT8+sHSOJqM69m`?$Ty!i4?Q_wwJXSsz9n04e=c425dK4X3d4PK^ zIu0mgy`DwK*YzwqP7HY+Adr=!V=4GI4<~tA|MjE4>dq2m|LZ@IPc-?@e``<)4)th1QP4Xf8q|2BTy!k8G$%eoq?_^~$BH-c>s9W zl&zYs9Y0ZXESvFjpSqWzWS>~Bk%L zz63-U07y~K5K@=^d!duQ>!*#;M$1~|17xWaJ4dN*#)sp0=tE9Qst`s5&-LHBEm=j8Dwuj&c~u-0u_&}q6x-5 zGy?9~@#!xok+lRE^Jo$}0a>b`EQ8Xg^ZrRvuasKq0zP8bs| z`o^RT{-EBFfi6_uGAKuC_P)RNjMxC$gJP}OVEdCuSH9#4BrKH8Xrd%d6J`H2QUCOKGEsc8 zJU4@|ZQ%vSU0+B@wo*1d!C$kLa(K{1JL;RfWoqpxH> zHbm$K*eH}t%2YCYr?$W#bxavT$5K^0#?;EreKO@@X)$&$hz%B9K|mn~no(3xc5OuG z;SL^ZemOSw!E`75%kQPKS}!LQ_u&lUB!zfP!L6hW z=QUlfv`@)PsklX2(I@7OSXPa3TyOdUTZ-d|MC8O~jN?d}UI^XOn~=i@<>aGW`)q{} zHJaIX6F!ebg8B2*$yMT0{kD!+M-om0djDZVL0 z9QIwIwdGUZJnd7&HIUZ{xq+<6EnjWg!x#K&JuMOn@3-Rev7|^{S=sAj^^(NX$h)rM zpWsdot@t;%!QvUD>}5GO^3$*YkDiRUpYT5$kC3;q1}+JjPz)b6%Gx* z;m_ED4vl2#(AYK2;(F$%Le2$;CU196ODBN^qmVLTTl0bnkl@Zf{+)*t$Wm9sy*47T zKw`l4>UOq?%MW>y;n)rE#Ex9NKlTS=d!5E&*GwW~ZA>TtTJsw>O0J4*jnqScUe639PMipkH)C|u zlbnT<{pIXQ9s6GdYfWu{fAJ*pmo~3BvD>a`M5`9+rvYs0UK7xDisupS`e1MxQ34oI zZ*%(F_X5amxEqjRba7?;^QU(pK4tFBJXx8<&Y1mSLXJyPdDR=Sce@%12lcXio^QgT<9 z79gQq!;pJI=tqF9TtN^yZUIpf`(Wt=Fn1_Ci+PElCQsidLTbL!ZvvMT)Tv00WbwDF3J-LcPP zfMDQ{&1$B!8cq*Rq$|0C7Nh)$-pxi|_Fi>N!t9RCs-1H0O?MfKV#ysj&aa#9ZmFM` zac`)|_t4KPD@TTCm6!XE<)AK80YV{nyStiHyjK@HRE*A7G+gWjzocP#N}wOP^Ba*o zUQFzx>{{@aCbmF2E^tNy)S9h75a^hV=y-!NW@Ge@DH|L@%OM3F@3CKcOFlK*FRjB& zq<8(Kb966&6mBHH8xX}nD@sbj&_;AlxBFD|%h5U9{%NPB>GojFPUYo*F|vh8GOw-r zf^t1VxIn^IF1z9$hI)4;k$yH*_P%1Nk|r!UNH`>&mxH?Fu#b17v62@EN?!gy0<|2( z2#_M_pP&nOOCZdYZD>m%OLJq_w9@0SeR8tWLrO@dR*({eU#X_)16RpR&P{h&Yi1(3 zzLDc*L1ZVCRD2%X4Y)6mX9BLt6-eGrA(Hb-L$$jhHs&2!)!`WOJG010yr5J|)1jY5Z@8+z`O%Mip88`JPu@*_8^+?T$e z1*hG}l9#0AyyI3+Ew-gI;2o9P)Nx_3gi6(aOG>WiV*@ORZLwmAt6j@Vo>1ONII<@c zq)yRaMojUaXl5H1`y(UW^o@qxKUGK`L(jE70>BV9nl+E*IH*JtMwNpH)bm6Itp?>R-;Jm}d1a zYpNMoNWdyAL3)aFEvl1S zsbkt>jqS0=dPU~av(?NWkQFj=Aaq<4H-|@*6!S(9b$SdkPG5N7Yd-?{e#D0yfMs@a zBYII1W_BQY=QJXR8F{Q5o8X+o%441dC|KC4;2H;2#=#sn+q`C*zUC>UAud z@@Sgu&SZeZ;B@_3UPgvK+A+y6Jd?;t3kEr71AubIGy52nF=g2~rz{y z8NA@HKUer0!I}iqm9HutQ?g6Gs#J0&Mi6B1JgiFyf+#j)SVz)yNbH}Ug*--BcMaw= zVTlknnq7K(G#et2#L-{|^L1EvZaYIr8=pX+#&bJ-!2^eZER5l~A?1aY^xV3Z*e$K3 zLMCs+g-m;FbA_-R)-A21=kD38pzE2U5Zi$jsrRB64r6nF-t@M`ROKn3*SW%ygvxlV zW2bx(=_nee9%!NH#iAZPT+p9y5k zBm7y&34fLm^JmeD{w!!?qDS|%iT#=EqNEG{3?HsdMqExFA-*@_o6PJM?c=r+!~Rj) zH6xbKm6x0lmT&l)`=?pRqo?J}N-o2lJ>AkN-}bpyjs4horsuuOjp4GGH$9L2JMZ1_ zdx=DyHXlNyeorZz^JtjOZZk*ov@gR@fp8LHaZDfx1fv=JJ}Jk%L+2a=@)yX-gDh($ z$lfyHo6ez+e&*hR1czt_F@iML!KZ#+D)pM&{;kw=n@)Is6zL-$^vXxvkz<2e{k!go zX(AMi&8SwA4!yGfP~-JntPXh!^OzJn)Jsi@9lpB`#R3V%qFE>wq?2N=e_?5q6l0t8 zTJ>7fB9&uW^@I$NB{FSVM}!QJe~FCeMb6Vo@K*97(I$8SvcikJ%css2xy3u|@Qm9+ za`CL~aVUG%>e+#X{mCiWzH4%DL^zEkwO98Ca?XcR0+~T#B@7O^S|-HJn5|+Vu2T*x zchB{lEG|hpRKl8@StyZB`e^a*h+By4Y&PPFi`c8va&z>PkKVv8@_lYo69* z*wBWjHCMt0|4c+uMHBm-?4;Snv}f4xuT;rPLR3Sot*Q}OUQ&YO4Uek7N^XLRHA+0j3ODju^LA!#yjXdS8>Te3Dh1X@|W!}dz8f6*J?B3 z+1s9~aY*VDI?LsWB!)m}rDDEW-S^&DmX4%YV_iBB`GAZ*0L@lJ{vc!p>QCaYs_u;@ zu2cVpVn@{8J92G$dG&|I{(=09U0G3o?#hwqYIud<)YZS4DRUi;D7-(X&i{Rt_ub;{ zhIate^yIXw6+AxDd9?b8yD4fpv1NpAn^k-D~0&?BvbQcAwFhibd5g=?%ZBll;Y21 z{?fGFRZtv38!vo3xVtW}KyW9xySqzpm*DR1E{g?s_u%dx+zIYZ@I&7B*v0uSzrC2+ z-I}VMsoI(D>F)pYJKC3u54EriKR5EgpUJGY`-)MovzHwDHCOCs^kk+6Lk|eso&dBBYDheBX@`#pJoEWjvn#(fvFeBm?t9kITUN1F)nt^WC~AdKumEhsbI zCurxPLbBC5RyF19$rVg#C5)pIfIYSgf(E@HGoKT+;4$M>PD+e1nHu9dqjZY<%K1R< z>>%m%(j>YH!`u<4gl#jCQ;K9=D=N>(uX>Q6ZmwxlGmi$b-|2ouS)391$*U<+5N}z# z$K^v*QJR|kpal!4gcd0%WhdL8Vf7ro3h2hA8;LI$K1YzB9jV?jtG}^4w7fg>lJDK1 z`ia`B6Os%-loMV0k$R_ia|)W_wJd~hIMPlQFFwORDN3Mz5G&zjQMRhEI%G>!UQ3We z1xxAWV2-gnS{EreE;SrCNo1VgL&EKG8oYlpYj0- zCMRHgy}R*+z#Mz5)e-}H8R?qby@KMr29of@y_15 z3~op1oi#^)8%r({V-?1`OE&*)p6E#YR>s+K6~;%XsDN8RTr$nBWJ<14>MAQ$v$1{h zcb7?7ga_q1Nn|N5t3f`qM>DNVNlllIC6>OcmI|)T!H$zv>O9Pv4XRHp-u5d4d+j<+^xEa#9@epo;iA+h*PSUgr3;B)RuosBBJ&oDGyP3U z6WJC<$ha>Py(Nt)7?IELW`z|!+$f%o2}TWX74l`n6o6;Rllkgcg&TXH89VosHJKIp z&K`Drp{bPJKh2hNY%39GN{uC0JPFwv(=@5sOUza0-vWEKe0pQP<8C7q2H#nEM2>_l zKJuX;p*=%1!xJTOxJFqJ$fFAhEmgkyg3y&#sWgD&Jc|9N|Rn0H>!9!pzu#E!Q z_`si$C75WRTyoSnBIlBTsFi06@kK`CljIWAu{Y~=HvVF=j0nlPTXj@>SbFUpj3mUH z3YZzIU8C_!I*-Jp<>%{hqvq0-R-2|)cIDIf4_>#Ua8H9zm^ROoPkS)vfr&s4;{;5p z2Fb{`c9(?y+HPMyVMgglj=JVmVt$oq-~wcq zLti=?)2apKDvJfk*mbOU-FdDW{Qx?(eOpfyK57a38iS~@Hh4ZxD<~mOt6l#+M^Wph zfger+BzkHn!f7Q-(Ok_^+sqFO#fB zZLRS;X2UG5>9xJzF~7p>o)}iBzO)M5c+U!0GmQL+ELC2tQz(`NLRCZ?`?Ga+aYd}A zUk8@T)zq6RK?amsq=-VFqc*WXhB+#Io@Dt#Pw}yM@P4H}EPh@9Kx2B|q-8p(SZjx( zhLtRL=zuptgM3<6-I{x{Q=IzzT@zDZ-Qi%;BRSN}4tdB1AviOYHv_g?8-`~1DC6vE z!%=){GpTxP8wL@2;1Al1`G9)31s26X;RL?XgM2}J7=sg~g6I`2a)Dc3X8f^F3xU#qdmfYCkE-tg^IV9ix1L$ma7JE#uIwF0eW$%9 zx8p+-Q6KGXus9@F8&w_@R4C(U^K%AqWIA{n3F)=GI<%{0;8 zDv%%M3SvBFq+V5uZe+)$N4u4eor+nes>r%dnctbzRJs3GrQC0Xi`&RA-EF z?ZUJ?b9NddN<1aN;PIE1R)^z!q?7`rOv2}}qgt8qv{6z^ZaHK2v_F`$>@hB_Kil6s zB%X~UXL5IG#Z=s!UYtDoWnDa6U+C*nkABGt?6Vr=`fXyJ?U54BqmD@hzNywR5K;PM zsIm+g&o_xnT&Z@RB6#orrb*UQ$1Dr{8up%=khF#V_-4Fd=r~75V%`~H!5rZoq@Ht@ zw9(cjRtk(n?iY9mSt^OfMmGZXYq56^H9euWN#trJk-vn$E~>&wEz4-mMik5MiT$`C zy~pvQD9}c6l+Sk`Bne&+jbw0~3GHCe5m!zJc$Pw?AbY`0v%imao65dlx2;@K`*|&X zc%tQn`aQp;jYq+nc3<{P>(Jn{M6QqwHVB#_zqBlk5@GL-0BKx_o*H!zVrqd0ji|Zt zE4vk6ykxw(mT5;`P3*-hV==27mh|22rZqml5x*^^@}Ag&~+fn88!dN@er9?#>$yLQ0Sh!MvXX8)lY-@^cb2Qp(6A6-8@ zJctQmTM(68qT;ijTp!odgZgLp2epe1LNXgt>)7h=oRc92L>%ZBepj&Gwf~hJ_~T%f ze<*9>cw&jR*Q3DPuUcAtR2Xzd*a9_Aq2;BS@wuVyyaVc)>>FD8Dr2p?g-zAxGvF&b>ww z|BC45H$f^e8zQ~(ZMZjgFb6FO-029$nH=Mf1J-z;_>$-i!g@d65Fy#VJA=F56t3f6 z?_@8K2!n-e-po`V#=5I+Qb&aVCDE9>*wFLD=i_wx>4IFIJry4+Bg|inY!XnQ`-(@4 zlRW-9DM@$|k>kmFPL+P5G%@Gmz|G(;v0pmp!743FnwW{|6zTEwuZb9(BPtfbU51_+ zRb&@BzKr$XKb*UM8kbQBOjYh~#Bmka`$>Ne9RRdbU5e@*yuc_469C$l&ilKrBKZu2 zRmz5(7820ko+VjOBal#LAJv)RDIDHtFs zRN~qy_OK8OV|4nS!D>|1o9UKFq;0tpaF~+9t7r(ln zH@rSxEy{`>$ZBw*w;Bebi-{e$Fn;x1?rx35J+BynJERL^p(l`El!`dz}S#R*F{A4_q8uSdS2lg0hG+Td=#F@ z_^23$f&I(8RU>#ZFn^eL1aGgA|0bh4LCwFe zu^E3#E~q z@$v?h2xoF^6u{?6sN>s)>Q6Y>$J$3wz@9ueJ)VY{H+`1x{ASeTyu%$4cw$tNrGcsU zWf!Z*CWROcX5U?GnJ2FN>fCE=0rqNn2R_)Ai5P7YcRPc892S1*TXk_M80ERU=yLnV zA7MdZz_B!3EiV#FRdxWKUW^5=1ysh+J=W_J2AHK_0Nq0D<=vA0k`z#*J?(fx9F;IS z;~dk^7tl&Qh_dZy`{FD9o1**PYBYuB9Hm%#_K`W8sH3`Z7!F-udb9YmjNTpB28j+z2}*guEMMRG3MD2)_=UzIs7CkI{O;j=?3MCJ$&- zJJ3aZIWLWn_)vn_I+W8I`E^(RU|N)5s6Wi%p-ijy4X0J}mt7c;j*$NqE+hpy$n=(Z zKK8aQ7p?Ef{fJ`cwCy`#zgnFpMy`3=!oYL`VGWM?fIg$VP>kTMl7fSzj8yp2K*NG6 zMz;d>z~?Z<070A#`!&x7c;rd|9ab_4zb{*KgLcMpqG47@nVEwVKQc{l?cfA$=#S?= zuoF;qo_u$1`Z@O{!>tJiy@ls=0(g%017>%cz;lL2LT%6N-Eo!raMPP$QDMcaEVQa_ zY3!k{-9_lX5jr>lt=-anFSGJ9p|gB0sUEs*45V8QJdRdM3|;LP6)lZ zy*}p6R@ckt5R$okyTf0 zv+Y}Vf+BY8z31$ecRjr4G$$9imz)@cb|;3jPS8T=WstLha-9kNW<$hY^9+{XQ(`nl z5@`U1BE^_)^61>67W)J6RrX-t7MAO~jgDLkssAg~u121(`{%&10POx6s*v{%2n>Ii z9USmspo=jRw)5aDBj~@OfL#gUCtir(OHd>O5x7kmt@d(Cl|>H+d)oR(b^SYBu)_LJ zt=Ygs5`FZU!?`IwH^#VvLF3}_O!oEr{)(A=bhQKPZM-2p@41Q7)(C!ho9WU-w;Ox*q~i_Wn}h3fWQz`#aqPfg?T zyByK+rKoBJnNv3moy+cmr^Q{xy_Y8jJNN221wOB{eYp3HK5F~MBbubTLMMS`n&@6z zY#+`ohT`ugTs+%?;jfS;k)*z1Fxg@0`oWy!G*CL0qj|}j(3XtC6P-M@e@@`mdH}+F zq)=X4cP<$dhT^KQZ1CstY$s+f9HH^%f4>PjFmF1=g9<`wNH8#zMyJ*38?gk%L zrg5-=u2ZXUj(ivaV0Po#MhUnx_Pj$IuIOFo(Q1i$iFj_tO&R-!2iUn~!S`zn#gUy! zVbu~@m!D2nXDDLbl_KlK5x3R~LTOI;L=}dU1*|rcV+E{iY;r1=Er+r7co1;5?iIWl zq1d!0O`ac?Ox1_4frOSESUkj%1xjbmfP01D+lVS7{sdQ8$2VrLo`0pP+42DNRG94b zECn6gY#uAhjhoze*Y#tXIPIcC3AoRhwG5Td*U2Z6wjNmmv+ev#AN5Xe{$?yZ9$`gt zr*Ubg)o>JC=GlQ9orOm?Glxh_Dl{pGKSx{*5H|s z&>(R=km&UAZ}C*;5R05`oWjlTt^y5@vacNpylgDhHfN$o?z3OH^y6dUA})-pg9g)A zP|3OU8KovCIimQ38a2v_+kDCS)_TKW2L%h_e;%(WT~Bmjz<(QbknoMkV)8gXLi-bu|-xi%7lX zDez~OXHB1Fz1vxBi{;nVnp$9lG&Z}c}-bJ zQH>@+cw`$VC>tP_?rX+6f#Tl>EAVDJ^;uf&!U#uM-FWsS&1Mv)X8*$A9DLnMx-xUL zT(@?}Zqz_6e(z`MF$N{wY|b*=j4xT`@NMsS@sM`1>)7|1j1Kq4msm#{`@-k$f z%e`U;{{CE;yCX4O9e?#jlL|gHR-&q3R9kYqsU$4R&{>$=N6=wH{MDO7E(K-ZG*C-S zte~ei2zmR)F~8Il*j^-rfhQ5zo#|k2DvT^gd9XsMmgl7p(F4$cbL`kjK(kNMMS~5a z6+TR+(bP|D@Vx3cHB;R?1I0k!Bu;RNt#?0by0jHXPs+8{h-Wxnx9_FM!?UrQcA8

4lcXY6WLl<^8-HZ#hA)Kg*M5^TdU)uX%m7%+Jc-}-0yOstm9rI0sHBQ`Z-yf zCnnd9e|#Iu`~bZL-w=|%9GlRi5Or31tkV%plRAHok^E6(>-cUZR7D|O8Wjjs2b6d{ zD4rl4|A~t(hdSokru5$jtU54aCZoBDT{gP=b#PC@Kh3OhDP$}2_J+6eir!;_gUd@b zM))96hneO-)^27V+OE0_DRX$Tqvu2#djnHy)9iuzUnHNEU00|Yz;SUlGI`30H}W@5?1po9ngQI)D^f8V2#&P|h;}UasmQeYO!I*v?n<3NZ#@Gwi&m%>Tr?|7p$rf5UN~Z3_qI{{_blbdFau@H9~T{>U-v0!!-PvK0`Ll4Sb| z3WwnaNLx&a3k59=|G*>fYg^><^VEPOQhT$GedD_53L!uLcwXtc{6i=4u{!kecKC63 zzx83iw)FAzf!IpC*1TcxacTbXOfvNG(#`)d{_))8V{h>Ba`^mA(*1tAR89ZR^OQSx zS>yNeMtI(C`;zvdV7v6l_1=#|cg`hT%;RX!wMAKY=5o+QG??cI;^+Y|}UJ~r$a%-cs>FMJlTv##t< zFI|jZsu#Pa3=i`jrqY1Io+LYjQo zNz@Cs#a-Txn4#`{ChG&At~XlJCZ6^#fc+w*=Z7pyQ{q!47bjn%3#N(= zV2bF*=^L0Nw_DL!mU!QsvH|=ez$Uv2h;byJUmgwhg-Aa224JJ+TDbTc=rpSY+py`t z?p8cr7Kq|LZ6PQ*pX*65Aw&u7;EtsQ89EZNAX5Z%!Fmy|B?0iq)oi2;Z(F#-Kyu8H-flwe@cK`Cr*?o=ePNuWlK5EV@X^KI0 z?Ng2$BlZ4!DEwCt@A}x)^geY6=7_4p6cquQf}`rWE^0$7OT-2F5C64qky>vnqEC=i_+gCtmm= zi_F@FVhhs=e`*gyIhl4b(NO5rvg|VJhPdlGrVhwec-^E9OlrwErU8D<1h+%QZeMMYP1#{71%iEH~@%37z ziE5b>plhsQK7F)qc_$@xj^XlUbPK*Iii+6qcantwmvm^U`zdW}vxiyUv;<27&TyY# z4^vau9FH~<4#uTDYCHC*iDOzWhLa7CyJbBgJ=woz(#|H9R7eH(HWP}inXZTW-H^l0 zFdgg~(+oW>CPa@TOYkM7Du$Fx_Df6XITZC;N=zDQgFai(Qy|+J&Y5KoDmn~;7)$=I ze6@7r-q0ds8e=!zF^AW((}_Ag;#gmWwU{VQpW%>T2p=6P2eoOZ#|1C6YEymEn~Hc=JNX zvf7>cjv87#Arv4=($J31t+A4mJL+(*r186eaJ#Y9rQ=|z6}=zU&0k}x=%Ho@sGQM% zNeHkiI{$%9zk#d#{fe<}eqnYVC#id`x8*i;Q)mQAof<%**er4tvzMWal1f zo97g%Xg-DKoVX8_CBRQZAf;|Tt|y+>ez^2i5Mo&!flUfD0i0V{3(d)|<%Q|MkXavG z3G>kL#RqVG7l5!eorXZ!E9F{-`Dy(E+Z=E=s!9`kSA=PEj^Ep?Fe%ro>O_z4QGsbO1y&0n%&*#NikU!^bPZy<;HgBL}YOU7=Q5Gcj=?uQS5NnMB2b z5y{V4n#G$Dj-^Nk_KxannyBkLluv7+rcf0C?i8k<&_dH!345FJ8+0(h5p|rBDqsv! z90Vb?MeqqTWZ0wxGXU1vz+-NXexJ|G5ChvL#T+^9s+%UZ2PUyB~DIt+9?(6 zPfbydxBY@VEPBeCFn4lCmGKPvoa9us(GEQ7Q>9i%j@3TG{!O17@;~p42}*zmdrdtR(&j zZaUjT2U|qbPQ*w6EBf(ua>2)tq+4hhv+xNtWe#W9ys%zk!lhrc9`L;vu1rGjO!-AK z6dPGP-@Ty-Vu&5wCgx0JtJCeD8`rikD0s-lfj^=(r}^pRiR8WdWLr*2ihd9EssU9Y zCdK92MDhLM^trnm%mOQFDV+N8WP#ror?mfT9*T5iKq)H9B z#K?|$%S_3BIkrfk-o{LZfV=SF0QYtvYR9S^wFZ-zSuJJ1CpMiP0qefGgP-7+i4 zC1LW{=)_Y?h7|B_`A_aRC&&(&-l5Mf@ zh>0-nNOA!fYJSl+8DK+d@5e{L{tZVV0qw6telG#8hl;YdjL2oPY`XnlIgTvsww}(k zf!)%M{vA!QC2fb-5gsaQyFE^jqJ8S4_|J0TGe?LjZ3~MDQurMG-7jWe18%uspMc6} zU<4wf3SKQ!zimWrFy`c|Njv7+4^O|kEeqQj!DepqmRuN7+Ti=JLUPI;J_s=M&t_2* zE@J-B8f7H!`51vP^oW*4zYH@LV7M9u`|=qthS~K;VK}DR_E8|lCJ;D! z{SpXig0 zNH+BIZRRYSi-8ALb`vkWSSC>8Ii~%P`%aa!{l9SBsFRjYZ*8c}nV-vk;}a+O=YrMr z>h*EsfWPU?FospJ+DSFD7quFXop#F=eBR{Y#nknkX2O2f55;GNvS0AK4b+;~yE+WR^09`1VI(|X6gqzAMs5PS*nHYoCn(w47Y>^uT)$MKuy@0}fl%W<8AJ-3A%fKP0Fzwt(ia5qL<)0=`~8lS+GUnK^Pr-vjP>Du zSMq3H!S8o;%LZ*sGzHuV0#nA$elqclUpFNu)Ufp4j|hb`gU-#$UOGMMU3NN(q@mZb zb(VxQPUd1eI`{1Z=lMhbHyl?amJr4f{APh$quLxSRh z**w@k-gryb6zHiX!vK)8*(7f9cnK3}q>D7jCxV$PmgdBkW;QdspEn$cIcNC5bB(M( zL4}JRNWs`O7n)9hlDIO3V;SRY~r!&cvJRau_$d?i&Ldj*y%iU)m&)NiLPkcjNN zN*Xf08H=CgopkC8kLjMDu^(oM&wCPVWtZ=evuOU(9}Q)Bu1pGl%6Eumy54jM&AAXC z?jVXjPLT#!8bx_?&^EC)ae|~+!yo2An7&)AdIPTcWK6>t)kxew^%L@0jyvBBW5z#O zW&D3_j&@?GIVhfTs$|aoJ3J26{lR~XQVIoBfot}S^Fb;f)duhGoloT;H5aqo|V|H5%vzLS>ig72jNf#Zrp z$}3k+d<0N=Y<43x0F&d6gB1uoTcpc&Jg8^Q1z2utLIebdp9}q6M$*ydjSbr;+40l< z$#EusZWw(b_dn%0+W*RN9)=cqFsZEb#*pLM_(GexXIgOw7G z>Z7^%&joJ$#;%MepL!heuXt&*>NOMhgc`3HbflrJ;I%Johgnt|RqhtT&&kb54}dE4 z5g>n?A_z@HihV)hE7&(O$w!a|eG&8+ib2$m_=in3t3)08?@l&d3u=gd>xe#De{0fp|T%U+&@ zEQtI|4)ETED+QGOj^(46+Lu)xp2muBE{8x`Dfn~`Qmg2z-oSB_xMp<)@xBej1gP1v z4E;xQ8C9l(Jn+n9`qe?8eSw3FdiKpQV*KXk>m3CG)q+ zoW+rIF`i~-04yObD6W-f*5$jO!Op2%)+Zi^Ux~I67N;Ta@&`O0MgDa(X~F=%GjR}w zGD(;pQ))w^+j~!Gu>{)zs@8<_iA+-&U;ZJj+}{O}7OplREdMB?l)*iTNf4F9rpaqF z%lWbKl6{e9>J31&MyNlHX@X(obV+ra8Wgoeo_Fyj489)q+4R=L@jbMdv!j)EW%pme zI(2X5$Bm$e>qj}2FUF!j@8AEP#=?W+yd_}5$rDeVB@V});?`N`935}heN@BBOwACD zN1{PD9_8MZqm0Ctk_rRf`sd2~c}b4E`yWFtcL}$U;8}w_F~IL+Nbu;oxe|qTJhESR zmQ4zVuusXQ7hH~oSH+s$H0{~9Xxt9?!)A?8U+4d9Pgc8n7U8$A9^adk#gOb#*6XK< zFXs9KzOx}v2y$aWKf*?>aM#2+-lL8r*Cy#gzLTby$l8u3Y)K@PJ+> z*YNfkOCgilT`8wbCbY)as~2Nz@3^rxZEla;XExeSW0hW@KFV?J;wL@0z`cNh^7DG6 z5FT8K9wN2Gk3Tasmd1^Vq|ip+5oBTjUR-|h6Tp+qP8x}nqvd0G`;&&(`8$j)jw@y; zXLzF6f`7B}>cW)|tzWbvcPYZcVh|rz(tSx~u|UM=36;M^VL3OSJ4knI<*WRL>@c68v)M^d`jA$M_16q|R9 z198-mwU!UKpZ*AH`c>sjo{_&=Y#-s)kX?AW19R!sFsCe`pEPUrWD;%%j>CG_xiVx< zy$M9qr0f&LZj`n4e#V9E8#+IBV-W*L>Cc$seyiPxPFT}z-ijL`t1sM>JC1r%~c* za!H_T9Whv7s6=~nRf%9~EESIXaCG&^BRu~1jvTkN&IEQ{cax~xqR{op&8&MN4!D}- z9oW8bSzVFdvJbO`610AUdGsRckaLv1o#h6?Y&gi?9x23T{6qFCYK%}`ZdlbJZ9j52 zkX|8pNHcv760jj5=k}~c1<;W7Y=(AX3b~*Hd0zT8dk!bnh2oKI`sEGYYz8M%r102ks0K2uBNK&-(2hYI09!fTszF z4mKFnpk~r0nOUABz#b9~$3&m1+FkVu;d1={<<>n+)HL{vAIvs}s^HpGK3&u3G496C@G_kb%0+ zC|toQhw$bdN#%S4%Zj{JymQZC0_to70X9OwVDSb;#KM03?+Vts3Sr}Kmml`AlKmfnEOE#?ZLn?%cyPGmDleSbLog<|wVWBQ7eA{^-`A-qVmci!UPOU|*EXZ~DoLSweS#y#4`MfZ zLfsBw&U7#S+i>P-y(#K20U=_cc-qp9e`PLa{0~C=0h*wgQt+eD#P39g*R_)}L7SXu8a<3URq<;!rL~8X(PWS4k?5?SE8FqEmu+24Gll9Bls_hGtlTk5E(VHzD4rB zPjB!hgZZjdJiwq=6NMk7 zzp4HRQi)v?3e;ZUH2JVsVZa=C|d&TG_Z_3Blz}eqlga9%b(XM=lVq^$<(6&ZKc;U#hby)}YwOOfmEkxqO(r^Ev zut%M|^jQ097B2O%B{=sck}TuJ4$Xq7ZxtI>?XvN`N4zJQvv*)FvJ>9)!`|0xWYoP* zlLr3EaTdR{Njpx+{?oKgtrR+O8B)RCzSqNZS$DTO3*R|^r3ZhuOFI_7!`SH=G$UM> z1VI8;jQ{%k&mJ`DIAZ7l>L38ODOiGxDhE>0N(aHS=vdWYo`zSMFoO&jGTd104ssUuM6RKju*H5qd)xb#m}{wW5%L@uA=~Rwr(>^oW31sQ-?;$Z6yWC;)Q+|08Tfu>Z&e5rV z;@n~``w3zx`qr;#H&+J)-f#V$pEd46MfS=9>(bM%t!G?*t`3U`z>jpB+*e3&LyXK5 ztHl~PUo96o+*JoAZ}>Wnzu?YqnNB3ykO>m>BSj$Ns~Hr@dLucEZfZ#|5LqCZh-LD- z2Dq6`7zj4L`z$!knUVd=X#??C5A$3XaHxYrJDi1VU7v7$?#kifWh5#?MwtD(XyM*K zD1LEBb9pT`KgCiYvA~S^%QJl;PJs``ALS3=OA5=zk0t)4nR@8oz@OZ21ymO)Rv#yG z36-sQ0^CIRFjPF=j(%%6`gTj+4>)~Cj@~Ib;i3egy7!ASh>hr;UH;_a;Gt)2>ekR? zd&>fsgNfo#y>7iyvTi_F{OyH7dH`}`HBh0rES zn^bv&xd&|eNK$MuX1MQ9_T{eYp|an7MCWrqDAq}vcu$yGMXWqd5;i{HPx?BpjWgY> z7)bSDET^QS&r&iieNpXCpZa!oo){vc>k5${qbr=Sn)OU9n{O4D7@D~!oXi9FSp#RK zQ_A8{)Do<=C?dzq=P;7PC3q*Yj?pS3{49GcM^CAH`Zh=R$0;l}U%>|Vcd=zuf9MWq zxjQ^nQ%zZQ=Ptvihch|`p3HELPKEcg=LDat!L2i(MXx)h+Sn#>c3>h!k4an(^xN{| zcmEJOvU|Rl1el?&GKD?}`*6Y=4vl<6zxhs|B`}Pw6`AiF^2>c0B=sp0k;D1>OrM6F)qpH*&F| zppQgCC^x9kHAeaF){QT~yR}qltCwK!P&CL-+XTtqo0+2@Fu z`gyd5bkdGxR#nOQ{17Y`7A@s{_ax+sjHqSISGyC7k0pkDn61$5JBq3nIf*Rc3r-=3 zK^oz@cWg7ZQMj!DTQccZ5X=j1k&fivKZ@MW`L%0vkXCIu|19UN;>_BK9dLL~+Y0z2 zc~hrH3Gz(P6+Z}~^+ao9yvtY7PijWiz1ui>5=to!K?+DU%9Ps^vOs zc!IoD9^#i1fVpZ3Q?SbLN0yz(k1>{t#|bJ|LLn?pib54^rT(snG}|OW@J0HHF0WJy z-!FeTzPxcakEl1Q!aOjJYWjY`=4pOo9*v`j^fm5iT;OhAd&3E?f?yj#)q+bA$$6^O zSQRJo+SAatFNl4+gY&-`b@Tiz+7=Y8=~GV(56xq?;$nY7cn^WsS%^l53i<^#T*d1qnc@gNj;RuJ>6hcRHv}iP4Votzk3-` zz?ItmaOY!-TX0%Rs-UTlp))W`77)k9FU@WNsrLAw62p zyY;ZRDQs}wC`Xv-Y>5t`NwZ?k_)l4>y|LhBI;-`y$s~wKgTEnA$oo)9aQLQe>X9zs zdlDaw$grfz(L9ED)5Y&nAeRE`=xd9>d4DK_;u1+%sO};?ntodygAV`3>BKK&tRQX~T(+vpscWj~Z}C+Mg!|N37hM*amD9mvlfq64$~2-q&UGYk zR$OH8B4YIdQ0|U<|EY?Ar?Me3z|oIr`vC6I{;J4q1dY5F&5k416C;fyrN3O@nQWLU zQsh;Pj|Z!!KveNAl@d)3|NVVGgxbAeZKdv=ya>ULr$3!HTFBt<7fT4<3|<-AWn$6-G~4kq4w82AKV_yD#f9 z7+}HdbYUCedjJxAXGaWn`lyX0{>|~MRtD{yHuL0z1}2$I0*F|3VO(YED^*gQ$wgwo z2_#RZDp5yFc#fY3HYIbYrz4)GdRl%#$k&1j3gwia9jo<~;$m|AHQybkmt|+4#^>ydnd=M}lJQtNnu9P5L*y}L! z%s9~}^*Ib@Ed-}ym#JgB$+dTg7CDKaW0~POY++Sw;O~|y2~xhBJGj9zs*Rx;Cb(J8 z+jb{pNN^zywf)U+0o2@AYO>{UA7PXFAOQf%duWf8#4PnA{E}y$%1_MrATs!i();%M zL3J-m2rzykf3vTrMO5ZWO%f>ea|(TSeq3*jI^9a)->o*((R-I==G1>5w|5Bycip@! zF!Hq;Z+VCmtWd^K9=Cj^*r3XcFK1cMz!dEj7xG0{)mRKD9-~q47?)kPTy^MYY6FjM zLMn>}WS2#@*@QKop)8%7zQ|aZkR+K)n~NP{c|$jN3-ed^0>rdvD{tLd$_Jr7M2D`EY*tS)3~QbAc|4i(Hj_1(sa$^kv&O;u5U5;!VkLZTEs1 z4CfWRUhe1J{s~4Ol`7y2T$FuziUCjoF{FmYqk_*fycmt`Gza8iL^`_e0eEN#)|Z(v9HrND9-@w$7058mcoTb-7ktOk1PcY48*LnVB|7Pz zI61mDMuZ(Xfuh}W2uvw)C=I4`sV%>|V?jgqwt!AbWWed`&DzZO-|3F6LiJv#yXD%* z)+51@tBg?OWrG*sTyf~{=U_zgbfmv@!cAa0defALsvjtS4y5v?N^6m)#d*@b(4>f- zV=^<2Pd1PqmRy`YpaTU4i|_;3kag&#&Mc<~q^pbB;#!tUeivy%&?SJQ->j&3v*tI= zQ9Fn7w%3&S^b`dXIz%v0uE75prn2b2>LrN`iIypUpk}%oH{$8iV|neAivrRXvzkOq z9J3OU%j;v&9~up83{q9b!vy2~5IquT)=q4F3C5~S=W)LbY3KiwDj9w_XpLdS^$WIj zEhkGFTml@=K@``)1`Awb6_NACyhmvWORdwLfj7Fmg#x7k4M{xsK7~E5N_KU&@`wIqz{f+{>q#t^ozzWSP+?+F2+kAGigVgL)Q|t?dsfEnD zXs2F14s63PdS2&ejf?(s4jG5ZmH63O{DIt3m8hnW^+l(B-X`^y4f-0WPWYIfada*j zI2HRR)CW3@%cOz~`gEA@2ws)e{NT(m%aODM$_LLe>&Ekd$GbERJiMWBvjhl;3h!DmOEg8v6GtIca-K4rHwhu{!5mLSr5b zpCexmo>|EETFQF#maltJIiaB5qMXo^?r=_Y%v7TRvdyACj^HA#f!THcXxM} z;!-H??(Xg`rMMJ#D-hg@7e9HwbI<*gu}5~s9{I7?nsd#^*h4kuTF!In#D&tZ1$4hT zqY_|A{;~QYV5B6;jdGCkMx(!*3}k^bmh}~75Ou@T zDvWdzV1Ig5`b&JuV2NI@PShR4BYD2lsw*IKG+&yR8MH5T;a{>Hur{bezSgQk=BQg1 z6`s5dGIEO1$lm_WH5~j$u}gKBTK&@6i>9)*JJ<(YawQHx>?*_CP!t_7viC!vyJ+30LCrIyJ>5={mp1=UEHk0Zbvv)GF-H-k0%?0qcSkve-KNCMwNmnF1IWEMue9 z@LSI43lw=Di_8>V)<-%@8U=Zt@IqPwjCxDPf2HAh5m*(1Fr|z6Vr>J|APCnV3-l5` z4Sr&{P81Jt(k&lN@~6Mt(~%&1(~*&<#@~Q$9K|c}@!!g#O_F7J5;MTxN^X$ZjQM zJTJnAIo@U&Jg@sZi7RqKmH+Rt!5g7)$_*N>5@h%?1?3wD@r>8-?S>4sh0(uN{I1h9 zVFocUx{GG-)c(Z>Nz?LUoeOsCT<*@36DL13HR)l|{*5`L{^Rc-@_*jzkwQQ2*+`XPp#_NkEv`PgN6u91 z>tW%ayMBRuk{6W2l~>3=wq`GphdtS#k9ZfDOjClaK&ETI|~vjp%Lw)2F)C8Z&)OOR`ou z54%&b^A9N$CIspPrdDA$1T+76F^-!2rithOF1bTkCX18ADX_QfVK%)&?cA~WlQbRR zuZ_&OpBmgvOaG@LbgI#-!(>qMqovgqKKXbDif|bm=gzyl~Jw7U3Ko%sdd2hS6XFxZdgm%Rf z0}Rvi;&$%5t16#7Zq24mneicLXusuW0$?QDAyy@_eHw<4y#)sb>1_~8yfU|n8ss0Q zsaYHdiwZZRXa>5t4B6$SN!sgeJzr4)bnZ6DQDcNPw5(gdc1`!qPP#>5I?K9M`QKwd z68e7bOU_To9PwjZ#ik;;{(!umzZf|<3XjN0k7eH~;%(>=jAjXH5@-cY8YqNYu&6?S zeuV6()Q~f=5Rowr0x?06EK0#g%`HSE!ZfIrS^vM7mp_?10_>Te5zQjx<{N+EI{SO= z>I`}b*rfjd#XX^2FE@~~3i~l6RCH{Quw7hKuu3}zAiE(kh;BvnE+&jf0zf1olITug z+l$#CbcEJrWZAizx=Q-h8b2DikZUjc zvuLfO5FtmO7HXbk?70H*Bc)c9xI``inYUXyb3K*2-N=vXEp06N8vNedPt~%m1V~NO z*vL@@i;;2EJCvp2EtYq;KS&JLzO!6wKNwuYngU&f^U@X!Rx>q1TyUhOYzNcSdo{DZ zmpqGxZtQa^lFz*iPg+EIes&NP2cgXTh$5cbXN&DN?#HbCC8tr!x>7AEI0`=f#qDK( zha8StPxM%I^W-^Yw_$4kRzEF6?UcC;w`+AkL1$wEy5;}h0KP5H>fExHPIQ5Q;+z#R`W_ypXx?9lAiEbE%~dek+}MGK<$ zOdEFj;n2{W1*rpe|Efy6d3sAABNxAiqONf|vRQ$UOo?Gu=|5Uy#-Z%8hBVIPPMO^& zC6o#U9!{+>d9v$Sm+6Vlz3|&HA8wMA3EcP(1OF1kf~T*A?U1nnwB{D);{YjSXunF} zY=;MsT8sXAjrmIq+K28-Bv|`Vn#WC?5?gcBIR<}$OG9EB)?h3EeaboaQiz|E<`cls z@DSPs?bPPM8xs+Sw2ao4MV#Yh9BdWQ*T#g^*8T(9WfXVZFr~tIGNlPUMYtGI=)!tw z8+B@X=W8az;qWM7e10GwCzIV0z6r_DG`-8&?}zlVB*Uf6)1)$|QDwiG*Tx3LLUoJB z*bm8ZY-bCz2}MO}iY&6pw^}SuGWT(fb7Yi`a(fBNPAv2FH!Laf(OdN9LA4>2d?#g} z#uf~6qKgR?au%}~DN^8#%w?pFoq+cpH%@vE9=1QOk~77tsNvbb|E?Ezi}cHTQ|KIg z)4sj9U(lWezAguV@~o~6-$ZZKN$b_0bJv^L2uJxs6aV!E0RE}hZVshYjn-hS&4@b{=*NaG%zuB@-qPjJ{sinf<AUx3kcdjsF`Y?L$UBkAQ&d{s)Io7TcOsbEV?0^NkhYlgZ=) zm=+&2{QGJrQJ^{OtO>D+CS0d<^sNtx2*MH#eNj|cGLgplG1NmdZl?0iK*y-aC;?9Q zi_K4fq2Avxe`CWpQ+>(!Q2cW$;e_DtBj@xSMgQpktUG(gA^sR#sh^$wkGFo{U>IIW z`K#B_tF8#7Rj(Ju0D6s6Ri=lGhfuwU#gd&&-&&>LlREQ(r&AJK#l_=+udpUha@bJh zG)Jh~_q?zyLMt3gE4v@=Js$%@Kxn}O!1}kbWT3Ys+1sH<@N{)11{H;6nRWy4?J&+v9U1U<8!P+ z5sb>;+`jvXp|<}+Fnk^2*ZxhCsYRvcQybzjxuDGo3e$CSBcIpn1%BvHrSZhe``@2sYY|`%iDkIM1$oH$42k8v=g}p4VUjeb{pDD=kt`qP&jSOv*`g#h zzD?xD#Vvf)VR)<>E9{&Q{Gh)^Xv;Iet2QQ`!lZt(GpgEmQSyp=UM;kw>loY3q&LU# zl_D!>;Ny-yp8@u=HkU?i&SEW+p?|+tFR7odf!$?{gxc77rB5#1@WRQ5dX)u6FG;sd zLniV~?-Pm3O0nk*v^mJYZwa1EjR`6u45f|_qY+vJ?oJs`BO|NK>27B)A>Y#7U>cQC zYOjwxCP-V>iMZSsf~245BvbsY$GA)h1LljZ0H!qMjY&Z)&lFTe$`n4)8F0>(TV~6* zPN2?-E8lw3fV9_9N*b(42PRQYTJU*}W%@|*)908pQ{BphDd3rY+(T-x(zp?I7A^%9 zXXlsx=d+g;>nT}!kQ%ts&7E;vYvEb$bm7;S9|hUIpek53;#Jx5Y%~+2t@N9?N#BYq zyzv9#fp z{eP1q0voCVl$hVrXD{J}W`#zR^}~Z_UvT|J2iNj8&gS0J!G@hJV`ux!Az)DiLGTC1 zq+8cc4%l={zKlRyeI-Vz(*#P)Q5DG8nXe(w^^fw*!N}31E~B`iem)QR_uP>n71WL> zbuRqaZsyX3jMZ0@q2#8KxF;=aBp3Oc42^P=!$6BR2WpAG94P=KF_ z*RMQR7>XeRvwlwB^FnGv)whuJ$O`qnC&+6?ZQpth@445}r>BT{;bJAKhHLI3(FjFI z5wD}M6O}0Zl$E?vtULW`SP{@flGfcE3h82df-cwg58HkxC_hA4mSYP-bPGKU8 zXs&Uc6Nb{QbfrW73}qPb?@jd5bRe3{(0g*h(b4a z0o61UsU{7-*^B-3B{q$0o6{U>w)++bly;YA6npAF<257J+C;P@AEY#H$#s~(pYt>? z*%=)U(qs(CjZvuvySSOIGXS#868*PJk1)iG|pby z0%6Ye@z5JP&B^8W6!vSwvaF>w-0eri;l5;8qm0%ls^v3nD%Fw7#<`b@3k-CCN!mHNurcw(GhC#`NBc#+%YUW1Fqx|4^bK82na&>tLrk{AEE+E1_K#%# zL(#2ir-O+SH*PnCBw&8A%9-YKqj>%Q_K&+%Mh2kNO{2r z=(n!Gu|q3-g^$*}q-Vd5u~>Ot3sz-awf61gU{oA!%j8jUU?Sa`)N`D^=2Q<{ zH-5e^$6*}1$cc)w>=<%2paLL2LfG$LKQ!A$22--Bm0JjS&6!ml3iDQJ&sIutRweyd z9P4gM#k*#z@bc;={S2xVu z4I?^NDU8!|kfwfX7<ZjK3|VG?SprPS@TRhW)d-7edV@b=iyeMTIZZ5UC5GNakDo0X>HfZ6rGigXI%!}`EU)DbMU#D;h*wAfVS==^&ktB zwuggDHa@)Z{?yQysm87_b|@4N4F_WRFB}Mq9enk%jGL-fUoj$*Kl*2N&9~KrJmF&O zG0u8Irucy22%Pko6x5ZWJd7dmgG#PqLN zzLm8bG=eM>X473Kw`Asx!Ukz$G}s0-&%mo~H5wlSjYn+r#zXVV(--kh13{Sv`?|C- z6q79V;?*cWi|6m4Rl*rDWXJE=tNG*DtCK|G5pAixp5w|O;_kgq@xPn)3ufgYjxqS- zrV>FuLaJVNLk5%++d2n@%YId&JFB`yo(`>eSh|S$};CXw6U5vGj8$(>iD+24b z7i%~6-pSa(z^gw;QvZY1GMQf_M(5Vs&V9mQ_Q+XT{rmW1n~y$_mvmVnb}@2U9P>{y zxM>H`9JakRQ=Zpm_G6(4Px5LTzjk z65Y=(wZ+Wkn4T|olqGNtBXDT9=OKcAsA4;`-)liQYG>taIQ%-0%fuxY|JXp5>=?N#PdVx_3F> zS2Qy)s*lN3*F>F~z)6C-PXMJKiwB9c>Fs@pny8jJ{7oxd!7g<(`A4`QSHjU}F(-3!L&Yxt?jiFTtJp&;5%J7X|H(X*uys zgP>ZHn6HVj$|)YyMEh*>UU(8A4Ck5jTdKk+h3?*f1V}G-qKZ|eRF%rUU*WA<0yXvU zn;d4tkI|On2fHqTU>f`s7VCi9CzXyOP<)z1O>0+JS@B_zguvKIVfFyk4Q_RYHFk2@|M-#WQXlIa)IC-*(3a5`v>uMNh z#BFJv2Yi6YZ@U3SU;&~|Xl4G{0r2K1N>QxuHdf#@rDxCU4U#h<2-(pi>D%#TYN^Vc zm)F_YN@6Q~cH=_5*Aw_-u#kQPhfGE=n;u|3V6(j<^)+v5jx{1-lOvIk zlaZnTLs{oU>}FaMNTRy>x%#A76*oBGI(;HNew|Bw%(%^QQ&`9F`}zdy)kkc$t&OXn zgxsFr+=lIn(!dl#ZQ&8v(gaJ6w(^l5v`yU~i5LtP8$YJ9?VRlGU>o}!G0pKMYR{eI zq`Y7_a!8jt{lBgTY@&FCNJ|y8kGy%lPZ4^MixZ(;Ez6QUEj?@c_lVTxak&1CmWKQj zV<{~Rnz&<=TYXxXA|vj!|?G<%yGh*?RHrSps_CJ}38;^nK(kw7uH@ zluTnS{B<{~`iQGpC#l^f6Siu_qR;b}pTNLE5LJ%84=?2du4VTD$1d8gL_WZ{xIy`| zgmh+sqeoS~ggWZvEmACQ}xes!D z>=65klo}eq{6hk$jDisA0}7PS8QLZ7bO|Fyf=jDux23dJpf#HoWD{@Rv;ivN)fn&; zX`Q>E^?4W{tsPYv>a~$D=4X*0DNxk6=|tr^Y>!ZD#C}e=LKVk>dM)Sq=K9HrAeqIe zUkwRLh574dNP#wd%XL5-8CqYq!7WBChnY7{LzY~jI11N6co5SnyokG+S<0rwfx)Vp znAUG1f~w9`5t`M^wxYGE(;MFu>3zudO%KuFn`Agl`Y`9d_|%6PQ0yj_x}%_W{dIf% zs$!tDn0ajW1vZ$U9Jbz&Zg?0w1 zi7uW&veJJyN%{4S3flL#Y zQIM?J>C3KVMbEdIZNCV_F>+IyY}JTOpTA)WLQ-+yS-X8JEqNGtdU~j?ylYivxiZ2N z{G^6d*_PdHN}BiCsXlptYgQWis3;{=&V^_wtA~GRb~}-8euy!qXX!dCj@@lmBe-Wd zb!3Y19%EY6RY-<;L@rj_Dmi)5K*Qb^h z{q%xVtW=1vBy&#O%n7Z*C^phVAuM2Lec{aP_lnDU@L!V!)uc>ViWoFheK|_8MO6B@ zkZysJ-gEmzS)1M#m6wYrEw(_<5+jeF+nl`LrV>P5wl>vssBsUIwCJF z1y31;^=XEmih-@Jt2j-3ruZw#Qc?db^KUIxP%ZoAYWq7@Ere5n5MKyatBJF={+-?rDwl}~8S5XFg&ouW>w|5F-6*;=r zi!a8T=DVLXE)BJ=R!;CwCS1}$x*|BO=2g37|h%?eu_#Rcfv{U6%`Lp zlf*gdReJ`|5WR7eZrtTdbbDGci+H$sdK`w?#VtnhQPz9UMyvh3Bj!wVJ66~1IO6g6 zUhI&)l4h_trmr(k%#}b)<*wTZLc3^!TCoa)MT&oesu`>0E-9G{;U6CEQ!0Dd<-u*#4UrS!Qa%B8T;zSYnyhgH!HLV(*$Jir4(;A^4GT>;gY zOOx)YE!(j!d)H6H_#z8Jp%0-TEBx_bj?dU$yH_ZJ;DVob|KCD{Q&z=h; z<~{|STOUsalSZR}eU|J)M=%^s{R9Zp(<;$VIq@a|dfLcl61S%F<5U0wO%0C;j!`Bx zJoUJtk-JbnMiwSYMY8KtqGf)wWk6{z9l1!G-5=LO8Ny}ewVUk@%G|E1{uPq&i$joq zL_KArx|{f%g(F44Jze;yKN58z@d>K3mn1%<*2^0Y!jRDgRGG@{qM)e!X`z-K}1!}M|YELSz^7wto~j#BQ^pfE?!sJ0MR=J%TRnOT#cI<%I7 z0pE%y13EDDj{amkob3&?FnojinMkSQ+yG6Cis)`cUWE;M(K`!C%_mOP(z<}UfsUgy zcR;q8A9=eRM=nD7%t{pMMt0elE9GeVVn<|T;=8wB8_G)R4@H|cujxInWJBY}d-k^s zKhB6bmtj$4rq>Y2Qcbd^Pg1_ogDBH%;9=DXCpbnLoXX<;1hT!SU?A{(u9e=FVh3t1 zbTr8`#f%!mqlIugD^nI640KZ6Nq+w(sL}F`0zO*eIUK&wXulH(-XOY^e(q~QQT)0C z2_NIFJLO!@_;eFAQ@sD}^AA{UdQx4{fxioa2MD+rH3R5T8S?=9QobVpPQ}I zQh}RTm`L`&G#Fu@Ia4B1wpr-0AI{Iw0xoaJB88J$H-ru1qP-@E2sni!aP%uL*u%JX$?-oWLw*ix0PKpV zj3@&TiOuzt7g=oNZkqYAxTxoyKAO2`+V^rqdFilc+1qH`T`Z)loITB?m%f%x@XO=u za>Phxmw;1U;k0RgiS_@*NCt{Z@OaJpS@kKUFi=(EXloF0R@* zCqokds@_OwCo|3}pCqs;0bo}t-R$X&NGr2ushsQ%3h~_v&xKia8vMn}BJb@wb@&=5 zo!)FyqAj^*x>!=TFu_EvJzzn}_N&5uI_}GExVTm!9tgUkf86VBsPrCzFk1|kSRE!S zo?*_?jBC*c{P`@{=#km!!e@%@T6TNL2+5_R?J!0v4Fo!V+9&w(>$!CM!R|UlPPOly z1VAdh%}R{^`O8oA=G6&{LPMMY;sh+X2;8xU$>DomqGvfn#XaX+f+CqB4_|cfoyVqL z6KXKbeuk>_*{s6GBg2~&TQn&oeFMr?M^3+ds+GU-;Rx!cakV?7x_XsnmDVpiXUBiq zF31x-Yzf>L>F6$wpIh((1CaKKs}HC{fpOHK!Z(Q^Dsd#l-~?VBXE2}{VE8{$5o6_3 zORm-JGu~+8&i+z;y(Ep;<9(Luzvu9E$LUt!FAB%?_2`cHoqqSUu_Aww!_1bK&Ivh0 zq+k6PquD{ngV~?lO6&7|(NIB$d%?d+@PSk1Fs?*7&;f|{hH5%)@kXQEbD5@@jl$O# ze+2zlQSkvCcOKQii7%?RVCLKOuQ^@*UGr2IiR}I&&*mNeX8U43 z_|6pAfR*Oh)7^50!t50?IrhB$E_sik#N{YB@dkCM+E;WpSopS^Wc^sL-v-6o7;~yu zY4*Z6|2&bHs`uB10RQrs)2rWa93=bii=SUMTpa7ZwJkARwUPT+{gp!X-^U%koQ^>J z_bhR@$K$_o{8hO!#=zw`$Ui_^1evHUjLn*0Rx>odU1rYF6dR=fzTc1efrqX2aC4R> zo|dp8_~rXGqje{lV2pjrXHS1l_S%4GJL9AuX8vRz55&=8vVh5A> zsG%BNb8~cYO@HQ31xNEo<;jk+ABi3N6$$7*5mEPnd6f?pyaP24TQVuPW4hUA0cLC- zB(!9Nzm!Zdf*s^{M`I%JP481sT7J^fBiF~tR}aN);sYmQbx%73{^_4075o~}u-$QF zdjA(j69yyyDXcOoeV)Af4f(s4h?k1PgRTnB%+?mEw@k`7fu{4r+>B?_FBc%on4Y%= zT?*#2gPHJ3e4cRkDC97zCcN9koLtvjBbI7FUx@DaB&oIu^@C1}6^GV&7_UIXnkTt4 zB3#tOrozcxBMYA@zBB}D)Io%2sFPu*l$_U6+`DFeRuZnfwd~Uo$-6SJOEBk5Y<^QP zC=;Omy#}#Bb>zPQ!)ihtADAwGRP%67QL~WHL?WZU<5uRVbbu;c&46ez={?N5CRtYt zxp~|sFOx;wD!10Esk%#a(yvPYJF56>wRK0c#!(%Z1}QPLS&jOgkI6|HIbPTKsOc~J zGFXIeks4`-79P2I;7_j}j9&FC)v_O;@?6A3<1|zlitW7TiTAE!^*SVoZJRzmz9D%?B=oxeXha zJ^jpq>B3nPcLW(Th#c&&AVL2;=#HkGs0VpT>sUUFEW9j1!{fmxc2QG}Ij5bCP-@`x z+E|=3YzfD#R;|XSH zX7E#@`g+dC!qWvuh-x{`PJEOGDk&ExBA>X{Yao~_q-Dy@neZ;WT<~g`8Izae&L&)WF*t$MN>^Ita$+QdZ2hV zZU;;GKGq#Aj7xOlvuJdxE~amBOtKb|D^QkqMP7(55}z%t^zUs0vcPE)H*aw~C|)y! zDJ)5^gl9=YaWkzZy^wKB;<8?WW{JI8z*Ayu!~S6bZ-2MKbef`4`;=q|W(6=y!wW)^ z3j1(#q=xl{7nCe5pd5R8VV#<)lEJ3j%dttKf0Y_#QksiIrS_K#EK5oJij}RZ=u7Ze zVtLX$+aMl=0lP!cCFI2fG-oe&`074E;l{G=`}I08dXo&z2PLD@XVlE41H&)#X&)ht z*S#Q4cts;$`*CTTNF?B}q)TNtawD=@13#S9P` zM&@Z-oyb|op{$9J%z=!O!BC4AIUyp^TJnFsovU8*Z&MQS#cf`3!{Tx_6px7JGXsn0O2 zwBsprlf~5RsXN3 z&ma?23?hJ^9`9$W^BYb9C@;_YhmHb{*-Wy(K^>mNdj2m&aYz)ZZt?U%(kJ2+0Ce3? z;0XQbrfLe9{?L{e*P(o*GiPlKb~$fj()(WfA;RtkIi>;LjNSzT`^1>FQy&GypPK3O zAuDH|8ixeQ9IfeC4WapmO3?Vq=bX^SbGBp|5GNsK7frhs!noMWh1|KXjfv@4A()hCoORs~5=%!doO#)w$Zxc-d*?K?ul9oLU2;dUeocIIR-?}oEv?Jsmx zLQ`dCrM}WPM03@q2}`-Na#%2S(2OVsVA-bRn}7gn!$BUeJ#Ii>cy&ULbQEs5fGfiPfmrBzE2$2T*Au+`;UB{@&9rt9?$CYGXgL<`E=@cMb;>Tfo;y9fx zDwS?68YLqPL#vcjWCdOg3kUX8sW>Fqq3D|18tY@d1i0jmU7TgaT z0%94cp@}LRn~t)kjGzFlad#OJ-vWL*@a@MoO*1Y^)k>;Ef9;Ngenpr>y_-$#hR-Ys znw-iPhyhZ|*IF_M4D^arEq|`hE7JTICw{NI{M2aqvx5!y6t-cH9^XD;>eE<`bRoS- zb)n)yiJDG*5kR0V$O_QlU<>@xs5i$;`1qY$%c85VI%XgzNg0S>Rw2VMny+>ua?n>{ zfRgtj^)s2DNwqYRUIY?VnCzEy!(p~mZ6TBI%8)r8t}ZXZZdNOu;jWq?5I>Ll^%Fyx zFpo?bo9hb=eDiL_|K)BlEhz4Y29@Wdv?z(D0II@8tJEYyeMtO!Vd>vuRXLh6L&-H% zpoaTuGUg9d`Kgni&D7Df7uPTMCc@>99A!$gHbYD=1+0)eyF-Agg_M`0Zn|top5a{y zA9hX@B|e%=YoUeI1a_OauRQT<0gwmMN}x$+3Dg=>Kg1lVs?XT7C&#y}SMG+kF2Yl* zkJd>%aNL%C?BE-63SlhieIqF;7e|!12F}}LB;)j605OvSxc05Jd06wXHEOKdcuCDL znE=I&pp9NpBg#REMn$U+7f%P$`0+4q!ddkgNMTGD4_VQ!O^Tia+QCO-pK_@2{4(zg zo8V^`w7$v$Nl89Jq(avV+Dw!pU>x*kh)rS`EF}_uqMUmc`WU9Pa^$H zKKKYbf)!6X9j<022R?B^y-EM4(1x=Ruh!Ae3#$0v7-OW1h3y!@qVI^icD6|EVn zoyC%~LaLmAPb0agb30G?G-6}{f@HHW(P$-eZ2Yt24Qd!(Xx)MNo zeckQJk)*rdX1&$}A?~tXOY!rYV)KU$CbN@J2WOBbZWM_L%Dv7B;V-4v3<=%Oh_Y49 zisci5ex~{e2mc}CD4HxL@kjIwuci);BMUG5xiGl|$Z)%IKOJ&ufiGypni2)Xm)8Cv zi%JU%^`}97bfL)@Jq@&q`^!#&2$v=CIoNFwv?2vj9z{|-0!-!G#xv`!HL<`%V&KpO zgN#9R&&{(FuqyJxvayPNheDTAZ6)Wg4=*a;txg+HxG9he4+jf6^@!P-P!PseVQNG- z8ur8)eSRrnO?*e|Z;fr!Fn%i^Brw#{?r=uC{$s@cgOuJC5!!lmCC4i(GQkFwo_%Z{ zzI$V!s$oB$5C44pkE^NC6P>-v#(YIh)RwJb=HWs-L=`h@wlbfj3$GDc>Ia2U+M51q z?rhz;rQtIYfH#6dLagw5VfG=>tD-DZYaNHzE%1QM7P2^VeIR4C_(G96riM>GD^9Q9 zuombnB}=Xs3~PY%gK>=e;OH0be6ouS@t229wlu=~2yU zK_^j}oB{cZL@TdtB3QPK@Gl!H33RWZUfs8yPT?G=c2?uY;> zzUb71OgCuTE14_`&^FImdmiixhgOJ4FXz#aSI2ohGS6_@ON$BerXxwwwnolSoQK!_ z4i`h~3}^HnufkmI`q=2ec#%>UyUv0ARhxJD9YeKXDA6{{5pSxuPp8NRItaNITzwRd z=g!y6t>9x~aCj`2%$u+3ct#x1H{kfC@kRRif^x-Gyfl2nEt0I23;nyuvenXAQ6ItY zaH=3-tDS%1kqP$uBXnOer+sV0e_E;eY#qTHS4xCj7+0l83uoAyxC^8@s|P|mgtl^GUFYs-piff+2VKuKuUaSjj0g7D<=&yRI>#AW*Yzt0hO3)5(Uz=&+uCTP{ zV}>2jrOKU|Rmr-Z;O0a8wKELWz=!x66BsIcj6lfr2P_ctv9=%658Ihr0Rw%pDbI@6 ziE(2Hg%MOXr)He{+kN?zzn34uw*)>uIg-rda!ExkS%76KWW2YRyS~U8T>9!#?qg zI;OH{2$n#K9PZt0vCdgtvQ#g9xoUFE5Y6zJY<~%>xyVuMstHB!`0A8_ZH5PdYAV~h zPIgYzh*z=Yl3GS`k7*nX0D}SdvxbrAjGxhc=jnWhb$nq6Npbj0fgRp=Tx-{OPymKX zva^JG$2a@D(xXV;h7{}a)Z0(KABtz<-EreyR2yun0`X3C>q4q5lvPQ1b88@1mm`;=n9o4Lzfmz1KiLd|V1 z6hW>n>4~>pvlPaFI9QFs^YLSu=a_e!aQfQ z_%_)4q&~;(I}Jh79s*ux_0tncBZ!4Le9%kBAp}vpC3Dg=9W1MAZm}Y=I}0GG2cl1B zJm|--d_=68-gyxQPCgFI95A`}zXbhnN3g7BFYw|5GYo17`&kH<|4&D1t@<9}(tnm$ zY3g~exJVIjJzaBnRUt*%W)PALn;@-t=efk-ojjR*o_&V$wiA1QX1YLmS6-S&Wa-J# zv-aiYBenoajY=Hsxl*wJFP22LGKZCpcwAvEG%I#QCXQDz>zhft0Jr*=>Z{1f+LVt_ zo;mMZa}~;9S^4?;?O*C{XkYVi`ry0I@T*a=Xh6FKjf0&<$D^ZM{rO_HYqz%l$g$4m z*?~z_zIAqEw(xUekF|RtY={g-XQPq~elN2o-)FP~RK)wt4l|=;g2N22G^o=%*=gpEh82?dnGdA>phj%9+aRSHO5pMlQ-7n>LjKeo$NE)Gz|;9y zPXP5FGLlR_V3A56qa8vDckyeK1+@nQ)9**QS_Y{-tN1=(qH6ySLrUp4@}fk-%w-30 zkU}O|dI>>_oAR;hf768cr`K=v()$%-wnPXHeoUa4j2AFk^+Ul&vmJ#Bcw2YGwPq^N zDZ&1^LoWvoj1g4>;5)jT=^TaaFlz}aJj1P;-eG}5h~ZKN7(ouDxXbqVLI=3`OViyg zI3O!KTS<|3Tq@GrUvX0`selFPla9%aAr_0dtI-h7z$rIm@dkuy$BOdVn~YM8o{wa zXAj7wMzkv0ETI~#R7qST_SbdQptX)_1BUd06=e1EszDASEbU|K9wALzar{v$_c^ll zuy#vFdOw^Ppgb^May<8d`6bfHtC|>A8>HGo##cp1AA-R7T*QrN{Ea|8HOsDgGa4*L3yx0$w)h3osJb!G~;>Pl&%B0Dbee-P1((m1mb>1w1xd|{L| z0Ycl21SBgD(^ayFz;gLU0ei_-L0g?(zwy5~eLde!FmED(*T}-pPuG>woDlx8$fwwK|lch^N9pVzS#R(HWy5z9%NZ`h1tQ*WraZP@oYo zX*+9EvOUU<%+3fBS&<3Gb_K~+pH=qQWxu6M8Z2EwV)iaIu`nJ3l|HuWB&V^_`R zqH3L5`|}vmz)?Z?`e?75{ERNF;RePRMsQI^SwoRVa9bl;Lz{U@0|mlxW!j66UVGY_ zLz1eV>;yXMe{?}IQ|LjjrM-692eQkd=E-^umc5iajMuniUp1-Z@of??m~Nu*>XWam zWc?b|jGr9mEM7?C^FZ*U-4H zExZ4d3{U*_8j?zO;ZLlu8_iaQT^s)q{RQs(|5ag-DT{#lzw(b_OY?@9s+896xm~;PKD?-NzD}ns_Z{ z&}MDe?z@ZU{u?D>h3h+NMt}yv&ZjfdmclOs3367D9W)ouqd{ zdop)f07#oLm_$d4!3mpVpM<;;A7zWuta0hnd2Pg$#ap^W#Hb%7l^$ep3ioo_o*Kfi zIlK`=3Xy<05&)|uZQKTJlfrwt$d%@*<=9Ll9ZNBJc-&1TY(W2dWVk6>V54A~s%_u3 zTy8(%JQ+0fz0#i!=$OCyM`9rM1s=q5=`Pldm!MgF_Jy*^g$ijqtQQJ6!a=9o+J6|4 zFg2cbrv}G*D*=NR4kC8NcHLKY!i`2!)PXNY3q|y-jZ^dD80+#x6!x508(tpk-zA<% zayGpX-@x;Qhqi>yoGUV%NfP=q_~IzWYyrS5{8Pfh8Ums*@q+N1WknMj9BSbfqbxHD zB@CayXT~tFmro7}mq$iYU#HqBjpw2~%kIPlQGE1?PDueK4k}1{N$EqNFI!QC9arJ2 zAXQGZ-A2;0S~rA2GjMcf%ph$%27+?2>Lvf6x$5N+i3{tG6iVK$euO;Ef!Hx`6hQEp zV|kLo_uDBtTOnCa0?Rnl&(-Sj3_{HJ7p!j$Y|N1XAW>BZX;d(xOaG&33J&-tHtu=& zd*7xwmuj)=0b=&pTQ+qTR8L!=egdvnDt8uqLPrkQvPsPR6W?avxnIs+p;=Q1js;)GbKV>t4N`a@M@xMUuw4T z$m4uU-MpkftvRxH9ChPk0uLv6Mz#7WXF704K|?D=ryLk#%}o33Io1g_H0?I(8Ui{S zV|-@iJuM74Of#R{+ZyLK>be$FN*l^`)osN20tsAa>0&y7q?>@Vq9U=uqa`Iu^e%rX z8wU?RpYp)Q&D(6=PJZ*g&G~Xvx`n?QU{y+z@66xIL>~UzxviJr2+G^5UFemZInBja zI&!wFdL$k6vzP6~%aeeOP2pb!?rv^KO8@j=mALqs7!A6yE_BwwZ%UTsOuo%(4Be-wtgu%r2FEm1W2JQ===LYXAdwPANw7I0AvomMuo2lo zaGYMXrP*4d2UH6ne*adWzMNp{-1&BAYy zL$af2L~Hq2lM&?W)MDY_VdJNhs9m=wr*m~VtfFiC)4jj0_IZoA47HRP%(o(2oEEmJg3{tn65Mi2PPCZVTXg`RHZfBt=d)-#8V} zz_2{A{r4qb8Sib+VYeep{Q_Lwwbn3n@I2q02Fj!4wq!nZU7-WRvE62ATGftewjS!h zjuK^vF{&qKQYWHZF5a%gH*qL@%?4|zk^FD|En;C|zWq{zkmozYN4*wK^;li%CRu#J z@z6jq?JvK_Mis3f8PXG7Q<#>()kXN&l=a^}dpU}w6%hhlPvFBp5n^w&9p|g^zI+n4 z(^1C|$DlOTrl;<6p#^8U1v=&28?$FHaqHEU7l-lvj_~`8crhwqH1q|Sz=S~PCO@Ts z$5cb{ztfkoBTE{FLCZleWg75e*<`yT&&LN_!6rz({jlRbV5pM44H2piFed4T|L^QY zbv&ob6)RxbW09qEd-N3K}#G zeQMPz_o;LC7GbD}V-!VmI4%=}Qbm2dTW#{OEUocQO|kvrb!ANLrA~@6v<0uO&i(LQ zlVY34z-OnuqlD3)=aFg)y=N<3d9wSQ|95M|MxpG~}T`U;#m}+HuzGwo!mm=j<`&q{TIm2}y5N3&rC@?D#m_R`$s->y8CNve_j2LON})bHi{K#Yh^u46dNI;+W$r+J(_iY2(uWoOk*ZxS_T{359xRepJ09fW#>w*& z2D!W~<_b;7W0oAiha9my2&;hQQLTlhhxg#pf|ZV(E;D=CFR;BEa`oWPpC%nV#_U-C z(W9EFMT)&TxN2Pf_#S*fone_9ue*kEZ)7`uC;fTDM|$FEiI^5$zwkPknHK%eWx&>N zBi`FfC&yUxw*El&%!mOIo*+?KZBn!P^`)g*)WDffg+!yt{Q>IK2R|!o;nAk-BJ0Yt z-A?BC3VvFk@*r!v(S#l1;}mziZ9nTgt3~F?rSbCW#~RY(Q0%8;SASsmLn@=PHmw_^ z?jK0hG+>cKhQekItkBR&tTm!O%o)bpiY(?T2^HBT+s)Q623{L7#~OyR?1GrogNM47 z6xbyV%7^gRYbMT7N*hiiN3p`Q+39sQtd$38n+R94HSu{7rCjDB(qHqUtyy0~ZO2(@ z$E(m)dAD=2td@S~?3NacdYb~(FZ7n~C*?(8!cI4N2zZc?IQ~F57+-ZuCuSS<)GYbS zJ{+arR z45Ch=Xhnuy+1B|MU}}u!syn0p)$<8py)bRgv8-gLq~R=8&eAn(Ei=hgyaq}MTQuDQ zi)UdRGVPW-J=#m%kl+QK)>gi{d5ngrNvm>MQqI8j8-<%{J~A0)?8Se*K2)~)ZQOJsrR zBq)iO2GWl`9G8gRu{k@puGrA>P8;EhN3@!^F@WMhnxwMzm(D9nV4^@HaVAw8kSN#WL2Et-EFmEF{e%Ts7{Sdgp@xj#ZGD147OG8vCoO<^smuEHx_D(NG6U=*;KJ}`~w};o4)iasLHC{VhmkQIO zTVj6w7@N>^=HKsm3|?yYjKxM6Uy1vf9*EPYwnkoHvzFYSbHQcQOq6yH12`&5xyquV}d8`XwufrCpM4$mTq1o365lOVJ7 z;#LK7FH*cXUj#v;k!M1ymUH4U#8-R(q8Gw^b&DkW9or(~`JasZ6aQ-gV7E`6(KLuq zp2H0rBn1lvTj@q1cX8t=o(!=hB(*U1rI{V|$?J&$nlZ z<03$0SOehr1UO;gp7sR8F!2Mi`IVT)gbz%ff;7mrA8%;h>&~puf0X3Vu6gO_*#94S z3a$Nk(YvZhZM-0GvK_Aj9$F_r3tM4~FVuvzKvX=uaq|UT01a{ysd}{#G{H%BmF36B z?<~iW0ET6?uqa5K*A#L-AZuk3A5!q=GDH;Ya`s==yR6s`YeE1Il@r)$-H2dp!n#3v zf(Vg>$=JwNzMg&Lq1X*JWMU98% zqB(PFA;&|tuC_68SQTY?_lep2u>}9(j`hr1*p#M=C{7#O0qEfo;@VMor)+T35^9f| zQii=>Ae~62rffA~BMKVc$v3eP$0Ua~E~JR-hy2E2Hf}g8(`|;hc0i+6U*IrORT09M z34O{^!EkpZvlJwVmG0}u&T?$3TQMAnmf`W1@*oVl4G1LGL1ZbuXjIgYApny=C)QFc zb;2*E$o~0(n35X+322ax!2kur;f>1TGy#X5#{cz?=x8N+U3GbKRd(jJQB@4t-LSA+ z<^s`tu|?W%t+!c`VujdbosTFV2fh#zkEwWatsHm5!1QpHmm5J*M0N6ezVq~NWJLCp z!?}(u5&hgI^SEZ_>BXLYXcwT+w2CrKBh_VIdFlPkQhq&WUOEg4YVeKJRxT>ki>32n z#|yZL*|YhB&7?M#$F;n*<_9lZi+}6Q@)+8JPJwF$>5&+?LdglWAdq+yg#~WqhY>o5 z*dN|~&Ay5#-HWw5DGN3|4h^9tRFiT4J|3z^&~c)>{5RCzXX;^s94xd2uHfZfSLtTt z!`^Em2N{tO)D+Q-3MuP#nM{|d;#G+3wAkPxIgwFTVao5TQTIEksVuLdkDG+6pdy)I z_HX`oSb;#m69cs(1%c!K=Fo?&2WDtcC=vrtRN}%=R2Lfjd&pHpQspkVi~5vTr!~9t zR^9M1Wl_Oq#RJ5FN)3s4V}ZTKNQZ4zIy7Q`+PHi?F8f)E#2zP?v9a(4>C6?*DI#Zu zr=xQIjHcz+WyL|*=wA=3Sz76To6I=xHSQtoxx8n|_#I860F!-PPF)B5rikP0uNhVT zl-U!eJ|vb|1|E78dajOhR9uky4F&$J9?WKdJqnL$gzW}4o%|*(8(ajQz1&4y-l}M| zTo*<=VOmK)#@W*lzv<-$R^%_St(x4=u{eWw+z?J*R`x2s6D9~KKZs6DKrhT9-DBEz zJ8`h2l}GeIqQnP`t8z+$#NJB|jRPWQZN-<(wSq>d&lNg@_|8doGL%?0Jno0RwyjF& z2jpEH)&O@CxJ7L5wsejQ=u0X3fLUu$oFWA$kPbK0z^)N9Eb>8!fM4)3<^Y_co{OTp z7|lZKe%**MjuX{l4z^4bO2d8*1Z!5JV12YsG==Cf`1lx8mq7L}K`366;7x~7?_xmh zV@mDZgg{X$;=Jh$8vXoARRBR4{fzFmsJ{bmv$R;8>S41?dXJLhpY?_e`~d5!+=EPX4)eR+zI zQ_%@VixM{mKH5}f6UcQ1MI$Pme1}DXrb)~2h zy+?8{8d^8;mn^|U3V!N|ARgK$)MRRFj;wWUk8b_1`*_j;m zaVfI6A-|1gf4PdMB}e6Bm;2kX$zj|d9s-eOWaqL+qQVvFElml>W*ki-E%8hH!=?oI zup>lq{KNXUf9{9vn^ELf?LUg8_QMd6O9Z|rbDvNehUH0=fO?yJAE+r>+Ly5lD0KnF zR;}!h_|i=KUJ@t>1dz?kU+t!al|#5u8D)1+rKB_vvtS${nZm>-fjSd!h z=CQ!k*qa1%V?BL2cr7lG+z%E?_||Osq8iI{IrDrFZCAVuklndkK8|Vw;b4EJWoAf% z|Y#6^j&df+PkXAOwFa#c0gwa(N$@E_pbudLF%ZXvB)# zdykiCd`CJJZ|yj)p8z$u*`j+ffnFyVOJWnk^+-)*al3MVXG%hc263S>e|%2qqhlkBK4mG!6_=W5z_ zE%tPv^u9MuThw;e(S#`bId%>}pgkC?hWCS-DS`;V#45!DJwSq8YJ&OZ`p|V zxdYtO2kPM0jWX0~e}5p+B(TPl#Zh#wLJ@Om|ea83rADVu!G0;}MSl zR*-TLH_4znpnC~V=CRi1)R_v0bX%bRzNaQ;;#!T<653X!uu=wgUCoI6Zt-O2(~VgM z>V>S!1oZZJLH?@M@OE|Qq@Ma0_cv1XhbgpKXt`v5#ocQ*wrNr#R3O8GrZ((0knNgk z*){SP$0`l2Cr2kOdMv8Y-#K1dL`}f{(vL|fcnty}$YLvrY%aUCM;nho5L`?GxlVZX z&n+p$v%62=DjZ|58Nj7cj2K$P%BNExML3D5A3~H2i{mOzUe{c6>mYeW4y!}gSh-{z zDBhb|862`li-1Z9qx!<=`pA3tZ7x}lm@wOWmo<#>1Ca@AYONejK`c5RMlgtBExuHL zbSnnxv4rcrXn+n%ZT1CfHXD20Snb9lO&d2W3;A7omi}k^d!8#X;8w|KcC^UnGZ)>7 zo?f5B5!;iS=b?Rbh$If`-z~HI?MEsvtMi6J}fChsDC6siUR8Wf|{7OqKHO(kiEH@DYI3y`sEjv(QLxy}NiF43DcDP9kp(Pjq zg@bB^tuw5d%Q>_W8m8OAYishxJf~oF-DprC+t)GaL0zE66q63Uw*$iIRo5q4i_J`$Ll%hj?22G zssoFQ++tMNzlm$F;pX-9$GFrk01`)kY??~G7g>KUrm&9OtG%ZdoV&|xfn0esl2sAe zk9;e|a0sZZ4U@($tTB5m(r&u(xe7uMlg>*H8Y70I$SN_kDXS;0>^`nP*o)m=f(#r$ z=T7#yRgxiB@1w~w0u#`mV}+^iJPC`*>kf^Hz|ea^%iGL$(qo)kacu=-G`3Lx+T|SF zeMPbr3z6F*i>K*$3P*f^wof%97Jz*{*doLb*j3ZsroX8zsT3NflV*V66gn=ehNw9y{Y z4%U)gxw=O%^&nT{BK7yu7%3(^OmY%-g4f-Yq-9}66}z53GQtR@dX0xdCy0gvDd##} zks*{OzdjZqq7IIrL6d6C{#*W^Sy`87E8Z@$_XrcU-6(d(edpmmiiS@&`%R9ddyAff z@BmK7LwJBCP9wl(#W96B77vDYZQFaMnGpiieoMxLJS=OQ6ye-uSO|gX>_qR2?K6_3 zzc(!PYpDHHPi7lB_G#Bi zdO=#!x(Qk^rR0uxUvE>>H5GG5w(=s*Ks4**zB~W|r&;8-dI{s+-P`2Ve`VbprD$A{ zol>qYJ#~YMe>}zYYknbKRR@@p3(0F15^*_vH|+f=8Ei|UTA5;zc|xwpyg7@rUL8vqp`yZ z0t7wj*PF1PsWDl-g?-BCYcS$Rbtj1|MKK9}I$O3rDbx{|#ZWG^XYl$aldhIBex29M zSw8)c#~avQ!%EtZCTK6FScb1Ju&*HBKGpt7HmSI)u~Y%!ecmp0?$DvawOGic6Z+SN zsB>n74m#)JrM8-?50CONmYB^MF0S`jj$@iUpoNX^q61aOmx|pb(B8G^7Hg>B?n}p# z6@mLwMwZEm!m_Gs|iUNU=-{97#N_smYEh$=7ySZt{ zdB08LWk-vKofsWPbfP(c$+6mQUxmGkEk$OE_h#Kpy&1vM9`?u2^AEx_KzuEa?7Z#M zD~)5Vy4LL|J%h!IhNxv?0?DzP!GZEf19LRtWCmBLVC{NDPSQK>adzHmCFtuo(ob85 z;%~0^!J{^I1^5|~%e^2Zl8j2uCn z5PnG&N%x3F+ds%}-d=DXgGnyzU*J5L_LBS?x6a4$FHvC95{O*9EKUfpZfmF9JuVmF zBaCk|fBiLW4Lk619eRf8r|S)(IP4D}sQz6`rmT2lL`39-T%EAt>*udm7a{-=`^SqF zMD|e+5Jr1~V(a~DHuRxGw1bO=HhAQ(~&K}w}F)muN zMLb+H?DwC$vJvHSIz-Ob@cXl=iJ;!7{{c+=l@Zw*yv`bz9ik2VC*c((T(FvO1TM`cx+l=<`)gikd-f_a@`QTB_!p+*95kbh8Q6_m3#VFTZM z3IS(E2dP5h`$`&_V9G3$p|!BU+bHU#X8XZ*@wnWGz;cZ61*omS>HKcT;-S|tw48%q z?lC@I>(O~!>VH8DakA6Xz}}?e*!_NW@Dq!Tf0tFWkbYp}F8M)6Kc=(L0_4ND-tr zlpk6D4;JLn*^5l-r|$<(0Sx})Ay7E$*E8##`a$m9CGZ|7eIRRh;s^C$y(9-ueapm? zDR3U}Tf|D`>1m&jS@PHMxyAa~Ra~lB1R&MB%4ziiD4N9PDaDyTBAiwv`Ps#R#X5OH zk%JVGO}zE~0A4-)Y+E>w*Gvk`wLbt+ac`hhxE=XW7jlNPWSlL4*DsI3CKXVyYHQ@p zOSfRdU%{h6P?)jSK$tLO>9@FR=)xGG#pX-BnP(^lOYS{MoF|fTk{0b1_7~fH zK1rZg$`Y)f^m3#(#%oFR`Xn^Z73U-zyNb0X#Y)f}wgtw2IT6ldA|8`yMQqL&Cq!*` zDy?8xD|QWCM!iejxdk7+*gQ80A_`8qemWr#uGV}cl)vRXeuS?*=Di+Xc<8dNX|J5G zxVv;CcaNfL+@I)*%|=}5pV-?n##D%8&uhyJ*iWx}VS@})H>mmI-OwJL)BGUpfT|pW<4W+Jl zaX>rb0-=VtI`U6z4)ooC&(E+}ICo~4d4SmQB=y<&jRr*H6^UKU2M_R_a}9jTGYyty zskbUoqgSdP{(e17KLu}`sp>K>bn_v%`2`i{LEMa*aF!%M0~i&jdkjiPIE?dKdad1w zK#GoF*?sy?sFVw?kYdewd{&aLhvWG?#@bARN8bPGMCF=_KCz7VabW2bQ( zwPYSizGqu>aW)lo&Qac0)Qqu=v#}YJ zq?9oC$SUOJ9?cj{Uwg#=61B+#mINBE5gh|9Nnz0eQcAFm)`}%nXXNkI~4-JVv(8fM%IfK)ICcs@0u4*}mZ zBa6vOBHlB{K+BR?cwm>7mc|c~$y8>EmntLZ-exaH9(h{Lu-VHL4n>XvWn7=AHaTB+ zpyegu)dd}-HJItOAK+ReO}#o{38u^{nFz*|Fmk-YFh6j65!c~I=8OmkNatE~UeD$k z)jvx~(|c@VF0VwQ{YtNa4MT^n^rpW{6iDf_!*s+fFkzfW;6R@|3V8-pC0MFRKyJk= zK@?4r&B{uNK#&PI&4Mz#AOD^?{_)p?+7dELA4Eed;8q-%A@U5ZI)LA@z;Q}|(1}~A zl4>=={(LuE`-{6PhP|!Ieg?W$d{4Lt6gJGS3PRY?(TU9n_-7PlkOjL)x1YxQ!VHbk zZ!{ncvIg)_SZ|p)XpKt(o@z1mwPHu^9w*I~^pH$h+-M;e4Y*?FkBY4{Vzw4~7EUM3 zeyz2}SYNJ2KmCFXONrbxWdf+j%5RQ=*~CIH^upjXKY1&t{F8@aS*Ou!uLY~UipAO= zp2s}0TEK0aI%D?~`)aAMKb8!|i@bB1Wl-|3iO305-gva)5{h8!iD>dPjW@P(LFUkix)4>mamQ0V^#% zxlI_7$45ML7RI75EVdEf*j8Kh>ZY(BvB3iSL~riwNK;|u4)K^VyBg8wA4raI;3IUM zFee)oz>tAXC5=;%MTAMkMS~`@u*hBrHD(W|&I)*=J*Kywwa+%TzP0=f{UojjkeVSP z1wAT6M{jVM9Kx3h9v?d>a%=6TSIO60tAd^?1_7%=j$8S$>_rvEl3}KA2D#nz0vh~& z{lGv#xNm`mHnuTxbaF7(xBf3>YhVG*PWXrLznGhwUev+X+3qJ6QltkMyOhWdmI^g;rJ47~sI{686{|1TqAZsla`KrdqTBL*-w zv^6rOmom07buuGlVPa!u<>mc9?ckO**ga80)>(tMnyXvylb0f7dkRA*$Is%m#k~2a z1r8@zD_V1@Y^QeDGA?5B9A&*!-zqB+i=fzZ>ruDoYrwa= z=WFEKbAUv5WvTb8SN83V@A~_5C-?h9x2NX%{JhuuY~}m$(N;HH@`K<(RP*z^Hdi)h z=UzhhZ6xinbJMqlvFBYw_j|_o`%PB&n*sR?C0e1!^fTd`pwQ=7t4E`U^57vsFypeb zRNvsk3yToo+g)Hkb)B`WI<`}2T44Wp-2~J{`h&&JLX7n5?oH;iL;zr#{jlY!oHzZd zY{Rqj`1SbsYv-J6rPZssr~3IC{cOkMt%fJtJn!*I+fm7%NR%_o2v&TD34*pMr<@ zg0QG@jCY#bxAvUuUg6%Ac8RCY4@7pfd$WZQg(V?}*LGto*SIPZ*t>N@$z*=hwlvr9 z{i@K{TQK~MM^z!>VXLQh{={<{87OU2!pp`;DLO0+(1QEklQ6Vj}i8__;2HkE4UF~Y+k_0a%AMr-A5dTL9o7on@H zWQZrNZAhAEC)pOuMuEwBU~}?%I>z6rHDUj6Xk5n~MwXwSz}Cc2^A}hFS-jKUyNUso zh@n`llDa8jMtdlv*HpY%LsFory|&3|ev}Hl(oW@~7eX#Xjj7dAyjJ-Q%>oq%AcIM1 z2I*v}d$~$ z*?*yh;rdrrb^`Xr42EBzPD%8wHnpoQMyC(XXJ=&UQzSN*d^RP}HMQwg;0(xf{dk;o zEq0~rBAeQ07@MZlu?2L?p}!lyg=|mgaqGT-gR8{3v@M?qOl{y#fkglo`a@kM z5Z%GjTi5D4$zuNVva8RXP{Hgf5^U9dOwicf)PAi1g>trwA=WA~9WMLDa zr$AMJX-BnaBfFCA{Ei229EBt5tPLU! z`!z#>Xw5%mieO9WC{}csxHp{y%rbr_X)d50axc6jJrYR68r+k^2waGgC2fHv63O9K zVpK}RX3M=ub?{eXJj{hy!Xs+cQPrds;_xIo5Njre{}%ts#nYlP>UEKKnB|J+qArX0 zJ0V8JGiI)at7;8*x)^YhC!(ESmghD~g`9|oOabSzT`6&1L$niv+I2hFBfsEa9JeOT zLeI6ke9^kw{FvWO^>Z2XQnzr+xF)lJ2apOMH3%GT6y1?t4J%82<=>C7B>;LSg^RpB zXfbxPwRS`0^yFCwNs%@S6}?~q5538m<9rF9DFRqWT*;qWF+f|5%J`Cf-T_f z^Tti^ar(2vE54|PHRG6B;V3LIFsWc=_V->&>z1IFbl$Sjo3^pE14*PQdl2e+TzRXE zX~WKDVx-D3TWt>?Aj47EM7Y*W77gcme= zk@b<~skdz3Gu_dXHeCQWJMMz)X^lRsK>%i+AcVrh$CBEaEFV``8|Pjv-{ z@xI2s@+hGwQ~3T?ZQ`-}?TFMB>r6i&adUL?ep1{d9<3wuP{eHdLM2VT1%kMa>moF- zviSE+^Z`=#CAgc-^|T9#r6O9SkToUyMpbKLarNrfmBxmc>Q#Lb%Z_pVROY%(c5MZ{zapy$@uCxEE#eO4l_JH9YJyb6Lyk z)@;{rVMW#qj6<_A1c6VB`p$NwjF0~Jb)I+0R9O6mh~iwk^X_CkWjMp@uPs|ll>VnGjfnE@=<{3*Ai zT%Z9S(ShjSzlG_Ejgr~TkPbW4{fm(-u>oOjf8PZvcOuKsMreSqY70{~Yl$d8{UtC` zpCnw&F=s*13L2>i8X@x?!}EGPu{G~h#EOMTyhwD$x#!^aw;-z@!)^{p$OTu4H1^@7 z1ruc0kzL_P39YqJMLbN|I!YV30oGwrX)0&MepcGgG|0!$<3!6%2{3;3E-M8*NDgwp zA?6p0Q9Qq+S)>F@rca%c2u)VPtRqVP6%Kcfj;d_`C|e~ToRH4ey!3mex0ioN=t%q$>Yo@ z%CE_!M4@^qt^LxeTFwKD7?hOE`daza_6Nx)@Cqnt>V;;yjKf20xlzQ{fURFBQk83@ z86k)^Lhf zE#CTCRQoZ})j0rsMk&A@0#~c#50C5iJ-i}jfC9FuVwy}R7B5Acd+MYo+H~eLp@fo+ zcr43yD0KMQ?vqoD(VMLUv?Nnc|bw0*p*r2&tM zdr(xR6wE?X-;N@sI!e$^Rk_~-@>Al@$w&SowK=-&ja;#qFzHmn*O!RXqZ>&>OIk}% z0Rxfffe_;LMUa7zjJr)xmQ-B7W1MHgHk^1LECG;-4*i1L9?mH%d@YiFkn3!W_{n;` z1^{4j=D}u-YZeEQbb!E)Cqvfc$Eb?fY0XXjR z;;ivM3c_etp8}nXD)#2?QCoJ_6Oo#F5^Y(KuB7%yh@vfus7@|FaZIH0p>Ro5yxJwA z>k5qQ-M_-R@pEEiY@m=ry8N@la%Y2ThbfZk=A}*~&}PTTJ_cRyCi?TYfz!DW-N>eA zQahG5VJi#6(u9xmEWxf4Y@}SWC+!wLH&qWdET@a`JcNi&3BQAnAji$>^scFxkh&Lq z7B8|nD{w)<&o?1$Q`u{8a9Cugyr^)e?g<}C4Qda{k#+4X1JR%OxE(v1a)}ODuyAS? z$DcuO84NaqI5^LwdZ4;9ds1qgwRP|HaRl8miO(!4ek5)CW!7EtZtq#l#;O-2PfK;> z+ZUDc98PtzrhUR?uiPQt*3scXPdg%3&i0y~u0iwJQ8HX&<2>H?x5FTJ3Mi$C(F%?w>N(%)GdX=guBNzH-G z*}>`$Q*#5e#3L!@%8ZDE%1JZb1if78IJeNRenio%Gk3-0&TxI z?k2zu@BVGlZfjvuBfMdvK9Vs`8b~j(f7USB5oUc+ybFo z`Fv=eEPYfR*Yp-8P$@(dOJ~UQf=p`(&KcxPf?Mb-j>I`Y{a^8bt~;Z-FI#dhMb z(oGq9M!1HD*jT&pqI;P6Avs5EwT{`EY+s_{NgYgumRn5EE~1$w2bp{}0CqrdP{q5p zc^5KJPd8d&KhqcD1J9Xdp28H;IIh^&?PypN8@P+KfGx|SAbW%$2jPz63JEFF3|+@!7dJgGeA+dzv<=He!kBAqYUgX6tWOsldF;krT=`+eqYk*s9! ze31o|e$DGhgcMPDKihdG*R-ihdh6lXPeMosUyFI0(oZ@?B%Py>QWJu1qI;Et*}G*H zEdLGVD3XfCHahlG(qGM2BC;vJ+^1fk)(=%kY^sevm0OE8HaoW1li#A^q7C@)6 zloP6s5tC!LD`xM6m->W~bb(M|P}Qvo<>$nW7#vID-hvgxF!%vdoM597XagN7qn~{- zJ5?lf7I?e(W>5K#fs=7#(%UybJ;YxyLS zou_8`!dVF!Gwgkhp8Z*1x%CesW7kO%xh2W-BC_z5I!uo#8~@lc2p3kyJSZpN&PLn^ z{p(ER^}AZ11v<#~Bf44>HjLP$J^7jnqa8#bo2kgF*VG9nH!TrWF559bsD_RRqB83V zl?xvC)UO90elG*ZemK|~*igPD&c^own=Lh0PJq-XU&e=Fe6KCx}k_9gj-{ zrat@q)z&`W1D!;kBO;IlYp(}+%IyQf^+MwNzk!S+UHBPbKMCPXK|I+rMYP*G=R#CA z-hkFkur{&+TGX5FQH}^gr2zCtOMm8dl>gx`u78Ql9vffo0cp8+s39Hkeh1)a)N^(K}BhVqroHAcedvhBK8W4G05~c|xbWy*eBerDzP} z>_p#8QR?#h)2YZM5mpTEe2ARL4(W`MJYO1CcU-Wy#co4}zPdl_jiv*a$^cd07tr=| z!#`3yzZ8C-o%&iwG<%3JlSKzY{Xf8~!CL;!X)5;Q_Y+`6E++ zn1KaZnC{>1tQ|K4gSJhC;Ph*?YD&E~w`mUSraB(WPEUe5peN+2at8e!yJMkesifSt z1NzOK-V`IZyA(?@v@@eQiVAZP;HRdJ%4XNLl|FOo8p@?@R~S z%amaGQF@TDFy}5;tG8z_H)jV#ZS3*8(LlM=J!?^|DN_BPQ*2uUwfD}~-(xkqnx7d! zFScgWY0fOzNbX50A3dHDc2T{oc|61+oX(;J(>wy}WPAGX5^Yze-rJw4FfpVD4m~w3 zwsz)y1$2aBGPtxMWHxwd;{s)-$6xr{GqRvw03c2bb9qjz#<^zyGfS!6LpGyeWEh^d zP&LXxbK>{IcX0Px_wd~x+gyX<^d&zih z8Fm5v9El$FSUYF#Sy{%uoNikwmt@!eZ3vv%AhTZ=xj6)Hze=`Yr8eWo=2uwUEEhF+ zMBT01%Z;0Ub=}fK6n=dlN}}5#Tcs&OnEe1mut}d&`Ee&_HlCc3LhR)uroP-`@MFXS zlH+hx_ITj=_tjtQi;J&VCj)vCq@FU@aI5V&+%pq991pRYQX(5vzA{6Ih82<^xP~1| zNK4m254UN7Wq7yWTRDS8S`@Js4?6vC!DFJL=`$c(tLhYHUpPB;Zd$YhSQ(N5^P8$q3qq?fOOVajrn#Q%R-NGP2AKN@k<>P0Yg&MCd|n3KFZEdh zB1^#cJNvy?ol1AR2H(0}2hZ{FxUa!c7Vl zADV|VGq;gGm0?y;$o;f&JTq}ELYjLn00MyrHan(^d_WTD8gF9%H`{d=OUk9xo-&Hw z-$2WFZYm0Vtwx)KzkzV}2sIgu16vj7>7@_|;P-`8O6kF#XfHZ_>TnlE%a(a!Wde!L z20y`UoEpfXw~~Lkeej9G;pF@V&emnyFvysp740nD8hALIfTjQgGhCcVXvJhTSFn?g zk@&6zp=FqB>8|&Dc8laRf}R8r#T%?r27dWnP0mUlnX^b`QFeU?`b88A>UfvvelzQ? zSPTBr=l$VdB($m1D71m)&X?@G~D{iqiZvm=_O+ZF**x%W6MhG_F8z{4!ydQWKsOry|1}nv7cMq!XOQ?x?Y^OnwC~`J8j=uQZ0txRd zZWPirR*`5@%m_m3)&(iE+5LW)N4xfHwHY}&d)M|XelO19jX(37wk=8n>4Sv}mif;; zN?@Po%|5YpfO7@FL1%k5auyIbzug9EEqd}~(r;0UYZ7qQbgS}kEHB0Eht6mW;mMNQ z{sv{i+(#joWc6;6Y7U&^Z@ZLn6dc0I&i-rA9h19Suq~r^iP0&MetD3Q3P~CAloiIUgx2M=`xl$_^NlQEs zqy7RySeKSG(v6QSE-pA{i@h7Hif#V?WNc)hsGe$u;b~$!GMud|frt%*wm?vCQ!I*O zuxBeUt%`pAiqlFBRoWe_)MHohS5?6XALV5_@LD>0pYm}$t>PEuCB#gXA5A$Yggp;v z=U_Pi+5Oor5Sj}4S8{$)X#YLKgiMwgF;d99J5&2yZ!aUCf&Yxn)&Yl$6|F@(@Z@?d zN$fLg%cU2cEQeZqhZJnF3Hm|ks|oSzX5&l}(TYZNB;g|L#Q!XAgsy4H`6NTk^zdXj zAIM@Z*`DUxOQPcO7)Uiuwzk%&QR83bu$j}D1b{otADyx*lFLt55Xe@_ffthFW!0dY z;(p;|aw8H`T%e_X0ZuK)V6Y%1BM_(`+3%!x^xKOvq*v2NhA~&iV%)c|JPTvBh1$kn7a7lOov#-FpUPRB8=hz}9ybt) z2LK0v(4m_&@?I) zO4mr7%L(sfHSjEsx}0gleY#0Visv4NTWnaAC@RW?do?Xh<|BIfCB2rLfyC%Ec_G(} z4#B?e@E0@tRMX4JA822NYP{n2=*+&p0^hhk5PSLvp#F+6OC!u9`a4nG+pq5`GSE|q z>1t`g7X5e~C#G~@la_7Je4=AiAl>WvTW*&u-gDU{HsiS>LkEYHI9V6I=vjQtP!Z?3 z%}*C|Nu!p!*f*AnZ0tZaEzDqLP~ao0)kn%b>J|K$2AF4x=io!{$9b>UC&_w~<<==&o#&an^5Mf` z4semfE7%s11n?+5P**uH8Rg!4npYM1RUf5cVvjJokG|EQ|4k%CJYSMK85B>qU~K6v z0daVjYACcK=*P3o5!nLi{SbA{CZ!mAUvqr2+ zm(#$OUwNk2I!n&8{CCc^e8nvCbsi(nT+qyJUbO8Z;_eP%c|KqBvJtjAS>B1hQ8O3^ z<`9SYv;4Zf0tWL@{jX!kNP*L`&ld5SzYYy+{007Ti9fe?(XF+)w)1gqn5+2sy)d12 zSRwi8&}Bu^gYJ83cWw9qM{;q#>SUv(t>n=Guso7EdjUAT;(D}ycNCTAK=~Z)*71&i z?>OEXpo8fdEdY_ChbWPG4=O18*&=bM#8(`E<^vnNxSNIyrAz6BINO(@OxDr)Jj_n! zB?n?H4BAW*nN?19=YbLz-MEoH31%Z z?@ZlCUSn&b>3yc66maF)gPhr9evvq>6w&QX*5OdiqW;XG&|OQ`c}bR0edD>dCVTlB z#{~r&nYC4IK=qTb33$+Jc<*5hQc&I62!Os2F>n1#{qkk8`OG2u8ggy5E(9_dP;)vicDhe?6i6=(Z5A86E=Rq;+9 zZrIHZDbMGkZ+vb+`|o-L>?C`kNGA6@sYExBd7j3PAjV`YV=b=PpS4gHsruhW9xg1>x=h3^%<+Ej!zX&&IO zprQW&U8+a&UlWkvNHs1hS=b~~tErMg{B>?$jxr8u4@1Jc$n4u5kSfF=^=rFPAXl;}nb+JeH;AtuR~Qo}=1XZUeFSJRm1+o7i**-SM1}{g$e4 zEWkM4lz8q>Sr&%=xj0^vM7Opa=j5s%M{|=d($&99%D^3I13v*9<(PSpuvM94?=*jO z(ieZ%?g;oZ7E!GaAI7|G2pKTC{f&jh34S4u{A)Ul2Cu;RU$le8L1TBoB8RX^T%frJ ziYoq}?2j+8NxE1o4g@gZxu+8~RCYrl*`g;s46v@!Q`g8*$_L@3kAeqwPUi@O*np}L zr8Ds5i0u8g7i?`za>`4a7%w#TimA~q&of$kCH#k2jr}-NGVS}onw;jmSO4zVXWICJ z*m3BH5{$iLeQNCN=jH%2U|gj10@zfe`Flxw6J`{?x`2E~30pJoYiVNN3FQ3y4fMBa zO&A@1Hi|5R8!Y29-U0&-etEHK;ZpS@V!=lt$WA0o>fHo$ELa?g#n3Ko9D0`3-qt<~ z3A6Vi9An#0|9~(Ls~u=i>ZZ0rQT|q>KBMb~$w5E5fY-2C+eXD!=W^+jC~|VA;iWU+ zb{Q(sOqs^YqK3%a^&@>t0>SyBKd)*(beDQ;*vF>Oino;M<6fNSev<$`6%p6J2NVG2 zHZn(N1jYLP#S0NPUpSp|<$FdC!M)EyV0lC$2WD{{byllCGIJ#=w5Id2ThUqo_1)OgT3Sum8hKL1aq1)n$J?jbP`$GCTA*z5^ zM?gh*@J&yj0lCLe3CmaU0(Q2VX&8bZe#aJe^ zAZzmf##6{?0fr+2%4F80dDRSzI{P2HIM4q2=zjQnPCbH zEK_pkr*^^c+-D2Heryh%kJIIW;E&&v#X7eqnNMc$1dv530xrtksUW2{+LVud%} z^(XJ5C`#u6oOWJ`+7GX+Xp}VMmm{?MA(IO$1>`qE99Hog@mCTReqfb$WaTNTREJ8G z_|KQ&A$uVrBguPciyy}Phg7yQM5Jkzl0^yJhAG6SXw*h5)57ag|6q$xRYe<>8dB0! z4=dFAA1-m#R8HphWpR^@%-@SDp zZ$ipqz29xqj*5PL`EQ)n;Eu$9^BbW*6?-L5=Pj#5BRao@M`YN{q3V;nlO4HRq7~BZ z1B!bgsLFyfAak@(DqXz)EC3BNgj5L$x2+3;Xyfx&(9gv?pRuBeHwJcuf^c3{y-Sb_ z?a^_^L-)OivwXiJu{cDLIzCzy+%$}4)>VQ5)R?&EwITrCgta6-_i{D{fXFEP&XMyq z;E0U`+*lcopP@a`z^3y)x$SdWNR9R3zvw}(+em}^imGqr@&N^DHS1fj3MAgLV}Oga ztWTRzAP~(a35i_2a`(WS=MJ#sR^axbF}^-da!h`QD=;Sm#AmejCd7P`sQOSB7Z?##?~k$5ahZn;Jf z9ze1X4=nT;1U91lfs9no=-IOY- zZ(O0Er&8Y;qHWFL$KtPoA!JW67($|tq9H0Ta=d{|YN;zY4FFSt74Zf#Wk(cRRJN}7 z_GmFCx)soE$q_OGx)B4Fht<8rE}E9ooD}Xf-!c zdFH^I(W?lU$^lBQ6N;k=1JO$=nO8-TiXx~XAw`D`(JgV}O1pB6`H6DV)j8P6wVSTN zOTWl`@CwUYEg=-X7Md+VtAsv=CPNfK?#}Iq>DUT+4+_d?c;K#06Y@dFsDt;S1ko0% z7m_3vk!<_sCSa@ypw)ipNFDMjNU2auB@9h~wfCh@CBL-H62FIw(!7-?xko-qw?xK_ z-jy13@!m-_v?!A4k2#h_8wDq&ZK1jK0N{S)rF8ivb^(b}*|rgxXUY#B)t=Jg7_aE0 zX>pL3%R@-&p~D3Lzpyesx)4O?yznmL?Jh|>S7~!nt%4TeD%ng}J(7|g&dAvS>*~FX z4hB$+ix0+dSqKLN;#(XVeggvSf`z;M(hM*7kL#q7+W_`g0aiR zx(c?wk*O02ZL4&JENz+qf*#3Ag)T`+yP=G`ncw6K#@0H79*JJKt~vP3g3p-!r}%4d zfT@P^E(ws^SBrT)jkNbk#ys>%4>i9IYNEhCqx{2LPUIlb5``n%qP6Oyr}z*=v5c`; zivrU-U1_USK&0QG5@B*hlC*6ujUq=KU6JRJ?E=(~#X1@AGSKCMV^z-PO`$!!tE0$E zVI&eO^tx3ZOb*(;3DX|*SuH7vTJEut(f-yrobb~&psjCAzKW&R0h!K+1yPzMlj$=u zgAZ||G>RONX%|L)-Ehr1<9NUEVKM|+Ko>#pIBoH2abty7eL^S zL+wqb7Do-0UMRY@hCt5*)wnlgkxOR{m9`(ZmO(j|@;a@hZW;#h6geV8?}(QgrO{ZV zP)mB_rfrJ_%GR{PtnfofE;5a#^bGPFM(AuqCT3cSlJ1oe3B!$yw>yo=7ZG=%af!be zMGnUjr*f`}1j(e7&uMkn(8ke+PF^ZmIN9!jT#tMiZe{y`Mn;ifkG^eM{^FRd zwAx+?KKcyfuL6VYSC*yC;!z2uI)XrA9%_DEibNr2UD}`Ea+Zzh_ zs6l~Jbz6v0lv5OiPJ!3ftt{P+*^n6urByKC+IU5e%e0Mi`Oc>!@M=2V=5MJ^lS8B+ zNq>%|Yiwibhk(-dDv!rl>VTRIWqd6Hx>%rsH`@DZI@Vj4u$oK;yw_MwCk$B9SS5X~ z9}w-2tW;R>DQO>hjJY?uTR_>OaAeq|pg1k82rox4 zG=Cx_PU^+XkDau*I(^4^8I$R7eu035dXCM&LdYgM+FOGcJP`80OCutl94jy0{sC>Y ziHFL9hzCm$isQ0FKQ$Ao&w7XPgD-p^qDT`gg=Zj(WZ8`}qzSHWgQr#9%HOXFa=v;LXVafNZ6$W%E`@rqNmFd<<$hG@?$zZel3BnI!baZ@2F`J_AX!xLPME>b1^#lSD;{@{KY$0^mdCOe94Y9Y7{0v_U0^j!-;lqA%tZAGbw5JiI*B)kACSdw5GKC zlw}If6lkYu1ziy^6=7{s>rg%S3?sa=oJ9nVZuy}sxKEK^Kq%l|V>PvuBfk;aA~Qk! zB&2dxlSmVJS&-pbsH1l*7V48R})pTh<3g5#OL`oIS4#$LO5k-*hE*Sq% zQx4FGfYt(qxMDO$J828hi0lo?7GjK2<`b@=P!DCFBr%)|2*B!KMS^~euxle91S(U; z*giIMt?#iph6J+*YiZJ(Xe|m}UzH(=6t-MBUIzf#He*o8^!IVnP_l9l))V=Ht+Wb2 zJ`^)Vw+dq^c|0XrZ*qKFwBF=pqD%O32$rx?s3<6#HozSk`%TR+qi1StI1(TG5RHLV|H1MNodwLLQ90$ ztZ#_+1VdMJBeZYm&JF;5E(&>{3({zf#@^exiRi7`su6l!4bO?iDs7WT$`aHQ+Bt1U zl(BWXfKUx9JOJ_`{8Ahqy; z2X)231AUwfJan|Nw$8ORPRnxUv~9}#(d|xe(A79=A;cCVYIk~rPOWT)Z~UxqXsu25 z?OYcC&@WjT@0LK=jWuCDOBbyIy49@`p<8N$kE~;{0rxS|L%i4BV5qgcTLOey40Cy_ zr_n{XWV}PS^icEbtV(gsCpnMyX?o9(scM(E;{kBI5yd|Qx9x>vzJdoTU3Y&2+%hNx z^?@){4Cb&*PrR;=mg$LC|FKxYa#%>eQVv}Q`l556BB6KpVqTV$g1n6LxOf-Rm-Do_ zpv$t?%XySRpRIt~XF!V;#q9=LiwP6 zIM9MlP7QfYCU0B6bP7X%oe&^u1>oXI7-fxSgncEV2I zj}!LX+jhc!cP64NJz=Ns{e(T!`_b`)oq%}4PT%_pd+sxyu+!&u!ft?{up440?4Teg z?9ReY*j)%YVK*u5ggw+lPuNjYJz<9?UIWhd;=CUN6hvy zAlMB*QM-YJ8ZIzdqIQU(hEp-kZm5QtO{*zZGbMb?YNjR_&Gg7!nyQ%pE(SP?i^w%S zGFBP#%aMC&wr8wz;D*g#J|QATENU>-H2-vFZ{R zu_H9c*FqsKf}lMG6d_v*s7yQgTUt2z&j!m6P0NfKr)9I)#+()1gMru#ER7Sah8-Sh2|>G#sE<5G7WIP z1{|=VH@RtO&@!9{g%`2TW^s0%%=<6;SQPK-j><2G|Kg`oD0g@sjo-87pU|ag(yJzZ zF<@A}87nf8IA*TqpbA16n#Q*JfC(HbDRmNxpG^<%)7uo8#lj*tz?<=~2t5>)gF%)Kwr-Fmm!ZfYvPrzE9F#zK z0K*^YlJgld%mq7iKB7arxW9Qj(ml)oXh2J#SuEX~G94OI zD0x2Hi3zR+CTG5iO=EG?THt8_nue4KO+#qLrZFF_0fGTA!9!ig5E@F=wekM&krF%K ziyZG4=`}DuONItskv@tQ8aQFjkMnLPNs4si3$A5Jfg*3o)pJ;> z#VcWJK0h8Wf(G?6ISy|cxo%RGBd;TAG+Rz2V+g9L>2rdPlkQ;!C4>8kk~IZPP%`Bw zE*tlJ@q>Eaf(hZsLT|zZ$sF7|97{^$uI`Ab*-N22b=ngtQjEVeRhQ7z?6ok2p9!N2 zo?dA3F_=@m0X~5NYeM)G4B~wpLLE>H^)$++3ukTm`c2I*gKn}m0QhNceD-wYrkrg# zx>p{iiT6&b$z>vy^_XW_lA7S8HVC~(~L&>ib?o`z%T`!b`JA#~aDYfe)R^O|qJ!Fot9mDc+SjY{K zS9Iq$4;J@YADPo-AtuPnV4AJ7Ylq84DPYTIej&^kJTEC|8J9tUBQZ3fB~UTsgiKE| z?1M@fuUZPCwYN3yp=M?)c( z#*iavWD6@$ncurZjOTujX4#1zGA`|j(QAzqdo}&?EIrnftG5Wnuy}H=9WhEOSbu-3 znZtFa^ucvyi@X^b$ZInDrWjq`l6AT(MUT>sI#bd>vnjG97UIo9_ih?bQr(b=(Mg)b zcy2Z!gvSS?fJn8yln+nixu4_QVFk(>l(*T2MK^^h3H{?JahyX%Z3Y<;a}q1%lK{}h zOac5|@$)&WL}jhitMHb4{nCq@f?k8Wwz(js5KsMq$XIBmn6`ZbQ&6g zW(rV-DZm}3ptMRkPAaJmeDEAhF)!=^t0_=Xm?9u*3Y2nFfHq74{!l#a1ef|iq_Rh* z=$nuIaJr*@xRH%AXtM^maJn0H(qGb^=RUv#IU%#MgseFk+Mli4zy{=qaM3Lh%)gi1 zayz49dpIuMzN>QzI*^zGllqFRD0nHivtfr445iKY z95G>tE?O~D{3dK?75_xO7Ku41x!Su~K#FEbtUMbiR1b+YA^s&N zzTL{ zN2pQ%Q1op;j#x{BziGRWFXP;!lYRfi%YM3rZ3yS{y=yHL_>*RrMPv0k_gWv8K!VjS zkw?PH=y7hTL7y6_b_u}wLMU_bJuzezbdGJS9Gt>xN>V}+?yw%mmpy{UY99Q}e_!)$ zM2p$!!lPY4rluiR%U$*ykDBh1z{+U4OV0jbI=Y;$rexDmNa{?{bd)M&reM0O;$;;! z-6j23Fx`z%{2@$7H`P~j)9H6G-BmSVwVIA8WVhWVw-h)IPz)$yBp8sy9Izi%V+8Z@ z9eh~t!)oXPu=Pk&IU0{tIs8#ORy9?cg;~6~JF_0OyR=#FMn;l`wn!`bQXg&HP(N3) zBsF5|HSS#r8l1Yeu@wuwomeEwtLXQXV8+(<7n6U%TRU~~H%FFDj>Re(E_j2JoP6nf z81mL6eUo;0d*rs##{doSy5dhH_6;QHcG58V_Xn*(l(}3e%8-rj^ISO!FBg1JyI#3z zq4vG8vwU1boQ}B%9cq*lbR52~_W^*R;iVEyM`;VPTQ12nxv(5Z$Zv+D1H+QtaP)d= zal6s$fF+tO75R5I+TB-ab1<_dYYs47($-+6OA=7zrc)r84nI-T83{F=^HB4-7-~Ni zQq6}tsP(jpW<1rv$E*h>!FHxavz02@KGh2EOsBEBg|c31b|i_lGvt>e1#y8^3dnDS zI12DL;s?>Bq$Z6^Y*Wc}jX8X^TdllPx7hwP)h7jcx%;MdcQTq4=|SdiMgcata6+kU zG&_>qic>&B{^%#=x)6EBKXKsIHkM=x%J-6M-DB+!X91#HkwivwBk6Afry;MF`EPzB z^rvEP?-bG$Rg;eWAiGhhxu!0Ph~z1(ECFA^qB$ghzw4IQ_9jSGN8Kb{WJrb zUYS9W_WnkXzCjk)7+^$J;%@EjB&L=^D+zX@VuiFHLGBU{R zYT8$!5rM)u`Bwc6(A1RlO7~L&uy~5cfD~DFrT#{^54{mt?13@rC(oq?z=XFVR2^E~ zJ6XyqJvYV%OrG~KAVtgvTqls;7!SF%49V=uv0$4e zGeNh_;<)8#o5j18gwP1aKcPJc7v8?7Mb%jeQ`rYpp;hAxTbX3b4c;O3p}Kv=0yy-in}hM${Alwx*(|T3^SW#b)utnaF50=41$=5OHTk2nCb` zb8uwYs-dkALUAVu>#-xjvo0>71nAn~>K7O@<(CnwEvC@RBXEH^jUb zh0ti6vR9+*&BO%+V0A#l@tPWC*TzB9NmuMTHQk%5;bqb|Iw*-$3~`HhS^80sd$qUs z=+|!E519A&=}z=%<=|~JHQk&|A5uQ66U~NcTCELA@;FgK8gF8+BBv6s*@J2{&(xVT z6cv|pBm=~&DSMqk!)a;7UBlphpyFIPVmGKb%Qj(PP8p)s)}V9a*w@&gbvfr<5Fx!r zzXvc8M`(r6$2w`WL$F5+hlps;s0R93N{^*}Yo#P{MWielv_cEdvXvRST8B^#E9Esc z%BBu5M{oO7^h@guZ2)l8a&eIQnWNH`l~JRIX|}F2M=j+s7jp!@LIG-NMn^5OB^n(S z+z%X;uKd&<9rZQ{g)2wqyfqa3lrGC$ZSzy&Ia(ZeG!s|ov4OxZP|63M`{(<(W8C4C zx5%+!3nG>9VW&(?n=KL7;`r{!-4KjBDCGkWXnQq`zj>}n7``9F4(f?v2f8>JcJ8I` zV*iKV)+&p=BfqUfXN$0T9ej}?*j!(P3r45VvawCfEung7vYL0_bpZgK6AF3f1kx}T z*uxDVBCL*Tc;}=KhwLMw$q43xdqJT&zBN^dLMjJ9`<+KjaroOuVMBW<^tgCRK4HbhUD+h&?O3lP{; zlUMD?s(aN3t>R;+GU(C875g0^P^uO3whzkaAHaRswO~j%4ie%F+j*Lr1txLWlq0Xn z*j9|`t8;j3)Xq3xQjN(OnaoXz?m|ywpp&zLrwqyGedx5RpMyM$1_j?JX=(S4(?=F9;wSFtiaUV}1v7I25=DgNxL8)8+pQm=xw z@-`C7TYq#*DXP9=Cg6zmvM@$d-*992zML7u5I2UE3dS(nZVclOV@%&_vkYF(y+*E@ zOpwhOsH+(x0BQ`Babtioi~;Vh`l_=hM|?;1z!-D$u^+qS+|nmUqFrsx9_koBaw9HLloUwU0bgQ%pZ9SuJA$X+C4h|AX=!#5$)En<`Df9zQ+Z6Botzs_YQB^xNqwqd{Yu+VxBtimn@*{eVEtlwy9{ zKqTgQ8){gdZ9H-Tp?4tAyA^V^gEG_(?oc}Z@Uiq)GUI zxW25^Nz>wk@3@+vV7eSfREnkJSfL}jIm_bA=6RX+3d`9vPapjYYS2gaLZx%#==bL| z?{DS$D|CXn<@zHe=K8y5A6u@!GG)yklEe`dbN!Xswp@Q@Rt;OTByogLfQsdaqi;zo zCqb6w9@O__e}!|VE+mPg?;^2bk|fRmPZDQ{C5bDVcGZ%^36m6(#IYEj?2n}k$^N(= zTe3f{X-xLVstC#cSX*1NKh|eR_Q(2;$^Hm9PWD&s>1Kb}@zNkav*V>ferm^i5{n=| zwIfY_XK_0Ydex-49qA^V1o@dA$Iu`@Gvw$R@1}+v-GcngkVBFT!jSYf`DRE3f*~16 zZb&AP-H@Yul%E)q#Yk>RzS-%9q>99Be_YcM%t)HxI6pNbDJySQyfn_wtaxd?Va0S3 z?58#x^^vl%(7mmYva!%IHKc5$??=kUGSZf^k-lTf#zJ@3wv>&8Y$+Q{UomAPeby9h zcmpwGW9gG)QjmGmfMCNuw~UQK!ZS894+$I7%$qDk$k@nIMjKvx#zwBE+mO}Jr-WjO z4fCF`k#!joHnOf~_^iD2I&6pYk*=}K71A}9dyXE{HPZJZU1On_{X)9NvhtR$k-ljL z_U(-RbX6!Y$M4N@~wtAvX z`lM?yFdSbx*$hXwG()0I9w0)Kqv2s|abs?YHfem2@@lrR9*H&yiHSDpdrP!wKrkDA zqGkgLHCtdJi8fsfHJgfQW&t)BY|9Y8X$Qx)no+X!`pDBs$eyP&vXVTV{+r(j z{i)bNp3Xu~k8F85W7Q>3=Z?^NP78%Rodi`0(GAF!r?d3@$kRzkZN;j^J9j-l@^lii z=jptci;p~=gvjo}i>gyUbN3dN;?^ZZSI$8Uco#b>0mb#IdSMMztKLona9OHLD{{TR zUq@@cs|7XyK(+CXw(|vW!e()7rIq1GU|OaJHj`(i1a!^?J12)p5@2~cDTUz;Bumpd zc!Ex9&D%F^0dxVTNG_N4Y3X>kv=1R0PgG5gayCHZu9nqv6%hPz(oNckc9sA#j~HIW zOb?1G^anh%C*~BX0zhKNdUCalUXn7#?j&Ajw*i)eJOTSOy*-69$^9W0_j#AK4( zId->xt02hJsTM(+PAOpe`hchz32dw7=@hZdBoN#HstN^HqD21Q2_`WMa)re4^qeh`&y}<;MpgowN^J+Hm;VDM6KmjpVn7 z-x}-eo@H^rk-3~?9oA~{T*cZBO}Y|Kvb{CE%&keA8$mfqQW~5|lj`>v8x;jm$s{*q zgN8MHIB3{;pQt!ZKDP=g)(GCnUBZ05`4U1mh(iOm=wR<`D1!J}(eoWKC3`7!q)xjc zm8S8pNYHtuXmYOwI#W)qnMWuzId&(Kl?)Khn-IEg;qrYPLM2cP6$NS2hBG&f{if*G z(cLpB><3ZLXMUO&@9zZ<%LCdt$kI6o4@XZ0_Y+U0teh*3^qhk; zMdl@O$Y*fW8=;ptqoe9uzAg_Tl(!!pumkW66vAjjP^-bgz#LwA%l81R3e~c77EFdM zOK0J|vB=sG>-@bGW=>8SRZ!k=TZk7Csen!4yp~2ds^gf-E`|T z4yB9MX?!hpvyQx49NHtLU8plSGXPhI74r6|PgJ>9jk%kmZ~fP=FRz3(NP5hv?G_$7 zad+N^ph2RPH%L%M9gMkK%LI&wQ~@m#^+byd%6Z=+HA1{zEo3c~WobLgTFN1Mz9Yb? zLx!ySEE2i$0a(zEWF+1Ad&gnf;-%DB{5`ie!F}=C27NDII_=|K2BvY@IJbh7p`nM)a-G z($jXw6Kc(f8VCacVS3GO#ksK~Cmj!M@r8x+&zB?4s&PiEh;-D`jDABhxx!S1UKUGR zSEO;!ZqERSdw;xCv4pXpT#+%>*sC)`KzHUxy3#4@B>DRfvM4SxrFbP*8IkXHfAMVFmu0fSzLJ!}9Y^ImJv7ajMeylJQL zhVhvpG$X1QSc1J<+r}DAWV$1^d=wX;5NzG1vka+=FDHhMG9Z>A)k^u)0F==QgFCDs z!4T0EDOi5xIMkrJhonS!BO{|oU@gR+ffN^)N&*#b>HMK&o!fq25HkKZBUm02! z(})yZmYN-C^H`@2mL64(w1XW2V(C$>l-mK6VFz%B9cEIOgdz0h=wJv+Z2(X9VH5Y0`tgc6v=+VD^@S5}4z=uL;us~eA@i;+vMR@Bq=Q=FD={rW<)+VkL;x#K>>J3r1^c|yaZ?DpLV2jrzWQ)43RRec5V6*D|agW#} zgu=5lV3R(#pj!hxVABu_*klSJ=vH{dpj(zA1l_Wj9istg9HX z$;u2tx2)_KbW8R)4!W)MK+M&n5$UP#wcLnRl77I5wLXJxD?f7XLAUfxm2xBIN6;-H zThMK-DF)rr=N5EJKn%LAw51n=ZViYwytbfQAyI-2(G|-h*zro^C@{ zLkzlQRc$sTU521r*7XdZ{XFQlmW8M*L*(urELaAoyMzVHU|mKF7Rf{n3znvBN-cPQ z%j@m1V5t)Q2MZRy)c^~YYEPb<35#r9!G!lW#R+*cR3Mm;k>Vy~64gyeOs*1}AxXg+ zRudLWc&j#~ii{MENLB4aHh|fTe79(MODwXI3uYvRMl+VF7dq^FaoFRT9jQCr0&r`q zApp0wwguqQ_a1;-Ti*h3={pAC);1mixP;Iz5P@6U+#+!4dyl{+gzRgfoVCd@BqDGP z@K8=eER@qpNGPW>u?SojLqa)CPK)IXHPK*B)Kx=pp$m!Tgt9D}6WZiqs#*xH8R5JS z-9m6{9Wex#K4SDu@K@7E=$_dkXO<=o>+X-xY(}fw&wB^=QefI3C*1yYTi-Q2_ zO7AF2Mt+U?HRP8g^dQ+K=gC?M$Zv#V0JRf8h<+w-_2_4|z-jbzn2c%kvmr;(&w-3a zKR?L)Q_;^g(_PFNqMr@<_2s`=w$q2-tb>C(jnkz2|gd{j9)I^mAW4@$=|s1w8th)11fXX8;G$&tL-pR5jQc zd;y%W(U@N?{N4w--*63$ypqV{WqkenW!(a>gCBNFfC%-3wFkw10YW*uj zKi^2XVx+NGPGgZHAd;g9hckbiss%iQEr8)M)UBZ_p|14G zNucS-A)n(?Sg3(v`cO!P&FlKl?P9#$D*K58ixfFyBRbJ+RdPW zzs^UZpo0}OrWuXH0)Ne6@QvDA3DXavpk0H;7Fr*V<^s{J$j8E(UF{n9?2HXCPel>) zW!H!aKOW5ik_A9y*AlJ}BN`NP5bdjx(C8j57#SKkiG&6fN6f;Agr?*DS{30)XqXg{ z&=eAoUuPlKNN9K$BB29wjf6g{l}18q`w5ZIXi+DT(5@VdMm~~81D^vG1yD(ggmw*E zB(!VTd7r4*0(4!)7H1r|>ux`qFrt;c5hJD<9S-82;pmQ-lD!lF#uRE(nLkDNIkYC7ykYDSB z9e`(`5JnvY6T6FnJv>umk^^9sz`%ppW_L`Be-7*ou|dE~VeoOq5YYG#zfP zJt7)}qk7(tKo$}0o@)`&N1khex^8rfH+HLAM6z4mqLzczEwehUgxygO@1iU$ITX9#KSo-^o81#E1Uht4fV?7B%qx4LWoQ=#_TEK3l>O2| zbz8kjwrGyr3>MP3-=p5fB6#mYtF9QqtH@adFIKe(V!aWIj^!{{Z(J6v+a+UBjNf%j zpct$L!my}C@CLKn_M!U*5a$vH|I+ zry1SiY<+mOSX>{@EMOP*3;;rJ2S&JdO=TvOCqLO2*~6V7qPz2>W-rw-IiZ_TmKa_R z)^t5f)}si-mgQl$-ux^y*PCcS#NWJ>cc0o7ScIyw)xM!GU2~u*kO;L#?aCo(Sbux{ z(Ch-DP18bxz4 zmX_!2J7%9Iq7_4v25E2iyN2Ee2G4DU3IuA^RKyYSy)}iD<6(kDCD{_#5FUp)q7xs#ks>GVM9*Htn!_=mDft& z`y$wYBdS$L0W`eTwI4nr({zWzrEA!;I3N?x9w_iumW>By|u* zs)lYr;%zyq^1a7$;Og3mq{q;2iGg?I(J5qoCED91#It4@0BMz8##*HlHbpygsgsL$ zP{)Q2R3`5fXKQPK*OPZj-OERBuBvotKXV| zGJ+lS*S{klFY-VCK=dF~#%Rkro|;;t2jmdm@b}528R8QDpKNFknO!+ zy*k_|X1&X9$)v!qMgi*Aw%Bf0=l1kV0&V&YB%N#>>^!z>AYomSIw|sU)srI$oiIH} z{Jn4udgGk@hAtp!vbw~N{uv@mWd04!6Oz5a!@mLYsuJ>OP^BjrAlQNW4H8`XiW0w-*iys%?sek`CNu#o@;0yJ1d z-nSvZf;D7$csD$Y){u8{NUPWOoh({IOWd;S(SU|U5Q5kX=8Nhrc|~UfSauZoEO}PP z$?5~!&KSVOnb#SRA{P#%jjRf9eDB(6e1>Tx03y4VfI>htC`8!4F^$Ad1k=ddI&K<$ z<=RbiFCnh7qG|MHY?4*O8szb87v6w!S!je7fzCcg*43(vp?MR{ZKJ{iu-C#v8F_I# zP?e=_FqXXV;*H5WJ1;!UjdMfsvG1k^Bek`&f7(?P1f-&S_>u3yl3)|bt zlDB@`)$AJ@&=THGG&~}xQTe*+=d%Yq#jfnCEp8w;}10 z-Mi>D?8vzDzUN_$7N28S>-pg)scE~~+taIn2YGKgC?-`7$T^cnLq8%JMZB6f(t3{i zO>*@#>esj*DOkfMf`aK)m%4Gk5sGN>222R8;X@0i-~!t5_5OyC5}n)tC>dqELj`3t zKyXJRg@jNuYT=!#g?fZyH$ve?fwTsHIRC_t+@#pC0`huTF|VqThCaaFJJ+YGUq(sD z%hkbGbLSoUGhfvwr-PGGEN`iYzN(=gk<5Bbz6hb2ZtzvoO5ghe<9_6;bVDom=&KsZ z5V&Je3t!c@tD~>#+tn@*A+*Ja4%h*>h86M=M?)fliy3$KOuBcrHea<`_@G0O&66!? zqjIoxWN(NK0AA|ypbevqYAAbmUMR>Bt;L0)4Xf#epbb~g`_V^Voer)`cQ^VvbX|>_ z2v)C!k70t<>HcJmQ*lCAUBT*A9^MGeRv)wux-i81C@U5ED5LG>GXCxfCZpTk>Jj=V zjrEAdG91WAOY}$Zgd0(NA!b96Pz@~Z3$<>OVio)E33zC$0(5 z(;T|DIoj4E1jt0zQZBI(b}K7y2>h|9yQ6bR=tnFjPk=|#Q&$ki{SzVWBv8!jVWhpP z%HCVkuAfj1Z(dr{8)#8G(ZU9~7ibxG6eH`-WSoP?jjaO@RS3njnt`;cBDMj8yrEbz ztA!EvYCd%H%Dd=7tPE2Yw8-7#Cmb@EkQZ94dAgR^dcj5D;7|bb*}CG zxj{$s)KRgDut<`M6^n6~NQoU;V{C3rx!6{mMriCr@L~rn1VhWX6B}W7Vq}=G=hh`B$M`S4}IA>n3~?3Y^PqO zcVca*lv}d z+i)eBdClbRsrlc+EXHbzl&-48#EwlP?U0m8fiDEv3K)nX1pEQrvtAn(fI(}Cwi8{?r5TC(I)N} z6jPIQx_uB+bLE|gBB&&h?Q;VPhd+hUgCGjebB#hgXgwkpRHLO}PmqSqjl0wN=_ z)7hf+`}7hx1%n=S{P`NX8|A8M+cfl-BSBYM>ZGkw%n$D;U?YIScX+GGS!v3-Gr|-F zu;RFr`P$s!xI<*bZcv4!j|=tIJax}u=P1e}FWr!&K!%bv9)cXsKBi2WZhrdH-+=q) z9}4c+XuXJ}D5*z+H~%D2A+vLQ!N?@i%NRk`j)EbjDAwN-oP9j2?rKvUu z;D9cwmDPstkSxCS@Cz!b{6uu9-Njw|2ITfOb7&PGSrX`cJK#;8Hr*9?N2FbR__!qf zG7(X#0{j9nB`fv~Xb}Lf*kqooIvWK7Rk{7)d=)rjBY9D@46%`vCxMMDou@2(1R#}9 z$(Kz7ZtFbnSr^Y$=_iuMWl5^V0AvjXLlHTLXCjj6Wl0WeXB_G$30gLQghvss4tYPw z<|a2i0%HY|je%Cgd;mHL(ktVO6VeCKBEZ615!Z>4g$mO9B~o75XoI9h*Xo(K zV%$1ihKXPu3e(C2$fTLRX-mb0H$ZZi3qTHSI{O$=SF5shW2sGr?B$z-ekO*awKq&P77ygeIzQT%n++QePUP$5ugx=-d$nLo^;jgCQFCWzi6g z`#*0c6w{V^a5Mv416stJ3FUceQHjDgD84_MjmjQcNtcvvLGgnUu?4pBjW%Khyp0%9 z7rj54gb5ab++q{4%Atu^^-r3}kQDRYPj<-?g!;cCa&c^e6Hy?TSJLC&*6cMYK*{=H z%nuk#(U*)R`MvuUy#~=^1E)J(D;-vc%r~vw+*B!$uyt=pZ-~%a>-TirbuuN67vVaA^b3b83;$bt+kJYs%PLu!`mlR)r5;Cv7LC6w7o@!W*bceim=SQ!W#QzS9tw zUdn{}303brm2c=gcg4P(_e<(&cgH37V2<2zP3{!jlW5yy^)q**II(R~rGUG|>Z}a+ zN6MO%d-O+ReBh6Tc2#@^NK3H9`$=G2u8YtAplSjrWrO=8AtW|LDVHqh(WL?~FF+BD zAB51ZFK+zj+;UrmH-NJN{A&qF^B+mn&MV2Hlu($>c zFwv}t_c%t@jiZd+VDZK787#iUHt2AGxcQd3v{p~4LIcXy z`3KQt4*Sj9-;3nH`&lUkUY7!YhFMWQ(yZ`B8j zx4pX|$=d!&lE?ZN-jfa*k{GVQRse5CT4W`D`;dvKLR@xY8+=Ih5djh$1zaCS6#6i> zuME>UH{L4j20y%sL`z8pUhY|>xC$g90=O1)=IPG{(9A5TH5Z<}p_?Z_<`~+w(gm{_ zW~%^PC0Ya?qBNIWt9G{TW-3@{W_x8`Dxk^Q%XTqn4~n+4Pj)eQ8*OY_Y__7)MxsE_ zq7*r5in>eVvX*OKZNDKxd6IgLvaWrJV}Q%5MUG@G#nz|FMu9-J+A^58zDnu5<%J)7 z3^}rAksR!SuK*`N1~StMm}>SE8~&~a3)FTrzOM*WdE728kkeOBoT&Nq9Hkr zgMk-6NOR;z?c&>++TGk#={o3)!#r&vuCW87#ED(Y(nDjIZxkI6wgv(qZNN(Su&+ch z*cewh5$#!D(aq@nj8UT}HaX(;se@I{Cfx?Oe`}F2{3=-=ewDGSxyiv&0Hk&(@=EVD zPM}He0vvf2Z5NgvjW>ADJlJaPEuHH#J*DdA zQ@(D#A={&FzG2&=FyDAgTdjI-zW$i$YLRF@Asfs$;$F3gMu*@5ST?M`Hy;Jj)fpxw zbct2=Io?l7Xge$(3Y6aXocgGvq~o_9y9EWjezfbD(+;#)VU}csHHAs$iZ)R(4oHb$ zJtgV}R<`Z}Ch1Y_rcI!<2d6!@(`n0Hh5~zoij*o^g#xZ3EppTvN9H!=i@8zwpxoJv zyN#cn=#g2u6}s8SgJUKG%t?5*_a?h^P)eH`J0F85-32IIHfIRx&5JlhZ4}W zNSn{gPaJuC4n1n^_afR0S5+saj@PZ%z+fbpC}5y&pnog?b~llvTA{*1w~Imks&> zdA~)=K??fb7da!x0VD(7(Vz^trOU%(`naM}E%x@K0{7ZPA6v9CKwdE_`pz8~84?IL zu6^Kb$Qi4}a{((vtS0YtMpl!b#wv?f1_DqV9wBs&O#Nw*1vtNcHn`Kh5t^!yhBK#O zaYXhW1d&ojUzcV=lMPe8BlA$r#iyG)!gbIhTq$m}AWH}x7)92GSWJqSQkQV$gen;G zq_0myJ_oD*`nDvU>afp`&-3U~`bHLV2&-Mnu> z8m1KbRe6!sHSvl{;vMTi#Spi6ll?0)CdJ+e!t)`R_XFk^pNYI=Uih&*_cjgPiM%Us z@*Y;o-nx}`^~1p_v?1Xw?Nuy_yrmR$)8+l8GifM&(v>$dig-0|#Gb-c75AbQcNK&C ziH^HGRuq)nC+|;2?vf5CG-*orwl!!9%SbKuLY?1D3Z8BNI$D(Rj#lV;Lp09TyiGQ5 z%_e{7YP&qQhRO*3W!&8@%t7FipDF~ND-cLi=mykk4`hs3 z2MT(z$&$FBg~7NB5+YM9Yq1fL_WAK*nfqe-k&u=ZwX;|2K|VL|vsy28F?a)-A5U3J zBXeaWxZO4u2XFr96-)kNiG|EkNt-uG-x-+ zkfYd{D6z!GM2Wlh(I+}ilk;sx!iogKOII=`F~vvhj{E_1#61^G=#;x5M@r7VaZ>c^ zj_CYk4pA~cc8ENQGc?bQOfUYg8#D;J+U4O;*Mh^5>Wn0!eD%z0jJ1bq;2UfQF zw@PrFiftv*F|7PZkj(SvSPW_{`>w|a+=I1L!VRf41q)Z+77v-zKOeC z6lEflber2mM764gd`1q+HX{df9||F|Aupp-Y}RM>oZ7HY$k4sRva3sxpd1OF*%6xp zo4V*GVU6w2C4{~LqWZE@QDI8k6{hTi!c6ERg=KW_RU$%)4kYi7Qz#Tg))`P2$fAK7 z;_9+eN6m<`Hf8G^emKITZu@=~(y}Agw@VYEEwUWTB{UQ#4%8H_ zlWOdOfMBq+QW&R|u3NKVf@Jn?kJT21^z<1fRlV9qk&V)nO24#?aea;_Rtkf(kqtfX zLo+WUU+yW@6^|84UwU44(y3~6P$Z*>TV-6BS2$wR3ai8|kM8{G=m7!Ye*sbLXrbWO zMj5_u%tP}qkx%NW0Zd`9VKmuSKG|v*lY{<)w#N8A7NzU>LoGt_Xdi6xuGUhj21HoX5%yxWve zGkGRFk^J>-Ap0D)epy@;H$tCotsYc9a?vWbAUsgUsKHdDDAs zlzdZiFVUVJpFt_|3wPf*nWo;#(%%4iRgorr*IkGbF#O;)7a?|6%YWk+z<9?Kzxj>O zpNhTQK;(T5$~&6$@*PKfc+FGeAk~O;=`y4cOVecowk=JI4n*Gx4yC#T0tjWE6ZFd- zSjP5cm-vBSK|hIi0AocHwqPB3oqF4zDU)nt?3(&anISL9RGxFwYk@S;^Y_mT`4x~$ z^YVBpLte4!Z0pF%5GO2Yd?Is0PFPV6ukM9@IBai>$Vub)2$>oLmpJg;61H)imjo3O zMEh00ILwfC>qyCxJjjvC#eaQysjBIcm=H~h36de+`J<+kpYU$+J0jJvQe3ma6*1a` zP$X33Z%EPnMPABWBdEwLV*IltN^%haSS_qf(2!AfeHiyw29r?7XfWP7js}wm)@ZQK zAw!$NBtn%SipP`4Jer(_N*GY=6b^+qXZNVkrsLjg%{| z3ZnrlFQQ$c!$ru8&YtSfJRPwQ9eq3P%8-JWT zy^s2qdFKmJ!RIJDTW%Y3iA6lC72Q)z32p0#!|Uk6nzy!>;dL}s2+C>n-uJ06gEy2S z6+=+V8=%u?=H6~L#ys`i7~e7&Q~x)N7Ut(rn@=- zbh%c>dt4AkQv`D~atMefjY@cz+vOr=EGCyVBWZc-FtqX;q2rX;`jIKKKlIHZV9CxWg|;+Zy(- zFRz7I@9RfSCK2o&~&anjfr-9ZSLSwyCwGtyS4ltL!Y_KpE)VO#kC=b04V`3 zKW9(@&cC@dWc`EnT4e$4`&r?p81mOVenUEkg^(HarK_aj9HjZ z?5wqrk_o~eCb*iKc05*Y)ech#$XEayCeJ)(BQnJ*@&7s_;dJV z9f3RznR^don)#Oc?3k9pW~#9xT~wtXyLLC-6xY{!s1Op~m#^`vkQp1?H~P6UTH1UC zZJ@h*K%XK<`sTPuHfS66g@(j5fmL!L9EVwo+qt5R(Wv;d(d}C7IT(iBf!&wEdd$PI z%IdmA&E=H>X_hR*-AGa9=tS<-7W;RzQ&g0C7{~TCl@Xa~QoHeZ=|&7mkEDJ_ZFW;C zdm~`e^|eQv#hlGfN@PPYEQ?`&Iclp9+c;{gkGLyTu}mu7*^!ycLgp5s{KBga!kq|f z$Wok@NV!I+FAY6Z>*l#1q;-gtS|h$qB?U6YD#FrgQIC+MsYSiT+348w<55dts57Hw z20tkoAQU|FBaO&4%<5jB<$dVHz#6g#5@Cz7RCR6AX8$LDjuEWml&CFN(Og5cljkqb z*AVR*#^#?tMVN*`q%?N@hw;1h+$OQJXZVn?GVjtdd6;0?jVzs$%#r8eL;Ktud6QX2 z<2iR8L8Ra};eP1^^B*EM5RtGh9q|!co(L>RpF?EFyD%(8tb_#0-Eo2gTNG5U-2^kU-9K7c%2;bcpYj7=@xUq>?kF}+Z{ zn`@6pWvGQc4`=59iG2RlHz(pB_{}*H+F;ApGYTz{C)$>_=1yHsCdjOBz-kTuD!=(f z=--M>+@>)>Bh+GBJtv|_ZZE#ugeXWJ7)7DHG~wG=%Nrb_7DRU5$3Uqap%z=DD_K2~ zWQAD19I)Vt2C+gv2SP0<=)wCTg**?n*yDzVXJ)u?7Ud^^i99^)iO3PW0TYk;1mTNE zlt6YK|De?Ve2lfc7(RFxDY{%h2WI)Qj`A;2?IFM~AjG)noSx$AO7FlA&1c{V3 zvQYJ;8}U;Q(JHuCW3=zSMJcK)waBijjdA+HmT*si9f@VCBaw1R(Y`tkD=0w7P(2BP zvLjI!Gac!SyCpKlw(e6=sp1itxFsTFh*X>VZ4+zGJo@kyGS$4VVtq`Ut8Q*DVr^$! z-4M=aT)LPP>Ga5JbSqiPv7w~HR67$`f>V{x9BWRIk$b% zQY~fXFcS1eRZJY}o!^T#BD9rEf+B_*8Bf$s$^5yU+V0E!fwWUQKC~pn#TyAkO6PXy z=KX$_jvx?vx$8be<;-u?b@;J4mmjY7=%T3I*@O~@B*0HomTQ?i0`>0@I0!5h0}xporj{+SumwG+&xA7XTVb>f_y z#qh|FkRd|*S>9<;2ha81sV*9Cai1_=f6BRrhqzN?84$!&Gh>NX=lJSeFGTcfv55LF z3+Fj3M<+${u_>0KrR2LgVyP@OrDls={$qQLbl<{blgPt!y(QcHgaqxNO(n zq|MhgcSBV28h54Uq|8^Bm2mURSpLrGW1p)fMZQKdK&pPtZ?`FO#tC)JEC%@zbr}I` zNFyN9;9h2_>iVS3u223Dtm-%=>J<@7HGG>N?3~=o(J=oD+*+aOyk6n zK64ze&bVEwP}K$q8y%;#bM?F81V#u0nIU6`g=wZ4qp`0!3~}o7+_qi1k)6qYt;l#x zE5+V9FkXN83J)fYjM1<-^IwZXyBl< z+JeYbTa-s7N5&n#o0*Z(k^zsCY9{4S!ONHQd}KW69KlIpJWL~oL1aem18#;Qyys9= zgm(^%QwNS7MInTNOp)n>wg3DngbHn|-+-4I6PaO!bHW;R+L4RHnNi1HC>{nK(yi(N zDG{>JRDI7l@TfJx8Hx4h)&#cE-v`q=SI%h9Cn9r-tRop9l+sS_t}mcvJ7MTJGX%G$ zTvm`%E)h<-ELBr3X>-a2d(OD5;gp~UeY$BTmJ9Zpot(~y)D?9d^h4v2^%xxNpFb=# zV_xhs^(U z0Y6+)hg`r1@*#p-P?g1R( z32-lAuZsYAQyxzse@N2Y96iD<5zgB;o>T+b^F;2&?XYvj#@5HG9(fXse~G{6&T<%8|_f z={i5IXw-FnAfF0owtOQ@;~C#v6Y1dc;<**d42gMN-4MN+u8iU`Kfd@yQG=Es$rk7%c5FDy|T_w_)| ziDb~Wf4hfD+uvKKisx+X_AHQxx2WHKE!TGD@$M7}C-N!8&cu7%63$XY9^SA&M^-?D z@ZowF=0N6Hb)R8SC|&K_xk?{DGQ}2SCmMs!gk?sRt zAW#O!ZavW4CF7O#;ZGuKn|30(R^AVZA0upOZfwd47PzpDcFYSs02y=i@i*< z4LVXJ=xq^W7LXCtDD)npKZh(AtaAt+jGao?VjUOZM*8Zkqms*bOMN)QyIte^~i z6nE(Cm#QDO&pGs+sNT~y*s!!dJdBRk$ z$zPhIefKiTQGIGZeJarAIQ>jm`2%5}VyWs=q+Nov?;b=Q6#_Y`Pf=R-DQaYbCG2 zX)%u%QOXf7BE3ArMRd?5RAQhQ1x>;nbIH5L+%Gjh4j2jZr^&5~flpEPn6=|ip%vCE*<}*fWffQ#`BemySu1$Ggi>KQWBfSy3d!|O3 zVI?y8m{a3wI%X50S+G=1K9_|7sC9vT8D&1mGa?~I%{}JD=t|n+NS1N#Bf`0drE2aW z?UEz;m*rALisZTwQx9e3)I)vDOg;C;+0F~Zbgy_%c+Pa~Y4EM9(ZwD4In%wj{JumL zDv6Z&qzgn$*Pfu>#{o8(&zNrChP_hxYLxf!y|C*?b}b+;Tqu_Yz7ad2mZll5_uTjungO$AKqs#MVsep z(7XXyrsPO%VG_0tuTjI_i^zS!iBZxOm{u@3EyPQA1$?67Qdh;O@0&h z8EIw&!Migs_3$;BtE$L2i**~2<}P#@?+uD69X-U~!5OBTLLhpT;<}`0qpOpD+1Fji zuDb{Wj=@OHhj!`erXiA1BpQiCh{r>CQ!vN%N1$NgvGu_$*7_zXZvb#ibqf>G{S0v4_ZJb=6k9K)Dp@b6=!jVlttQN?W zD$HTE*VysHun=LD!6eE&-n&tQv}}pF{Y4ZcVjR+ z6=x(p;?j|zS;LUyo5L0hEp{QeqqI){uPSVsm*;DJN;gpy?S5Mie+B+9DGkq!_m{Dc*VYUYwY6>N$dXybg%LexcZ=VPs zy9L%Kj{z*;D0VfRy39YfP0m~`jzdKW?aU=ci5<^0f6rWVu^VT^I$6+QUhcMikIl4+ zd1t<=NX*cj_Mp<yxz;i zZB^z#rdX}%F~~wc{!ByP22T6E8Eg@&xGahI_TTPohG+8L`}x8r-zoa|!xFykxe?Q~ zAm&Vta4?jzC)JN|D6Z*huxHm}cmjJ7G$Lw7#HC;<7tCj7(#FFP%0H3Y4h|CXQnU=w zPzjR!Z=@YV9S6kaU@6fNXqS%q^PCzUiz60gE!KS@BP|wr4zce(cJZ4a}`_?XG8EbRfEyw0^8xwoU{?E(*zz z3(D0S!M*x9YFND*QplKVujp?3P;B?pO`*KS=AinyJ@R`s9de136j@3n4Z<98!CFS# zFEu|7;|b%3jas6WrO(-DJK~7BtgCIIhZ!5St2yJU4M>@Z#qeC4+Nk9U+{aNMnNQj1 zy;XLXY1ycS$c)PZ+hAX+b3@KkO|+fv`mBlP2HZVbBQ}t-h%6-Yj^!yl4mB+BE_<|B zL(joNA%jdjmQZjVO#McDFDC30sfh=rWa6QIN{-}TR!jXT;&RB$0}XNFp@wEAo^y%K z7tQwE;~aR#Y^@IGFtpxn4ZT0NTkoWU-2y4oF$-CSvDN}pEBHQ4QKIPXy2|( z*y6~P5ckKi?6WNV5p44IN2^Sui1Uf}7z%lq3C2(P1&F= zURmjJZL5%nK{T=Tpy+n@XWVD>HTD_t`s^pKFfG#JuY$tfs#KXa2-i3X=G36q$NkBo z=G2B1ON|NXo$L7E{wj!eN=26(|E6eXFSGfVh90W5MGQmD8$fD+?Jf2L9E1Cr+kqOW zZ^+Mj%MSY$tH%L}wM0qL$K}S$kaZijH9%&p*TQN%3dEjD?fN7IkcVX6xzd8snRCz& zds!PJJU!;p9VdkM@P3mFkvYNBhbM#+nIdDsIBTs8D>aMMZ&@PJOOVy0o60N<@iRQO zFz%dH11s|83mIODOH5Ga982amvb~o?{5A^*RI75#shqu$PNt@KO3F#A5G-D+6xK;a z8hyRk(=aki&WJ=;N2!N#M_As(%z=w&Q&Wc(I(7IYU1>gWstQM;Dbrb(h?W*ka7Ur7 z9>)3jH6tODNLA0yg+`s*>mAGB$k{UE3>%LXto9{>QMn40>5w$-i|oq=QN zWCf%MTmIrg(HbXVsUKLC3~(aN)Oi2)A~D`(s;k*0$gdhF zQuW*I8qo5E9OIahNos0LLH%k>A;K|*g=$OzWezE1&LM>roRM=+k4!Cp_VO7$U;g9+ zB&zjz{X~_M4y&o<&)%c)gz)Ltti^JG%-KngNGa}G>{*QMUHh2Fscm$19pNn4J3em) zyO_3&WD+U;-Guuv$Ksq@?d@os*7hNqrR%W<>m|F2M#yZQGm`t=W=F$97zlm9^`UPIY8CcE#Ec4fE2 zz_sV5(H7YF=283#t|IOCdOM>*GMNgHPa#{+JQ^%TbOsv~p<uuEXE15?S3 znE%O;c2F=ocrhrq64a1wPun1BlWjYY$8K(m2ec7sk1)@lc&OxFmjHPjzhnNj{azxN ze=Fj5f^g52Gld+PE2c^Tc^JRb?j3=$0z$%Tcn5?zkr`HN_ySPqhtVm@Dzfhw(jra% z8asVMY=X ztbS4^{m8;sYzm|e5}-C%sQS?sju|SI%-In3zR}pTClJW+^e#BDM zk4Tv^Y~Q_x6)XfIupd!Q_9Icw^M15l9&;w+tHsj1bu@h#w&SZ6Ap@k^{Pa*UYtBdv zW~|H!Rt@|r?)R*=KE2nzzhh1_@&ib)aQ-&IFGrMIKiUc@HcK&(-w_i$r*fLjIKdsd6xSZFdmDn42zGJ@(O z)$RTw%zn>t%YJ1*k?+P5c^puLjZE%}Ei;W8`NM#sSj^ChNVkLr!lq@ZnGwGqvGyyu zGsMVP^$kgU+ggo+WHLI1W`vPJwrGftViN=8VK~t~uKoHt?tC)uko{gVpYrN~0mf*} z&O@kWPS`5OK1aeFyU85-i$prZ=D&1N#h|YuedOvH>|X_;lB#A1@~!6m%NOxWKabP+ zm~7JJ&9c z&DN7x_gFYtN{omk%1P9Ksd%I^H+S5+OsI^}ot_3PkjT!k*mr?`RUFTa5>#Dx8I8tY)>OwgV%$rO;FlLx}9^gpvWm+GVbU5$U?p=F?ZzcB~(p zw}4Cy&N8$c(4}dSb(tTkHzTEG=IKobsC*4-ZiHIP-;~PAm>yNlkuKMn5z3x;zed79 zitxpmHM{TH{SvlJkp<0g-yK44F*oQ;UO495YE}{n|YhGDYS{UvpLF zphG(66#Kul_MCStCv!Ts!z3-YbsOf|d<;sFlRkF*-iJ+tC#}Jn7QBH>3Dv*5FcDg| z`P!CYLOB+CzG3S(6fR*bG`C|(tHr+2UX>awTY;EfUsVb#^P-HkXt7WAu!3BRe#`YR zB4zVizcS@z2+!PvAbezm}y9umO`WyhEg+@V*WunrjF;k*1<_^4^)NoVutW(H*<6;aYhc` zxuwKSWk1yC?{94NVTL`Aan=9t!AsAAgHArFgZPsZPwD_UEIaF4GdUOXi_j1pMB+2S zL3a0S3=T>}f`fWvxKIWM5t#`NA}fXhkrk0*h2WqWR&cN!maF#M(pTc`X>VA+;VtnU zPj4ADNViAdZ)1%U2YaK*m~V95v^X>Dks9N}WW{?w7|#^BioMFX!xb+(8GBpKga^Z8 zUpf=Z_qe5|$UBBXYowlekR#)>af=|9nOLc-qmdCSmB?JI6v;4FY9#Z2ihAVuJSvSypd0C9tW>c=tQ5cbMd;s(&BRKzj(n1cl`7E@ zD>Wb<^pvGER?4mukFin>clB7z2H-JP>P9keH)Ex4D6>vdLwt3OqU!xBAkVX<+vSb@ z&ta9gBE%$e^#FND#d821meV)xT7vA(L^%n(eVhCF!y*741}~i>n*@rg-J}@!CNRS$ zldzyrJUeB_Ai~JfrY<4Sz98j_wY4D;!TK`Dn)Wc;J&}dQ?8vnH9szq_2uKu)tzgn| z?mNaxa;Kb`1w|1^fl@A*Z6S*E>eoRA?g`ji$~}Q71A7qtPzW$zFf6lzint6}MwA1> zs+{wAHk0O~v^G{G?$yRR{lr-tEAp)jjdl7e#H##mc-_lZnJNU3l}V6L3L1PB?b0&% zR|BGs)m)GRh-Y{4m4_5N{GNuAj{8sAf`=!msye<3DnU@Jgav76q}W4izm)wjzGN9X z&yQ!9IS%cWhS+UeZK23gGqh0m0ZzM9T$~b11W@WgA?Z%t2UF22*|Rw^0gfUNqxzFw z$7w@L+nt?r=ukx1qgbkX6lp^avS*92iq(b?qq-Ditu9pxdfugM=XDL^?7sQTU)p#W zS7Zqllnjt+_ucm)hQzy|{?J<2Mt&9ddk9&drarKTwN^DcixGMclf{1d^M`X%9dRoQ z-7Ay(^h-M2BQo7jH$^%5GVo+=E*D100HK)2>3&er@o}c$rDJlR(eb^ZHpj6j`9|!R zK)Bnc`#iK~Te_NYp0p^K_o1WFjo58(v34RHdReN5UXW&gBzJao){q^WQq;)X7C*w) zVvBnXm2@NylCFLuwg=IXmr%)pVkIj`bL1ua8hO7|{W#ibTh&zC<({!QQ?(WMF$@Q` zR2}B3hv6{e;tc>zuRQC3btqM+wfkljJdDyQjQtMA?CO!sQ;h7zO8^gMH>Ymbe$VJ0I& z^SO2!R2pwS1g*wP?lZ>Q-yXXl#(VW#y%qP%J?CP)H*&7qV7!^>M~wH1oBq}41ZX@g zRK|Nlb^s;DOZGI?$W|l(r6}9YJVRo;{hiBVvL`~@WvQ}V(xw>6orcN^DiT7_bSWuK zSJd;|bnTt6D;E2Gchk-MJU||YKgFJkJM+wvL^cY>t3;?0`f2!Pi)N~x*=ctunh4r!hHu4=4CJU zX2vBzi{B*HV(9Ee8Xd6MLx&#KGdLqk9aRb{E6Qsw;lce?5b9XPAjiLJdhm_>($GV- z?jA^7!%-n+V^WX3yM6b9!ImmYEQaa3s+dfElUtO?o8@WQt6rQAR`6 z`&e4N?x#5;a;?Lj+M-;{_bR5dzQ6YLibT6qDK5Q>HU{tFzsAw=a>ZE>2UJ6_$arV; z)|rc6OdKUC=5R&c4M>#YA`%}7LP=5TVQ3E4doguH`kkqX!=ibXT{oyj zmd!_`>Wfk{wzEc7Wn~42S+vw6B9RJNi2$kEo!=U_JA|9=PQ5lcNJrCE_1L_E#E{HF zv>OtpZIO9eAFKCV#_j#J4lRTdndfyKWHjgXG0J#DIW?t>+nOUUWfUZ3L?OyZ!jzHB zQ$|*ALdKmgPRO{^Gx^-6ScrYVZsxsbdjShoZGcSG=1ONKr4ONT4>lc4Bz{=f3c9N@bzU*55vg9!_9U7K{wpv{SLAZNrmIRt(mdIl7{y|Xd)Ex zrWy{4LK@vX7#}n5kqnTm9<4->93WYW_D9mRQL;}fW(6mtywY_{135aqCC@FSy}65r zvaZ1={Sk!9VyPJmX@jRPQYXb?h@9;HO00Z4Rp~GEvVBt8H|>ML<{h$4c%i zkHyDfbt-$(Ze;J;U2zrj$KwKQmP+7J?j#FAuqD=Y_?>nma{7qhmy9tmz=EXgW|3!1 zwIZesi^7Sg-x0My z%hn?;x+&ovEbbLp0w(k3``_#Q>k)ZhC7wxaVHC(5y{udi_b`ESZhOF2mP;4w(?=Espyp#q#pB-#6DF$i3 zEx@~T^UYIOwj~`(z#1{WXp!dN!!HOt5g~(dS{$+dcu>vV-P)2DfA~Rh@w~$P;G4w1 z6nR(=UsFWJh#$rcmADxaGyuL(iXv8%GjgLIC%PPfE1yzhnJYsn5mj_Vep4qBnOl!Y zlktH@%((YW#5>}Ac>vnuIIAmCelPC@uVG%E&Ktl(D&1?pnA2$qDxe$4he+?fj{E#U zAp%br*+|j4GD@V#+I7=w;GD=DqevN*WsJ}1XMMsa+*yk`8N(sk!wY5z9#roU;Av3C zn)Ps}nSSk|6h-`YbI^%GTRtc3bj;;uP`)`#_9bS#1vnzcwMyND+!0o!4cHP%?f_QL z+Y^Wbu!vU?E+N2t@%6S=P>~PaOZW$d6aH zR7mQg3b7j*WUDgODcDz29A6y^LP$3<$adG-6p_hH*aLteS0Q$!B3)t}U)`pvs7Q#x zj#R>ecIjiLBl+H{#*iP+aQN;zoIYyyaOx_VK&0L8U2Th2&c)$7(t=eZzlyo1Xm}_> z_&>|!Y{$FF@V_sSn$++6q zRg`O9VVAj-OU4t*wOgOsoh@y;s@D9e%ci~gM$F@{y?KpPRx`t zrb_{fYdwXt#cb}2nyC3}*L5&a3)<{j8Bareo;p$!flaVbO*l7Xr}$!9WKWAUuk$tl zA%e~~`;yCPTBL=rcI8fh^9>8td_&Td0^Dh|tRN8zQPT}Y<#a=B%uF|y;=b={wtI7@ z{G8d^_0#oKd&|qJb7p(Ib1_OXiHPxdDl4(tyaTINOWqS!d#t(_`f-`kNZaU?IQAUQ~dTlaBULJabtf??GpF4<^)guk}z#Qk&-(LO^t@_%*7DJ zPA8uso8MnGWM3}rndZ1WhY7}fkg>>H-r>aCv9@2HUJ-LA*tix-hl#S@b3+g*uL7~l zQq~XJnY95F`Kz=T&5@)zxFvh)&nq-+hf5Vz2DIcdqjk!Q7uRm^!n1Y1FoC>2%Cd)sTl|)?63S;?CVJU{{Nu$A1_e&q&v#WFs+@>MD>asq?C<&`o5H z%IdeW(Mm>Te|%ER=Gwn@mITl$Rj8JOB+dDl>{s8_e7uUA zdOu8xnEq<2wTs2${+*)c1XBRlnJiRG8j@x&5AJ0ZR|JR+K*)(Aj~b%oI)syj#c&Q{ zJ|c7F3{zGC!qs|#NQI-&l;QNq#UzZnIc%D=(<3sGElSA*Qnl;rsPDe!gi}lZzUJu2 z{Q;}Twk5)OfThZ6NSme=+{O4<<0&!s`vVzu^FsHW#zzm!&mSN&RF3yMx8FqtUWX}x z;spmK6Nt1^A01!DdVlLI>$M5T2^y~IhlVQz4OgYua7DWsuBUIXS`Kxd5cII2X!qp|$P|_JJuEtI zDv8WdS%+D`sI22GaIAaIH?Zqtjfc}}5e#I`O4lr{-J+ZGkY2B#}~A7Md~= z3$^RwdN}qyU328KN5-Q$vdyEkWV$iG$Z||hHWWHBqXS8UoE&hPyF`p4Zg zzUJpAme8^X13D?d0R4bX8W zh12`m%K(c z|LqTtw97*ampyh*-hx*wiR}>&OIGJDgyz4`jgeuCN4=UL7Lt*GCwYk#_3LI6`ZXtj zt@!o`K>EiKYl+35@?1Slj+vMJ_B3c`q?&pG_{TTzi=DsoligpNC=y3_+l5KE{iGf( zMj+JFp5EG2jx+y1}!O z-0mEa>+w~E7GbW7rFzJhW4G9%Ci0NX=9yR*n+YT77YQE-Mk4a&3#usfo_pZcO;A_? zdCSwR7GA8(fy}Tv@;MOle0hYl}T4^*j*QfItkCa>?B3P1=U|A+GQTvLULRrC=JO zq7nrBSI@ekbyOteSot(xckd7P)+K77`OrVOd zLP`vjDp5fh`Y7%T-!lDD^}~46_t1fU{Do>?no0Zgh_sRRiF^Y?`$WP4?NgC(Kur-y zsRN~?Peo=2)hqZ{bM)_CMmefaU6ETsn!{36E<Qkg$g7jZK2z4w3a!8+o zwAH7ek(oYahY7aat`YZMi1@0K`glZUi<1o@1B6X~BOW~DDSN!>uRIcCJHLwi>QSi= zxi`OEDTa5&oUGi>h_RRw%aWL`=wKFZoM%PoagS)kU3pPWj~(V*P2yc%r2>Q^_B@Iy z1^1Rhmx953LcbOnYiqF5uOWW6Mdlu0`__)_LAdLo9oryp6%0lO9ETczA*o`P;jmkT z(HV<5dTdqjV4Np};IM14v%ayEV(-|s32D1lF6<3(M+`^ZLQ04%RC0nYbWyxH=zgpD zVcYC!`)Q;09JVhxCv0@LEUMQf)@6~QQQ-+AwK&yr`Bn(+2mxXRtFYh>dqlyzQBLT^GaV%=k~gmMqZGP%DB zVn0Hu5(9)wR4^}#`_hn#K^vr~o9D$-4RM$z!hXY2>{_JEPD}1JZLp5)wUncZrKm+2 z+NgPq5T-g5Dj`6(1pU^}!#HG*_p;6O-ZBn3g)-nFl|8$g-NVlCVRvl@@R*2)D^+Jh zgmIah`K-PJ8-@UhgoUVGRtDZV_PFL05 zwbt6T!+HA=#Xi+iF}%s>kAfx#4Um=f=;- zO$oDFn<_9M^Eh|(mO=+$xwAL-3u<;dh9}N}uWbNU{d8vpGGpAUNJn_d2<|j1hI!|Y z(j-1X$q`&71vkKIf64{N7TAo=YJq31(oNyQ0lB0(VsXiO+X%O4#hT`W)jnC4sV*cM zWDVQ&%b^nUplz)xGM~aLPD3bKInlV`c|OGyqx9!_VU^Lt9C}RlJZ=!M{)`ZYmAwcp z9?ySvvgJ_Q;r7r^K8U;mxI@U>8ZwyD3Vo0N6Nb1h_#m2M*6NAcEz6Ii>EF^wYc^}zq{H_g5#6&2Xm%XQS{{Nh56!+GAlXkdU(0%Y zpYv27?m>3EnaLF{8O=Hos97JxSEL2b00~?TyB%?Hb?Cw#oJXdQD3?3hD@!J~C!zn3u zCac?^h2CJCKj#?gg%Tme49A5V0tw6XGIT}@X{P%N#8r?-2*r`j>Pw|Y}6rz zE7l*i;6wiuso4cv4h%F>nWA0id*1b%83+zVuMy@l)nKV2i(uObd@t8rj;{=}og+Fm z`pO_jNLRMpe_7wC71dI``FF*2uKrQ)Mfzr^dIO+-T+>9tnGYTEBjevtCv6*w0NnrD z@iuBpVz=(SiTHb^9ce6Fli2r+Y55jeVhFZx5&zIk~75Wf!h3 z+MtWZ-a9U-__PJ-u#c&MpkEMmA?H}4WyC2JL=x-gDF-t(6F;ZJI%-i1)l1A+Dn<_a zpX)>+02jFF7Xq@Po`tT2#(uK#xVpLmL`B;p59>GbFyL=1H`9lT54HQ*mTE0XsOy}O z^}g{=4@|eFwuXcVFD_@eXm?rqq3VU zxw_fakAiSq@US|0WS)ZXf9T?d4lP$ZQI1W6HBFH^XWQ@os0MTU0xSI z3UceC&<-N559lApCO9}MvXV91Q0~ku>RBBP@Xhs`w!SW%%HN2V(`ufnFr1Ef#3|o` zn>qs09G~nJONRced6u;dG}euRLQ&tw$@0SWYS;MM&XaYSY@n>g{Y)(m<2A(m0W(gE zN=oqQ1I!u4sOktRUmt-CFOv`ai~sjXMg{?$*CH-dvucUO@n$aqxMY{UXmDa$6L%mcg zg~1!A=q@=hn@#{Pit?2q$e4^NYlP#2HOhyRxvV=S`*r>Y55R)TDy4#HEbsiGe7oQF z`vicBWkt7CU}#XoQG!KxP?ww{bgFY9YQL){hXT9Ur@Ry%$AYa*=}Nn8!R0~+>#rBI z*(b)afIxQ)m9~%tTn&}Pg1|6kQ(KacFB9NVlG4g9bGmCoC%v+ct{N59$#4^;LiX5ldI>aKjI2x{NU4l$)6rn z`^l)Sd1z#j;dxRS>m+x0_Pv-NTnB5Og18ljKh3S@l`nGc*`uI0gspj7RXvxiG;2_4 zbn0EFIoKERai%b;N+%bULkR{p6UVHQosAnYS+~w9)B2Jc5WTGx?QZ6kA)19`A|*KFWMMF^Ws3}`YPaBYzsyaSi8 zZ76`ewMetd1o3HI1LUG}wBmF6!XKp6VGa~;8B}G&V!zv^;RUWrfHkaeL`aXhSEBmchScJSWtBUrE!K5Zkl5K;XSp-;Wo@i1ihM4eXr9q1 z#S&0+8D#Nw>`r>c3nk-l_{Qlb?^~D{CqMSYH=hLUDdp}q3zGU0Zu&5yR=6;j_Yy=f z^>S4ODv@$u=POq8CfH1I{ibIKJyp9Ch7xM&9k|S~`0Xe#zjflSJh)mj&|EeyZCt_n zD>YZxAS=>rdKK2_z*F+bQgK34WlmJVcX06!8jV(Avv1n4TG8bW&+%lh_E{TI?BVFo zn&XnTW&3~wyBX!?4KRc0po6K)*`Z>)@CE7NNqk->E4tz{ZzQ z{^}vw&u52)n4Qojg_!NqRk=uV7n8yZ$u#v2xZH}3&!Zf#M8$YDk)!bkgoo5Ewwf-q zM~=$Yw$>>l_?G3b#;v|fSd!jYHR zMTqQ5^lf)tM53ds`RVrsn~XO4Xwuqh;My?&WxKq$s$SQZI0h22ew4+!3cmqgq}WBF zZv*?pG-5Czw73E$k)xCQ#gV#9TY=u4SKb*{?1Er4H&Ls`KnmU5e*`mNs8^eMD(v}4 zgsr7#K};q(KctvNNZE**5)blX9_Kl7n6ZZ82V0AMAa*8Le@e;6G>D4E=;*RoQ zE`e)hyM9%j^whCKMw1}uYn~<;=9OrXk5-ks4+(wZeyUFinP#!IUzl=jttL2`W0i*u z-`{3YjFY65d11Z>f~nEL4GBl|X1;_a2|#$#Urqx;Cw?N+S7$SmxJyZ`-VAl9vBxL? zK;Pd+&f>2wIa(xrAn7V`ZK~#Ti2xQH_U&jmJs*Nfzk9vfh zC-1r&@cI}0PKH5K(;KcZI9{V6TK=hOTobIwf`9M(!|@_P^|d#Vdxtf?6P3KuSiGN@ z)T>09IJ>$if&qmYcAtVZUJ7ufZ@m5GAN5cjFH45}k!fyM70uVX&*xP&3AuMDXOXuHm@E8WeqQBPV;4JybK@ zV*3VpXR4xVU!*TR2k*FY!0UH;5ZtX@aFf;0bI9@8{^Hcm*Ioj|H5_IKdI21+$FvYMF;0HR3manCGmdn&B=}w%^vx`JK}j;O zb~`w*!FX5@xEw$K(9oDw`cOegZ}&vSJ$9h#3`lue+u!J{PZ-5EHQW4AHLXtWc?{D) z!v#AJWBZ++O==;PDou54#j_mEF!Tz!Yq`7hck82g-6ft`abublTK!N@v;s*nj4Xlq zUa=~_Tp!+Nm3Cy-v`WooN7lUZyvJ}sJv_@#q|?nu_(W7^Qy%+`To{yDNxe&ErL!(N8|(EA8IL(go-Eb-;q1aILr{x zs`*cYGpZV|#m$5}EiTE@UcA8{ZFCmDc!Rw4C$&wDucv&Jo0N{#4I@yqZz0!0i zCy2Pu8byS7dNeFW&1g6s2tqT=d3cLdrjj;IC)swkQF)?Gos-)2v9alDEehJ=q6jzlQ~;k@tH-gIU-ClqJHBV zht;GeF%#%tJK%b_(MgK;+~I({N>$)r%Wt(s=Ei_h(adzo$Xbt**5t4omSAMW0vM(P^cZBM!5@LzZn@~rZ17eO1V5aO*C^h9j z?;-b9#HbYL`S3Kn=1@w7$@9%U(HrSmahBIp+N4b_nP@puPy+lM*2l6fOhKLo1_=e1>cjDP^Abx2z9+BZTH7x*(qg8hj1%pY z?JHiOWIb2$^;+Cg$Dy8C#I^j0E|DcrxD&64c$lG&!QZd2&4e<*6s_w@$?oT57@heg8aefblb{&KbAu{yI2c5{KaW$mq5(8t&yh5GBDWq*G^6rD0Id6W zCgacjT^(MwIxR2LgZ+98|O) zQNM`-df!FP_F{VeqhPMxXDBW1gD3W9`Ym>gb><*6ZC7eaQyZf@(ZOBsx$C;ECLHf9 zM4N-fl#icK?xxLqV;*+V&R>xEt=x_J;PfkIbYGQs)UWR4?8fs*uC9!TL`92$!_&|Z z4nVS*76~zW>Ms|PhY=@HwfE@vA1Hphw@@00nGZz3#;*nGbqLTbxB4dzZEsvz_GKDv zceyy)OG1V`!;->T(Bi-~TxI}>yR)RMnJ*8p!N+Ae0_x)cA6mP@O%(9@xL2iJ8D>mm!WC>Y+Qr=>+Gz@}?nVNu5Td z(Do0Si~h0Vzold=&twq=+&r?)Ygr| z#W?1f>;?5r*2}OcsXk4^Lr5%wWUA0IY69Bi!^%z4pH>JH|2`f%W4Fwr?ZnK(@$2g_ zfZv0*!ip>K{hi?Izh{Reqm!KKcZVrAU@M`scK_33!572TS=pRcLXRC~iyc-1$vlk3 za``qVd6C=*RtaXDh$BVmQ0{bLh z>DD%UYxZ*1)*dzI22+c}TmeXG?S10A-LmXpt3!Stt;=hR%BxkUS1iqzcAVF4T5;LI zrjWqw6_50+WTKfQPKcJDWS4pHMbc4HBXoz)I8sL{}J?@Hue z_yWpCoKSJ)qu=tE1xsg^$NCN!5Ly#XJS$OizhmXu_C-agNXS^_#)X}detAMY$#P3; zmRUd=S8$jTsHm;<#%t;;`mQ`QX9)%*THqTzQsHLtp9!cqrm5J< zjiaLpCz8h3q{8i*6;$f;N0ac6ojW4$l1To%X!p=fE?69 zvH%DgAth<3Zx?7y2G1dRAZ~IVUyR9`bv%V}#l~x(^MFaDllhit9urnZogj}dzq4E2 zB%xh7UrNUDr?APJ_BPJ$^&OVOV7*mytq_ZRp|_S08xwewO|rom7VuwJn@Cj!s7VHE z1oUbk9Gt|}ps3~X`SoT|57vYx==iWP=?Tu*PjPJG_38O_YeX)033^|LHv6G)Ib88V z#yus^Wxiw`yDdfeM8r^Er`oLTEv3y9`KV}`mz^Ou5TA!MIp^~2QFTGAI zB9-@b&$?9Ch>`;8bm*5=N5kl>mq#u3Z5rZHpK96*-iR<^$JX(Qfm(Q5y^tfA!s@+*PR`b8mFT9^&ir z-1b&kLbZhx$NT*45KDSAzyYkO{CY%^jKu-}@TR+bm}zvhHtz=}Qr!ogyW+3evRcpV z`CJ`*{BaTBoq1~!M_;G&D<$7He!NX#AL2o4T}AC`-#mA1l8ltaP9gAae;kc$7jL-t zl)TvqMQvF5EuzDKaelJ6f#$!V4p33K@X`62LFoMozgsp+X4+FbF0jk&bN|lUFJFY0 zTKiIwv3rfe&6rCdJ?n$aw34e~zLzsNMUY3gBg$Ax-MC?;(eapT78NSjCh;86Ez80` z1$iJc=>3739A6CoA~qVR?}M5frp;2{C?3}T@AwyDn1+XYqAV{O)B!o{zLzHasHj^g zAu0JLMM4SK3r52^;?*cfoTNjgSF_slv5f;2EC7oE(EWj*{)N@$iY+>E`u+ttQC`L&F^0w)$Z$6*wFG zp?!pFJ{7FnQ&B@~Pa;?Y#o&W(b1WV^+YYhE)C-F)F6$H7ZSX@eG_%s(ZMJWGxGTz| z`<@FN=xciew(7&I(p$U?F!7%~H8Q1XyFfaA2sRKhWFQrs3o|oq|)RVR@r7YN~&PPeDf0t7$ zLWDag-UI7Ge0U-|R0gyao+t{KmnHd!MFJs3nq8lotJrj(qBA+NhoU4e^aP7g8x+UV zoXTMUB{e?f&jx~1EcYq7u$Nk|vy7RN*HXq(jmE-&g|3RNKCUIQuR=OQ#OFob!B`}I!D29A=4k&U*w81U~mk~UY zf;e>iPPQf&9tnt*DaVFKdLnpEo_~OVhgVW@pCMEt}@B>7vq;4BMNS zl(z9*s>z6#D$?6~Vunf$-8G*??VJKnse0bzE{K&|9+p1+l;m?RuQ6KZgp$#%)Rg-S zW*VtbzV}Xxb+7D2aW~63fm#_D5gO&m=a)jz^9#0v)9g-z-zbEQSy0)eR?-lT07pJF zukONfo@i1aBPS3XCTDe#vnDHf!3 z-YPQ9t?JO*TY*wT@=ub;6)qhkokf5T&F_;>R3e;y07Q(0lj9I8bip@>JHU81GU3}@ zD4E@B{fNVMpwjw2^VuJKNjU*($_3CWqwd!9$t#8dQW zj=QnDwN$AW6bWTn$dk-lgn}89u8vp3@JCH{4sDg;O-{Gdn&+`4`1E}BH#j^myI8{*+Y1N^y!jwx*ykY0rfOe(`J8CgQDP)W^2z;{MC>$@t`#+9%eIAup z2S%~CK!_QOc56-Hb%dWQ0P{1`eRW7JHhG2XJQMrkhO+&}=o{W)Ik? zD7zJd?d*2&>3407i!^dGquhs;I>qudtzqcQJ`-5>i*R{(_8|Cye6kx4hbr+HVl5PU;Gul zF^hjH?t^559EkARf^3Wy|4Qf^$`D*=W;I{`-TAj5fgXBl@!%$5N{OPTBYN zvTGssR8GqMh@kOS{%d1vL}ejU*V$KJE;(6a>)4`^en6cS0>ki40&`=ju}{EAl1IFn zskwBx__NBuTo>insRWHhc2T)YL+Q5G5RFu9tfVi*fTzB$a4lw$b=jO$d)z4txU{3IG~7H6)2SJ^fT3>Q8W8oC9E|aN zqy(1Rf5YbdlX+o!k#z6k+MDBh3lehz11o9eVI4ICDWW+W8Gruv4KIovOY)m z<9vmH>}xP%rD{nbaD~fnE!$G&bfa5w_5G=3-_FCHEdJY~Pba^Xl&7BWtyBF+UoW!4 zkuB|qVSXF0cU;8>JcFO*_nxZM+VWO43!g!8Dlw784)`7Af&3iFbQ2$#Q>Io$)q3A` zEb|~DM=y?qT5b{jxtN)tnX?O6@v@-rAoKB8wnQ&TQ-n!4V>Ulb0ZQ7>Qgnn2fTggapAlH`AdgeNG?p`s=`ZyG{<9mmyPT1RMiGxdW$t5@&XAc**# zY7)c+DP!3nB1GlEk__>{MVSYGNCYuhk0??=XA5rbI-WZTn-GBq{(baw_}&3YLJ3*( z&HWr{^)fr)>WyCS;O7U*8u1&{b(}PXEUQry%UBtdE=jJy(8=}3&M~qy=51K=g>bCD z8i_QQ-mU79zifTOouxjBaX#_z8U`*8%u}*NtjMY0Cd;<<(Ag9ylFZrk3jTiPP{&QR zv7-(h+T18ey=y|--apQB)wZlx!$3bl8}lMc6g3RQ8B5pVk-Ew;u0sZymI)z(T_}hm zAe|8?R}m}7Ja}b_B9O`#idicEpHHR0!|&>N=V6C6Y?_h#B<6KkJs#$Dqqgz+=Qm@i zh;YAPQ%q5*uhpDnCjRPPU_UG@!{fr=Kzh2O$<({8s!2&Ce7FAN#?gHGOj~v!$RZ6t zULIxm-5W$j9uOISnsxShAM8Z(o=0)Clvx;jD_Cc{v8i}0u0vrLCMgD;qV-_dHdH8Q zcXEh*UH-x-=tvO5trDR#9Y-@z2=Wduu3(LK8JqKU&Q?_fj}v}HGp_D|@}9gep2Sz) zuOhU+3Jf5M4?pLZrb01)oa_#8wGfakP_BN5aP76bT`X^Jx<*c(0-~V+iN*j&MdK7m z|8!8b|M4?EwuI6kTU}xu9=stZHrLO-`bR*L6&?GieA7v3*RfEuYsgW)ZV@E_siWz> z^>Y*P#4%>;;hfBK?u{T51ls&D0*p_F+jRl+@oc5i`A{d3d4$ zuQt(bwf?w`Bg*+SCbWV}#-J7#62c8+NMHH=R=gK_(NMa(z|Vm1qfd3Qpq$81shz@S zy163twz=a2O=66O%F~V-TTg@Dt>rEnoo42c3z+)|1A7F_$%^Dpk{<_YoWPbV-^F*)8xX=CC^Z`)l|6p*EO1M>Ir z7U?<+ev4`NMJpK}S3pHHJ{}(WnwIYN$XXyi;Sx*vZm!beX{9A(+ZV`NkfjYJkbZJLUASj(^R}_-F}XURp~lLTGx4XueO+zS4SI41vmeIb-fk&KeZq z?(Hn#3ekbB8S&6IqGb5^OGZT&jFM_RDb0EnSG}B@h4t?iJmCl#4V51+|DV{9a2t#b zuZ@1mk9jRlvP-O#X&8M$XMU^w$~)Bd_wOlgD8?xy){lx@2)4#!mDnR~HvpDb_ItiN zrIU0zu#8wB*RVgMHCUE8_fe>3$OC#YXpetkS9};`;gcp`kn|82b(!qrHdR_- z8csK@Wf@t83jk)>SIS!T=V&D^m1U=FL?~*Ynd(JlWVEv)1V;Hha^5VyZg5yMnIm|4 zPX8YvMbnz?-EWPoUq)#^h~rQAhst^a>TtemCHHv9%d)!6?_A=6h*S-4xj(%%$H95r z@Z;+O@Wx(K&|xJ2u3&l~({fjGSeZQ`o@^QfN^=j z1K|uTtVoUTNlk1P*DEQu1InNK&XIhWEBPOPp=l-W3}6nCi%#9_BvNHu6KYWZ%1Fc} z3bL{MmE2)woTV=?%&Pg%oYe*G!#{uv7yCnYN)oGK)`ifGPjz2A6i?zZu$%^NhAb&s zt3Rl*S&L=gU%q-Ld3zNXFp-hSZ@Eu|Pq}aZZD5(WmMm;{VgEfj5tSaJz|DmTy+!sU{^!~3Ej9RL-0fCUg+&jD2@~41$lAPpK z`w8}UM#U6fxOlQGNx^|E3=3J|UEdixzDgM%ouuGRHo%TXm^$o0iY{#J3u+19x0h^hs zU|tGzcSSKw{9l|H?^Ip{Bem{Ew5z2IH|u}=Ge50;kCjQ8ULm<|zOzT5JX0Cw6ZQV% zhwU|!QM`vic;5H=#PjYLwd$%sv1+eW!zAG%We6yWU>#}}*IeM{$sM`$UShZJY(%u|7`0t0SPr7d1HbD-%?o_8&OAPtZDP}ElEt-Bca^|r-I$wcyGPt+ zH?HG+%}jupb#QXzR>$oU5pwd$yq(Ta4gBwTtDsiVK7TeT7M6n;N&z$DOaBF>pgNJ* zudKqbb%i(8RVq8O5edB=>yN_soa_i2bpfm z&Mey}0rgJJignLmiVFvF4qQ#z1h=dUr&#?ZK3{!zVDPuRTI_J;;@>(`Ntl)DV-=8X z!Qrws%15_Ra`TGuw_vF9j&`WCNSZj_wn;1cl?G5TPg3$%<+jKw*q8nCsjd`5KA4U zw#GFn;0eRSjQ$NQ`o^2Q?5nK9J{Pi{&zMC0hF-xt&eB$GSISMJpRK&|oNEbFMS4Pv^e z;IqM5s+-@Jnr$!vBj8Xf3XzKga?13acW5y^E>^ilr+Ytbr6;X^5v^2ExVlQJ&UAx2 zrjDn<6;o9BTtThc9|4mt@H}sn@75A((PosgEG=5b(FwNrt#oS7lQ4G z>zH||O)0O|G;fe8;UR&2FQONofKBHY|-N5j7?l@ z-u6&o*&(#2bH>Kj^pdoJ;gWvvYx{r=umfds4Xi1^{Ge>6$`w*o=A`SBZEmKy^S1*L zDr&UL!smYT_4>YYtF;%UdgVRpN!D+g|Nd_OlkAWnkQGzllbXuuUU%|`%&_tWTO^H` zWNY10*I9Ck9Svu&LQ5;~5R<9XXl{*-Rp}llFiO=1xxrS3N|c&C@l@oDUepJ649U~g z82o9-A|MsGBk6tU`dFitBA~f$iG{S&^m98W1Eo~_AZQn*O#F(ep*XnSVGtVQw6(A zf_Ogs5}@s!t6qum2Qk6v3UZ620>KntPxf!$;W9tfdq1a!`)8d9E}k;}W}PEHhD0;U zzn-)sm4?jqd=U;_wL6wHJ^r0;{W|*c{P;?TZ#{&E2ih1rIynFhtpAg;HMD?dVIg5A z`A>qEmr26G*4Ym9Euz9C3Uo0y0xC-gGpV}U0sp6jNrg$(!NA7R&cFd^W8}`y&!qUD zloJUXs0n2zIiRt*fv~Nc4l^jr#RgzxV`gRsu#vEHbLcWD108Lh9gKjEBrJgc{`$Yo zDjJvqnM7=DK*4jJKqajIDdFe;e_dk-<^Q*9Vs1_nDozGYKv4G#m_ZjK0}>V{5g`&5 z{{MddUmcGBUq{^B$_ePeByI(&CJHpNH3l-t0&Ps4%t+W+Svgqw`Tut$+_DC{Cu%M_ zY8ce7^jUmJ$XlvHKfb}h#tF+u2*LMB#|8e5>F=gFc-kM9AV1``ZDCg+)m-&NYF!dj zq7ak9p!`UkdEA0mo>wT}) z-*|d_==D8a`FeiP?{T5I+1b^rbExh$JyC{N9&dH&a&l&b+>Qp z;^*?y)%p`VT+?=s+txy#wrmuSC(=5Oa#g+p?R5B>*t$rb!F!s~|Q z_!p3~r#FI&B>YOJ&ow$JDrr_2I?Q_>x;w?exNA=Vm`fjM$R#HBD%7s~I{E2~5S;W| z?=CJ7=dMiL5YJ%c8ZQN?U^sS6nN6?Q;Y2)bGCQp(PXp$N50Yxe;ss*7q7^Gha9J0W zS}9G3ydJbh(d0`{WX>D=#{nnq%_fqhs@f?sn9%jPrWSf6NtT+?GH{ZXS`C6VxMe#= zvJJsNYd!>QNnE3f?dq8!eAvUxi27pr*I+k~vmG70Zx^0@W*b~r;J>2K2p^9l{{*C( z8+onJURt9s*cSS_HqZCuHwK=z|M=^@zv=bd{+oXr=buw$ySq$J-$rQ1?qGp`9nwk1 zwjc!4XiTBE(E-4h#isdzF9g?X#Yb%CiFlUB%kLMtdz`)MMJqVl#k$@wEv3?Kf#FXR zqTroNrLLeSuLP%^YlCVV)&n}o{*F|GeXRFz7GeFrq9+JhW=^Ni;jUie^qYZ=x$!1^ z%aQdqrLygF`cQvA1A@C`V05n@bIUf7063FjE&Y*VGT2?g3R_oNJ1ru9r$64`wt|F_ zEO%_RMNBYoy6OB|MIU{(flfc~Avbu&Kel=&;FO4dLS7Shk$GIUJ~Brd-O0Z%O=v^T zT)Yw;Cu_T1cHVy$Qw7LcfR}A1@HmnSJ#DUQ)5(Rl)~2L)p3U~|gzIP9wM&Y0{`4y( zru~|i2e%n1`D0IGM+hiF#c>KzO;}C?Cg{Xmy!HE$qn(>HIejT z1shlHk2^a!Ga?d-<0Y#mWd-q=rQ z_|18|n%MH2bHq$aSJ1fN1Js-LE{iXaC?@X2GJL5EkDcaVk;o&T&AV%oau~g(9`_sjZaZ6A4iusgRXl zu!XWttgz0JhaI&+S}}~={I>}a2F2ekm#0%h4k<^VCV2#Dc5aQPkN!46)|1NJx+IKb zF)Fz30zW@E11Eq)iX$xNv4y$rxm3{^NWc^9*m}ANgeKVY5IO47*{sNkF>wifSHM@om~%Z7S0*w4^yk`KR*xg0L(UwDfLVrpMYKzt27)`lz|%Pkc(n zH4cZ|ekZTRP5)m3=zwv}bLBTaBx@&av*&V^Z%>wBPBB(BwO6S&cwE;ft0-~yF~K$m zW@Fe8W@DIpokZr7lA^y5y@}DP5#x6R*04OqxhFl>jlTiwQ7{}A)yGy zSmr+09@&?ZeTH26CF#EOPnS{ZNY-}`|1;zy6Om!-JZKJ#qsglF%r8hR6m@GIgONkV z7vzh@&wW;68;~q5!6F`(O&$`b{_c%T)4MoXe#RQZaHz-A)Qs!MBr?SrhL~PX@$en5 zP2Rxc1no{<1xN6Wf4PL2a4sqhebbp(%n(zF^N}Qme$&Xe>V}`XsGxAo2sxk7Jxc8T z`KQsZ@Z2CE>GxGj4nL!n`kzq;;>PJ>6T;}XWLGJJ51NPIxNprzHyalV#_V(Qx!vgH zE&JCIlld}3qDLIv#kQv}?CQ^aShTK!|3iT*Q+ z!EOBAL1Dg=+*5fV)UT3roK5T5q(g4rGKsL7M{0x{@x+Y|P{4rH-pPhVK@BP00uR%n zdCCNCEbK)NR4FRkDBkq~lOhOJ95~`FDW-23mPE5PXtbq` z5es2Zk#x4B8K@v6b4BRBeB4nB36HBKr^}&;x9>y5tBTCG{QHAcj!Zy{QBEUkS^u6h z0pE#yBQ4&h6I^M0>Q!Xk0A0@$$Imeh)p{sGihx5eQ)pXGz$!ol-CJxJkSvT!A?uE6 zKx|Zw2_)pyS5M|H#%KAHCG#4-vh@Q=WElA;Xc~yfUNCu`bC4*==C?sB&#b2Dr`d`V z&-vGWYQw_d(b}=G7()~Xn@u58&yxV)p|3=a=Z@TMB4+UX5d*?kN%3%!?Ix7beGD(E zM4rQ&KJdbRl&{kHR2*S%&j0EElrWLce8DBD9ecI|YWMsIi$&e1qF+<8e9unmoH%P} zwi!M1`Uf2rMAOh^@}EhG>Y_*vA5TCZQpP4zuOin+49Kb$KWh}% z*5I66#UReZrPG>){!SKJ90;E};a7C#I2=V4E>|b@`)c*gg1nPvd>;amu-TyxyrVVH z68VqFBNjGTk&Y5kbUd*xaPQd#-BT|-zoJ25L!f_#LuNna7qLqHv}R^v4{DGyE}4%=k8FV? zkFn^?RPk5;U)+rGzJ_SxVkUkziT@pE2U7OoczP7NJa-w%0FApR$3hzcieeJ$fX%R~ z_qKpZSTg+xv+~t4$MqTRF!jEhU(OAo1@7<3M7jf)sDN&UpMCK0Do|iIc1%@0p?J z+0-CPvJ{yd3WF5%MpxwnR6r-~N6p88EwnKH;nM!jNg7?@pG_Z~K20pmU_ZbocTDwI zI&b?6V_5!l3i&^eG$QwmQSYB0^VBxOMxXAy3}6p^RW0^n7d)mv`e3DB_|$SNkT{-_ zHu)_=m+z1N=t&Zh{lY`}ME|N6{z!Z(wLd+57xPEPfQ$b-C9bX&r1^phqt|-ZlK+wkwNJT@gqldu67b6uMEOBc&F4YB7rv`n#OjUdU11B2MQKw%jPYm`mZS z;t#j%+JwxWe6ttE(*iry3?5F zf3cI=z*hJ0uw&ggSY=i=&F0>1`+8h+HVH?@%PWu5AT}SC7IFoFm&_mO0?8iS5@J1d zt$!s9zkZldTUwuHyr5a1rck%NRh@~m>54ZtSeptTZIQ`sWW+ToNa)$rvW1%}{zyIS z7ZWRm<_bvllxit^-GjrDe)utE5v>LHH}*y6cz8N&(ws#{rV2yGgNt!(TBE2!PIu^d zb)uqwdAmqAhwNye0oyqNtDudY`CqJ>Poc0P`+dZQ!qg|4u}K6Wk(-S?K_ zE>yVIt-gC=k;G9<5~;nyov8dZjvy8+1u9fzAj|`2jhJJo@_TCnm@}`j7>68CJ}b?B z@FK9-1))0eGACtN`{7b2aGG!eL&hDx0dB7TDe-K0d)QKeT4uNtE)Djh&JB&*S40V8 z;!C>04F%L+N*|Y$wrbN6uUy7f;#*R(8k>Y9$>2%r$l5JP` zxDqbjOAUk6p9+iJ1*lMU<2f){_-iw=(D)VZ_X`(W?)Qm&f8C!Q{jdgvn`qHW4(lB_ z@D`yuT&+DMsRe?#&5wDJ7f&B+85;fB$SW-*=x$Z>T@kU zwTiP9~0Kq^$zi5S%j)r>e z(KjFRc_-Aq>v|`I>d4Z7p2%1i@7u#uAlxMRJ`*BAh}6YzNYcPk+fVazptEdVABomJ z6au~GUbRGplam|i+bq%R(S7|GbqMrEB*Si{YCp>y4R9o8C#UVs#O&m(4=42@v?RXs z)I)RZQ3RH#3_0o_MC_aW2^XkL;Rhgj-6;(?HEB0o(v3*aIu&#FR>pyA*L}|r@r_83 zItX8z1}u#NSJ9(y6vS2azRnecxDK63g@1A+F?ZPfEBHTjJ2T0Xm9MDM&g&*o z8L-jQuQB^;5xVB|4M&L!no^*fHTyi311#tP`;qmmj*O>%<*ATb@~8 zmu|?@Uwi<`(bDiKH{uaM^W#M5OlHVSDQDe55|>;|x6liNh*>^qEVu(4x%H5+=>!|MvkvUcasq6dEh)v% z5{FWVPIDv{7tBHXtq&S=S=8N#a{7qaSz9>>zZOsJXbw^ zpY58s!7l1JvvDOvy=WBkDU=g-3lMI6hejbFl8MY^OK|KCJ0ogupC}gUyBO-KN2)x1 zdRXiAG7qP(zr0qrELu0{IjeVNW%_ySBtA#jZkA&BB51SSte*{a785Yd zs$Vs{Gd61-(UGO`rkqna3S=Ci@9;C+sYyfchl!q8hDYM8N!`M(QKmCkd%n-Cu=Mal zMV}JmkK*ep+wPtKF(fOLQ{&}~_1CY+566M()+c0_3l`GuHV$~(GLNKp%T-|Qn=Cwj zMQ%DSuiY<0q<7%jyRV!WkAvB#Zm(K9%jJg&6QUOq#)kZ5ce6!iJTBO*Q|;yCL0U_V zPl&aYrB<@qP0T4do`jdObb^c{Aurt5%5(2L$-?)zLl#7WX)hKHbJ8IVub$GVPlY4BryLVuM?xB`eMuVaJLAFKp`2o2`w!cqQUKUpWcdhP6o96?l3yP}ZC$7#@)7r8+ zCpv#BwZx6;F0-SZHUGkO>CCtjeGvImp;tS`HgKJ?W38L^GF%^eugmMbURg_pTSHph zHnKP!S@M^)=*fW~Ok~0Myi6G1jmYGh0|$TNqYDsS?D=ngqw}X?FAw3m<$I$XS!q<1 zX+}eP4-LZ44AW`UreTEeD>yDP`C**Ex~AcX$cl+S$mls^$%&Y%l37%+H*9Vb>$~o$+o9p< zrAFOT!clUg90^a2lO|`(6cjnLSN=rZOM2-#*N%a=HM0^r65j_;NY%M@^3*tcyiJo3 zBD5S9qjixqEs)i_k&?qGWLw&aup=?>vi6mM*DgB9R_e%#LZi%OGS%FF4mUXBT&A(a zH>Q&yF3r1Hskz@ZwrN;!Bn%yoONpo8x}zVqcJkkR2rbzX-XIy1o9$hL=wY_KX@UX= z^ximb>B8s}5Z5>?W%46k%8zwZe!lHYL-L*JRA|^J>SXl^gsAuv5Z7%i#1=T+Xrxyi zo%11^*L%u%^(zU~k)<&`k+D8L_J^y$X0hBnHzAVakoq`|vY0U1w4t4(bI~KZ?!1ss zq)3>0VCAkV@|OT@f|q&K?v`yrw|)OY3APIzR2-nE!xiBBG`aPQ!;=Nz((^JcUv74e zX}+5od>yhFr*88lBe6Tb6J6acP8{3z@roIpyC#uDMMUU`PrI@pbbBLPO%60}!Vcx? zChwAN6EkMhHzHXtAzxM$62HFBdA;ac&Pg_#EF|LdPxM4d@{Pn_bce2*X8*yv&?cz#nbdzP}EB)o{v_3ZzyVN?>Ww%q)k@%g~ zX%rwtPr_2>u1PnH&Fc`O_KBRf=-(@V;Tc&lX-UmLfOR;IL<0Ypg zzUv$_5<}J`cje{RPi*V1QL!!DpyB{~liv>>%D>KO$tf+c?c#7pzNMUs1%qz~0PYr3 z!rUz;UG5E&<=8)77y@_`wu^#yQ!iLV>;t=dB9gePoOXE&?7&qBG%8=vCT^_uuI$q4 z?CydyLQqH_M|8O!uzljvOl*Vy6j0# znjt~~vKWO-($oyAcg>K)D0DowAOZ=^u=W+rl=cXj2C|@;ROT|7HU>?#1$HDXwaJcz zB|+HL+AB35I|FTOPY6@HcYNNM>S1fh8Kw2x%zrGG3==jGTslXEyM-<+k0ktUr_3cfEVH zpR!l>^R?f@yM6qU4?L|WDVF}z-+&K){~y28d&9$l`&-J_X!5U{hokw&T?=m5LbaQG zYy!)NN~j*Uh>m~JytCL7%GV*~?fV^#TL>(__x1+@UwEYYxF)#Fb9zDQ=cEj zyaBZo8~HxycVic%4|!R%h>b$5wYLo5E#_STEz-=YJ||uj5x`z|v})NnoIQU4%DI<7 zTdtmoo_BR)s@8#O4{fuE8$bwzynDrmKGyAw#pia-O-0K-L6VL4O5dmjDQa0Or?||d zl~X!bQp+hfdu6p;va<@L>U*x2JcAsp`*#)3B7l}~d3{KgBK3NyC+CcMG1mPXZK-=M zG2}Y2L=$NuBde*)8|J~-hlsQ8Mc6hc>dp6BDIa0$v(!1RGWCUfq{7kkq=ie)u16&} zrXsdNCE(4wv!uRpZE_!a7z_b#J&Uk!AW(LVBtoy(WsFlRW&T#i**9wudni?DByEO{?eUvReKQ`zRvwPmSqu<;9h0}siaXYz~}I&n6^H$=J&L5YY1)GdzQ ztL8Ag3xMfUsPe;#?>@ixmldD);w71s8&9hEk_V)hngfYqAJ)4J=ysVzM<;qUHN#Ql zBZ+0lV`^Jn<>r!^SSI6$w-N|$1rPb6Ds4Cg9JMb<_%yGz%abZp{nlYo!oo~n;U0pk^tHt}~1 zd4N0dhl_G-qHDeA81e#l?nO7G&7y4`xTOC~=0||Nh=rmTku+_c)w4g4MRp@LBf8Pi zUC__<-B*nTxBS@D z%cu~cmTv^RNXh9A4HIeY&y!Uy!+MT#h!3$66tf z6%pg%&zk8vutcPMU(gj;1ke_cAMTrnk#o?sR@@)rOgxI z&fwLB=H5519E=$vOJojCDs>IN_bR6;mKN~{86D?j_#{lDAwpHL)Dp+~7HO{Pw7KRX z+IUF@ug*>8NF?|>zaPN8#G6(q!j4X#>5|AG-4>BUuJ}+yOZi;>6SQ6Ktlpay9IiAJ z`CC`dZebi|{3~t&S6IwLJEA={?ksRq#iM01j!?>@+k7A+I%f#E;{uJ6SE#`udb3 zQ&lH+jaFUW*>!?m?G1$e#QQSIN53SZx}zY@VYAj~_U}I3qt^F7|Nd`ZFZT)%mev<3 z5E}O{-7UZfqk(b$`ZwegMgHRtMBnTf*fzjjqjN%J*uKHS#sg-ph$n;0ZOFI?PZ=iB zwy<5`4Z@3avYlaj4i3EDbO;K*>+m4)pW1fV_w##~*C>2f!I9W_@YP4`JOr|^^?*W{ z#KqnN5TAa>Z>%cYeTd)uM(9t)7B(Qz&_mwy@GXecblZcN5p+;1l(r!NnWYf9 zy!l&LX9+Y$!h@uWMx0Z<4(Lm@LPU$W1yCZvyw$t;S!-u30m{0U2#Gk=B$2VdRE<0o zawgSa`)k&~<}oOPL$r8}O%*~bC$?j_hdqe%gHtuCRbP~Rz{PhH|xMAtxlC_>Md zha#GU>4Oa%F8}&O50M??J(&yvHeQM(=`>lXf06*L(hz!o^cZJ6iVWF~`y{eM5)4zl z4!EUSnXqM}`bo_9M~@(D36MBL6mf}=YDnaD55bErSh&zf;;O}wCGdub>LiFb5Zb+D zm4$mnH8oV1=Tzp0)ox;K@2V{td#6!hv4j-_tHrUD+7=t5r@g(;AfrZ}+lWr|W-qkK zD`6p#d)6$|PDO>Laki0k#*nlWCw4x|}fo$Y^Zi`RI}r5s>m zuCAL%w8cx;qhX4ycfl0*ZPREpZBgR2SDs#BX(}P~l?Gv1EVExqcHi*y;-YN#ebZ@4 z@aOxH!5?nWX=(lk072-~T>wd|XiwPS+P9WWE)ZuhO0mn3Hm#f0vjdPt3XIK&ZUYEQ zkX&q|oQoYSQMr0>ZYtL?3uFeTl)9KlAWJgIR#x-aM?2DxtJ|(hn1=*mki zag2u~_NgjdhhlF0H4%LqG7~W*xU1!1qNrLj&%z(oL+8%n0mvRbKte3B)Jw%um!v6m zR&T8emKR#t#7QSp!wo6f?g2<{6x|b|o^#*0>71Hpv81QAea~IqfHfjJJ*>3=S6VDv zdDCp$%#0yxWSVa8Co+co9U1nyc5=b-zR$5xaAU|CH(ZyQgw1oVQ880a%s8?}=J=jg zkq-P75sLR-S(nB5B+CRR3M_FlHk(ccmCGj4?|ZRtyCxzhmlfujZnCm&3ItC)Sl>5a zHMZ}T7bn3TT~$KNRav3rshn_lDzDr<6&Zft#!)zBG@XrV^V1cj-GzMOYoa1ydOSyx zs4A!83##q9vtU!>pV&N2RSjJaCFJB9%A&1+WOFTM)Z^57X3VG)Vv`z{ia9oEd+aCb zH^um_Y^d($S*FHWzqTtIQ*}0#V?;vSa;#KZ&NyvOm%aKV`BU={CuEvqzuK_0?cUJ3 zBTE`38QP#E$)Afh$U?!5A#2<;jfRC^MlyMhTVq?cSj0sIjOfB~r1kd)(6FGI^=Kl+ zkED39uEDfKIhm=|9;WOJPDrcMA`=G}_DuPvQ#G3*Rqsf!XvNqLk`8b7kk31zN~ZU$ z8@xkzPOUIxjqqXNT@rRA)OBeJ-i(~bUJ9c#LT5|S%NP3#OVNVd@RF5PHV<{Y9$4=G^a!95p&AMjS$caQ z=an}+z>J%u`nO=uePBk^kXb6+D@oI*uzG7%u)NSp%jc%+DqH_fd$cd9jK?fF=(_re zBw3C1nRMCCX%>&Fu4!(46W-SY4Q00!XB#MQy1QjubLf0YM)gKXAVSk78$rhW^?Nvf zEe+=A+A+?<&#QWRh9SR*NZS;#Qi1$NNYY_`BmO3j#S(PU*Nh_~%}z?bB2&dOJh#Vk zU9Z!Ub>5J`y^_$}?L4-#Sc0s`261M-!}7X8hiAYvJ@V-YL=2+aLSl}K)*AE^DN0il zU!-&PB$uBUd1o?zmz`5B2>~y1wVVlTfG+msC+Fs)vHgU=%jLCfc8^O2AX{Ez4T)RB zbb{!=Nj2GqIDhjSp+6OSnY@e>x@afT5;S{RVq?+ro3IS?38{L3%}NPBRa0p(yH!g` z2=0c=#fA^-H))CIjp4)kjmUYR8?m()1vGr1XWLI7=@asLv!v>ogtF_Y3`x$xAzlaG zCg&i(qmX>zX;&gc)jV(iV%2H%`S-tQH=vZwTj%LUI&({Ib|>2%SdvLI#gCO zBom?RLoQsBL=!~C0F+`kX(AT^fSyrrn(_~^;!%|V5Optl=cAOkztz4#0+UZ5n%wHu zM(eaUCcs!{5i|yAXF;}UY%bMnz<$a7{Q^i4T0lsI%v;6#DT%2`A_RJZU1jN#{@XVm+N1lkZRB+)A)zN|8;sI+JjetItyZ=zZ!AKgcRM z#bBs}COHPFo`x`T)!N?y?G9lt4n*s%NOTZ9wOU)2y44#(?vEbhyhjJQ5rnUk z*oWj{q>2rop?Z<%AQTC??~ndKkq|KEqk~W;PL?uR=pkd542kfO{gf<(5WyP_UlIpO zL<|TG%`>7DfLBWqJ;nMqH%Cp}jq%ch981P{&-Jm_A9jR*&NR5ZyBT1f zOgX^jTwRv1VT_j^^hn0&6)za$rQxzLMkMF7l6yH4cyLm5sXczTLWma0Ik;wLNw&xs z(P;_!vmBDdA97)?JpTi7>?QXXK+T3YOWN3FqdaUbkc;Qhtx)tClBRjHdiDUaNP)2# z(QhP!X5>i<5S24Y-w1Ra^#ds-ck53;Y={?QTb(lH!P=w$JVpIFIVUe1bR|8{0p;F- zeG+sv+jc~fYOqL(@fQffa54S{WgmcvWc^sNq#q-l^i!wKrWrh=mq|anh68gkYb!~= zym+8jT@)Y${v)S8WOZW5>%<1sm213 z+k=C^OThqS>F5MHxG}uLxMj%v@{v1 zoa--T@@VzY*>|`-=a8O}!0D&8ScofuAWc`q>b+gT;!;biaGwm$&KD-#)Go)yIH)7& zH}??9@WCF$nzj)0DU)G1vPNb%Kg~8+NNtgfh7&V4hOCik-?If8@Ha%FYBOmH%n66{V^tKGms(S?-ofB=InDOTGX_m>X{|kw%jSC) zos#JOHq9I&_OQlJ>(~h~U*B{Cmdi`Kh;OIIhOV;nB>0rY-sojw!m9h|x@GOjRzc)fJl(=y+OWZUIuhB~* zf{{HD0E_;bfU#F-F^=k4F@vih>(@jsKlAOy@DliOCCbT6t@1Es=WjyxsT2}%4YHf~TIwYxB68soCofjX95LCirODOL+{N@_MWW zNh}%)U5M2n6Q(r!Jy5A^==t{k63-F}<#=LFr~-t?;u;YtHFGGQNTNF)o(PD}(5ZAJ zzZ8oksnR_iCX^0D11_%gK&W&UqtZ#5N@x94I(ZDGKb!V4Nk*Te3zrp$s|$$q_TcA6 z_APJn+=RBrdIZS4-~5W7Babecvomt+4ZtMU+66YQmK$F-?j;+8j)VfeRLnd` zn}*2xIr9LIx`FOPDgMHn9BJl(KYb5fXCB^GpLp=w<{eRj^NyhF{VVEbA4I@xifctf z7bYGMZw?~dswjUEmMO)8MBzuu95#AM#v@DgmSxyNf5ltgWcJ!7Zo2JJg|zgYx3%tg zcs#@BR|S{N$mM1WGLoc)c=p=?jLyu>e z2!xO~o87lJ!)-8{;buy}?bwSjTpe`j-yibI{4LB!%Q44)Aa*@}mKyHJlD*;0_B!kH zG{UDcdc1*9F*HVYv2wlqNJkOoM=C)rUb+%HMf;8;C-C|O);={YSs@0PaB)~_p; z()0jI7TEdNWQnRMFfX-aDNPR}B+uS7t2b5E>SI`WMY!v1C!BOUB~5V6Nw+o)@E|kf zfvDW@)6$D(C%u-B?5VMJ_gn1FjbFd?3r`p8C4K(u-;hrf`Hw#keU<&IXBnXgycQa# z8w;M2d3`M(3+rce)zF*`{^mDA ze=7F!?551_?uKgS08+jXH%~1;b%HP<7^Ag}FmviCepI*agw&(vLY3iIJ^P4Z*w^?T zBE2CC$5J}YSN&{e^Ho2Q{yG%{$F$+IkgY5ip~CG#!Unv}{S|I;5KnTsN%gdxYywrL zCzAWCMI3ljO_6JTq8b9E+T>-)sF%P>HJ1h|t;~;ILzik9@|02$A7GiUk{O1pXp1Jg z+H3^bYs2o;+k_E)dm{nE&LyzOY1RcIvc|=^&0OsnH|Qb1Fut8}`@PP8K0&cva%D)W z(}8Z+vRawYzR~<7#;w*aoguN60A)Kh6)pQDsv(WnSA5$|ccB&>R2xYx{YWa2x3XGN zN!MxI2P)BPJ-cS}2jc`rT-hg6Kg!FfCXx1#xAWubAj1T9&5pC*Ba<1=vL<4?=Eg;R zyXM9PM-Dj8AC_UWoGM%H)lxRIB;QGY+dMF8X>t=|>J+_ce2<+11Bb}h zErkFD;6>OeaQp?kJ}z{xmO}22UgDhF?}r?1$Qm3PN{%`maO1QxVZKT-^$Au=Q*yQl zINPbIXj$qMNgA&^#n9{N!zJRbfFpa}H$?R8VGtqU%Dn+O4|Qv9tCssKCgqy^uwIS1 zzpGbIk%m!Wi)iFQ0e@_5u{A@d_ts~AzRq1I>g+^s(q)@2qbU-5>uiaWvuXl(5OKiU zcODv+qg?I9xg=OtydYS+-7W-s+MZcdtdE^VZ?*T(Qg5{<)55XbfSjVBO%oV#SDzN~ zuJj>U?`^DDyCWHMv66KM{Xbd zJs%VG;tD=F60y=8Wcf4m)Jv^?U@HPxhT)(t$p+B^(03vItrlD zk~IO4%qSXMAkH8Qv8x1SH|?lhO+WZ^X+;~@U64^L5nUGAC}JnO$w?emjlA4z65l7F zXo8iBRytvqL{#n4`c25M(^q9{2{{CZtPP2**onPZhPvf_Vt;xJ?X=^P2!)(2A+P8T zT>;}|hwATo=>p)g$HQM{*N$kCUYj?~=LxLHuC!^^39@Z{x`+3;)OQ7$F^$%mTC1bi z?LFBNVYLQHvIBBcJ2d93z#73U0MEF+L*eIma z(%@upDr^QrggRoW6^`+&#h9w9#b$)v9uj*+X4mARM8*;Fhdb={{eg5FjNOUc(Ag$W zLk{VU5@Ls}S<0u}pP=o6kNUk~!Q)a>^=kg4kFe!tI6|9eF?KhS_U^{o*}KSL zM&wMX_cyypF84RN8LCcoI}-ePG4?kSP8yu$^LoQT;_<>@+Ac^T5|>5G zI2rczAmbG=F zA9+^JgG1V_UZyyo$D|d{L{9vj4*|c*KHZ)JFA);P6Zf`7V~zl?!cTLrPe4nxH(Q8C z?T$q4ox_|XmNA<=DV|f9XT~?uMs^hen2Tnaa6+U^PsQ5l&B)=xNQlkp+NNdXb?Gsj zFgPL$_T^XN1>r!J$kcgWjI7qPEgCy+IhzCMfh>_ZAMx-&d~gCH_knN#12WRw8kgct zTdx2l2_+CjZqArnp>!oQ39C_J0tcab`%?8;C3lr$rGrk-{s>RGRpRB*h^^)bNueaB@^|E*uYCN7iWAf z)WOM{t9U%dm?*WPKV(N14<`OdqEH=^EfslZa0XmhDynjD5@sfghv#jh)r>14>T07D z=UhSAwaLoeC4s+wH<_7^uY?>+;W_hd8T{({BmFG!mHg7urfAcx^E9u0e)_nNKg=PMBQ zlj9i|0g}@|KFGP%%?I|;8`)R)RgsD}M^gh~Kd$=rLh9?)<3r9(Ef#|#H=bcTA!knm zRE;o+4^Xu}hejeR4z5Q2fHui;UK1~4io0q8>7Is%k0$}2iMv2db1W4ej+E)) zY9u3=i}O6)aB-fLn_S!tc|6iw92-g(mk@JtEEO(}wCUnlKf9kqBqtZg#;&-ys`pnX z`)Yds?wUs1&hVqT5F$%tESWbvr6pLddCGQ7BlC~s1p6kLNvm~v0)4GF;>yOFcNI#z z7|t?NX%{E)W=`$0xsHc$J{dAoWigsFNn3xszaP8wNG{Idy!%C=3L5ok+ znHEqKNvSM=x<+ZF*B&A-9Yy5)j zq&jhDWP`ajZ^N_560>%18+Snq9t9Q8zOW05I@NiQU0m>YtS&T1VWOCmafGeJKJeBa zh#WOc<~J%g%*_1AAV>DLCND%Q@idP(@GF?+E=aQE_Ak8VEucV zuMr4woqw@7KIgc~UD4@r71ne<<0`KR+huRzp>d<%mIF4g$Q+M-)qE5iM?O*HKmI`U%>f4lUhnzpaj%8#fP*7jUAV8E zg@!k%mz&{@_g?FMQy>dxB#wK{ZKp}>jD#bv&qxFbKO^xV@t->*fhSh&q=4^J;lhEp$0VSbd!1}YUP!gu(G>z%MoH?t7jfX-F$vu89MupY)hf5-MZE-8 zs?k-Ym-+Ei5UCo?`#%m{0y6C%01n67h2ZyO_&5%`svkSjqX~c&U;^~~q~4J(MVc8H zh@7H}ZU+uoagXcFkyNin-!7)$2gDw>!LqTR=#JynD5`rL~e7BjBui zk&uX!r2y*t=XC50K;!9wR4yW~TLf5hN(W_S2T#g|+Q zl887!6<@dI?4r>w?^j96Kvw9773;&I@3=2=NGWVynsJ*deY(mvswk#+iz7thGmOML%l@RxU-q z)_S*R`zPl1P4WU0bGWCepUDjT#6s#QfV53+O@7QF3@(rw_oizhc9tORmL1i*@dt}8 zu4o3kj30GK#cpIAneq)_*FCD2`_HeDdQBi~1xvA|PS~Xpb+h9<1^xP{15Wg0?Hm#s z&DznY%VO}1-*ABSBNAB`&8$Bo)rKuC7S(gO2c$DRADIK85Lql#=%hLMi26f^&SN0n zkkGt0)=9rScY^Q^$Y3bbgB`kJQRMZ(4jt&-f#4v=y#5GeMaxCsCCr2!St8TT(FU15 zO)B(khYYmsTXPA^^_XRR0$TA!xaMfjldnKlns6L8Pp7@Zs#ceXDO|LD_e_x*P&{=eFGdO)WyCFhNvD6C3*m5m-vL=_N+aPhge$ue&Ee@HCBjm4^ zhl$F~=2u>Oe*73l@{Ht=&XWkXi_fn(ypjDEY17HEes5UtxYSfl4M;k!&E~f&I%c7e zm?I${JxsEw(aR=A8~gDiGm+{Sjj)&=4alU!akG9J zFL}&}&Nb<{aZ|{ZNy|m^7HF~R9SB44Lf(uZoNR2C(93XiljOOiuU2hTU$LI1DGr&8 zBcYw9E;j>h?k08=BXtw<$8JI-^yj6bn>cCq60e+{g#0ZvQ?nIvGaX^8>{aSy1VmO8 zV{h}O{@4$lT&;9RI~kD}Cf#7qk!XUKT4MOr;FN0fhmrD3ci5yQkS=Lx*#>Utxbory z2##3B>I1tCx^&_UjY#D?-7MB_4PQp+uz;F%Yu3;HNgiVYeM88F%p$Y4Mk$T&r}6kJ{(7oltEUp^o))taBC@hB_QK zQ19sty?^|@<$;61f=%Jt@p*j|$P$_MSRdgA@8$IoPD31%fd;Zf=K9{s#EbzR2>_tm zA3i=5c}_0JK7|Z!0!0{2I6G=V(^SK zu5`@S0ri@93jD6{7}5Q%@UZMaIDkemAJK!fkLXc<2*`Qli2iKa>(dRVZB(lN);pT> zH1p-@2A!#dSel2U9{B0(Sf)-jZ%!&25mQ1R!_m- z9O_aq5O1g#dU?J9+%ravBL;igm3Vo$LAkZ@8255cB7aHb;ee0w2>*kw#?M!}2bQmW zChSNMZWay>q>KGzcX4zs`OIA$!vf!Q7q|@d%tjGNxC<7eyC7-03)W9}K_0_hJeu}0 z-Furi-3WO9xW9{O&zZTn(D0sz=-0G&i?a>BWLaRQm_~yeN7#XDvFI>6d6ieV~sY6=$lPgXe2$76U>m1*khxjy-FPrEtJJ*#3aq0h=T>w4!az*$h$du zj6jiS>h*|m%c+Vv#vQe}1qMg7QX;fumU3(V1Z_I^htx8UD^o9I(;U;(s~1V>PmL$C zVsa9Fx+blTc^dQb#KF8VA9RB~N2m#wT4MOLxtZ?-B2|CymB`B^`)2Ng9FM9#XJx55 z4#}L&R2*R|<+#G+y6m_@wm>=hnD?K9L0TXYUsOk_aKWTadt?3VrQ~s`sl)bvX(;2= zF?PjJ#x>=){(J>p8VZpR*!34H9bCQ zyPj>(m=&9Sj%khmcV`>wO|oxxntqVaM=UdC9Blaoh945|CVSqidGZn&PDx%tb35PAsj{`oz_)x8r( zni#xZFC<2bTg7&NRu=a!0MXM_62fnaScbj_-zNl*B}6F*WZTX}nNP%~>WL_e?}P2| zfJRxbC6b_0sy%+=999iQ<#x@4{#5KGKazvebYnw<|B_w6W`RSQ*H4ISiCU>&@oFm| z>vlvAKI!YluyJu3(iJ%UtzfyO>A)>;_EfOTr;6*#4XyriM?&8Eam$IWc)_ipKUxm4 z1Mi5)UG25#X7rCIT06kZ>$-Q7ftBF*yKG?0wo)wykjQPon2tuZ4y;sTslHz32lu06 zX`HIj3)2DAb|`R<7WlR&oGd%I?*3fL4OC$ScLH1)z<#;?LWNf8FKyLe}uo$NhvPvEpy>sZ94_HnWySgV-I zGR{Ib#ybJD2KlT}WJqK!(bP-!ld^{72nS;yB9y$B@zyz23c#zSh@N77n?s&Eg(RXQ zViiPi#o1m`J(#mynUfE8F#D0Z$+=64T-Xf(7l9XvZgP{wq?KaydMRkT?tcP=cXkup z^42m@dqW^q>wsIU7m02{iIDf>(JLsC1PJTVO(+p3nuwSgj-Csop1J1&!6~osGeEHD3F{8TMI5E*NS!ph9&7jBNd_rnHX?i}pzXMF zsYBMvneZW}NuzQghp2w4{Zc!_Y~QWaul8RvQ{2c_Yc??4 zq*fL^08+r`2^W#D6(oh9XR=cun42dQMu9`^mR zspn-+ivq!}clgvmsAHB2lgCq=`_wJG`Xmm0)O$vx8ObzIiw%`#KlfkPF;#DX4-8S} zwD-D0K&csCJ3AaX48&XErILi4c9zioI?+ZhYRk53dGl+wTZYXG>7dH6RXJr^PAbPV zQBGqx)KyN&B~C&u`p9YO+e9cHmI@E$w8N8l{WLpgF)Y)YkzCXbVKJRb=@X%uaF^&< za#5vI$?l>EMKiouG_}(X&BVO+WQPw?zs#R6)5P{i-lM>>c+IyQx(}Yo7!qp6b$4a* zEr-4%oqdbfzT{i%%?i|hou?lHxCp$Ya!%_nJ&~X*qiDk=&zeD_$Dyh68zIxJ#3j}WaV6eud}@B!o`|%=cQ;APB>ZiESs0|91_P%+H@odRC3}oaM@s&>b{;M zA|6B7ax?&0`#O%&zbC}qGK+EGB55kZst=hegURbWn)UjA7(^74aS@?sLKN)C%T*x9 zY!*!~El5^?kk>dTq(0mt0$gQGV|c5NXh|R|DsHDpGN~9b!ZuAQ99bhQFZFpRN03&Z z42*97l1iPXTYWR@r@dL4{F*lVVarTvvp3m;Tx(>X<4^YB!nPPV@C5YC%`^Uhi1s#3 znt;@)S4n7GLp$+su_N>!EapANDTj^rXJCdLPDtI7Wg}%DJD|yT^=?busJnCV>->2s zx&|kl{KrE+OX2IJn^8FP(@EVcGP^uAk;xbmy1|xXe*nHd_cjc@ye~~ZoWYo)B*dfF zUW(z6pzZMxR?oRAIb3RJo7O|R{oso_Z&x?}8_4iwFdI5-iA#+Ry|FJjd))9?Yj*Yt zac9qBboM0erfap+2A#j;>>tf~nIOM$X`wp+I9cGf^Lh&aPa5QyzaCi-!qJad6-DZ$ zmaP4(l4g{vG9(dhw*KbC%T5yHiI+ob^18C~I;V&N9_QSrwSpZj2({e+cKAO@EVz`R zWliE$ge^xi?r-06=R#*z)=Bgi1f{OL z%nOA5g~iOSNtyk{D-ZoUe+lX0eOU-VJ<>4y0U|y;RqaTy=cT+2Bplu4DV>)&`iIU~ zLoubu5!R&%4xUz!sb(Uxaq?-Z!K(?=yc?Cz^KRj%j2uZKhn&h~#N@+LoXQ1lpHxKs z-mu_tsi|X{f1;^lo}v~6$R5b;8IE&qY2#Gabc#n^&pej{g5>{bS4M0Ei()>61!=ck zubyV;9L5j^=$fWaY-yAl+>hF?mXXYk(OKC-EakFY51$m`@d-D>*ONjN!U5!LhDJEG z%B!Msywp<1IU>GfRUHu+a>D(4iiJ3^R?%s5%@ECHbIlde)a|jmLc)o?^D(VusU?nU zor2LgqHrvBvbs-WtG-JykF7YDp<(Dis$}5jUREu-6aK`x3xARj^Cv77{)DvYPgpsIF<^7 zBW)U-S5JE*hbs;3J4X=nC%D>mUtE9V!GwKse@-{7f;CZI{SnN0d4K_j+|s14Kf?|< zdEhAo3xrQg-eSi?(&~0Dc04s#JSZsSs#6Kw_D7{w!k{B8{Uq*Ux53DZ?gE7 zC@XFozFQ$z4q;B)G*9Lqjn0dlT9dpVd~ZWO+YRGBBoS|~HB=d~)lL9wD5{J6grkRod z2!c#j<6{><0v2FYT4J+5JHhmiZtZM};PPL2{d`A21F{hD70KTfaowF#i%8rIG5V{^ zw{%+wyq^62Kqr_F{qrg#ood@X4?H2*3kXH(x%W`yCfgg6A~)HFq#`%T8>1q{FP~YH z*wY#1>*J~ffXM_ksb}GmBC+YSjZh>G0Ukp);V%0DfTT!6s7N7kgd$PL(X}C}NnxX3 zp-Av4Eipyf2$L0ww(2e(6e*%;N7Caao32@pyDaiTk5E-p5)O=Otm<)ye6k*gnV4Rl zj&DRx@qLPsYGR4$QCtJIirOw4YO>4KPBXFFB_m@1k5Hp#ekC<3kkzP2 zsL@DJjaEm}qH#ejDx0B3HiT+CtTR!KCJU<3#T9Bafw~$^-c+M%s_C3kn69?EnU1%p)M8wNkM zJSq^}FRg6Aqu%o;Q6c!0hE$FI_u(G3%Y(VvQu)H!LJ>qe^riU?LkEPV4)vbK$r8V7 z)C}Jee1xcB?&BDYf#7T(BLjJ6W5@A>y(QcR46p)BwT~L^svyN@}crq1s3Vwp+L>= zP6{j%aS06`BDbW$L#`pt8ayQQ5gMzKb)CPD*E1W}7ks=P*CTQY%hcfgjoT?igK*|& zoivD7M|uqn-rq+bp+U^I%AQn+85zbY_CY*TGVyWQ zPRO5_3WY=o6-p&->V&Pc(_KDSmzJ0go8HkMI&7L{={i(dz>T^Nn;x)8I&Au&G<61H z*XWfW05})=7moDGO2jEYQzHDiEtVwb0ZkhlheIrYup2gZ9RlD7u+ks9`3H$h;?o8Z zT=*w6cN-0d?OzSe`oXuPrr_|OG=Av4-~dDi*p|oA65lc!j$H%f2K=K32lqxqQWjtv zBvIsj3)Ug&0C3d7#i4OkV-w#HcxuNXlJJ=k;CM z9|ES3b!WOie5v{fRbp>G7^)KSUdJ)%u;WZcsIYf)5UK#dKt8`hJos@PS%qDDnrrX_ zKzyUCuy>zjx(@N+)Gb!(5Z^<;&7&)jbjY6+j(0AnQ<((4muX9eO3v5y^+^P#L8Tqb06G zZEAExmj#vR;-VH^psqEO_a_5T$;;)1p6#x+`>aM*yZZG@zi{)yrm36u>)()16#0)o z5M8mT;N-X3{*KJlgf}D*MX&-tnmHp}tQ_nAZ;;sSUBx#fu1k~X8BoI}a1OD(BJ(Wt zBX_RVbu!zNj2-m%IMJ_!{O1UhV-o(mhW}33kh=4V2so5Tz!FzyV0#>0neQL<%JAgH*t=WS+IswoaXdAPvnc#;;(Yp z&<4Z~m3S&Bf@i~XOy>LhPijmy+rBp6EvMYO321~+TF{WZyx`SHMrR&Cv za)&-N4M-onqq1yuYcRJ8vZn@d zQpFD3Q>fh@InyF;0wEG--s>%Lp;qFIfb(u8LLg2w31qx}OH1D(57k_|xcpGfrRlw) znoA$!PO8CEvt5}-lt_MpcN~Lx0*Bq7#Ud`V56QY%S+Pr=thsK;yaQ+s=8>t)Ca35U z)lD{SqT*|lxoEKVAwurG4BO{aT?DMxh4d8cJ7wrS=Sdm*I$BbO-oKud(InWBl_8hG zM>n~uoUWtY@S6g#0+djd6MCN{M6Z>C?~iUn&RIm>ME@kJT|!c+S_j-(wMdw=(fkDG z{m~`J7Xf8Gx3!;2!DxmsUUv~(8L(Z+NnYpoODrExWf)(^r4q$(=p8nnc$H5zO8a& zFPLRAjbE9gjZeEpnyG>~rI)=77n6v+8z-4qX_Uo#OGOsvG}{VwIu?Fh>#dZ~RfpBq8eTdJRk4GmG~c7b1Z3|$FFE4*^{I5L<+ygKG`iUCZDEIFPHQ>C8T?*n<t90D#@RTuM*;C!TLar_Z6o zK-7i&81d+A9AHG)z?+Ep_i@j6nS~RdYhJK`g)%t6px)@huX3G@Jmjt zk4c1|fL0pOy7>_HCZx$6lY7EmqD?hS6G$;Nr=~8Qr`REQi(wF{9(!OhO$p1SxfG51 zsU7l|kz=2nI@0sbBaxPe?tDGw^*1i+oJTLlv5BOk8$4w6GGw?(+GtWM5egPt7gwK< zVk2YJj{W<2_{0W&$zcN^+I`%_6W}hKr8vfsGS$KADOa)>e(KS(*T?k7F?nqwbYzn-5hNUbYYzU-8^`_t zTytK=8avd-c6Uu8qrOZy_RZ(@=B+$lOVO{@QiX z=59W|-OIBKy}Xm9t+Hww9oY*f|7g|gQ~N%Jv`%Pe;B&*aK+~ObJ9u2vxHuXbxhNQ zpRYhTc3neWC`x1JHNI^&NO7FgQ1wd=_wTJd5FK?w92e)tHgw{WfNjs!2eQyEJa3qz z{n*T|=K8h!;Twq@Vcs<#s&0?Q{ z^v5dZ<*f}qg)*fRSyHISz=BMK()>8OM+r0HN=RiCHsN=CbiI|pM>D$KR zDC6UC(DmUZDyMTQ&oJh1?Rt4$zFI7X-LW(q>R0+B?)Ds$Oi7qsf=I~JORcD{T#Qc| z$H&GkU_E`l(FI!nKuq)6r!gTx*7sP9{qu+roQwT>%nojTPOd<`p^ijDuSY-^q}{;W zoQ{a4*_c3%*#8n@eUznQOdxF<-7K=q;);@wVvAH?Z{lr7oi8k`H*rhC9L~ap2lGGz z!po5=T#7JlSP%%Zr#$|WbVX#v1QD#grqPE8tE#)DLj+RTN>_O;k7Ze@IckHO0d$e( znoNM1HjWr1-$9D>9jul{Ox7p`WB;=zZ@bAL!kf4$;0WO5dHGEYHw*LfCD?0)oYP*t zUVY#>11|oH1C=2y!fmb)9CSN!WR-{XNAQG~gqjN8-YSXx?H!9RAHs`k!EE2wKGoGHP7u)b%@kH%1y-^f) z(?Dvt-D&Xb8B1sGk4=+RZ|a&HNK%CKH(Z6894(005>y!5OThSjc+JWZlJC4xl2ast4w>>P17BpP}U9L9HT3%*}GPz zEOd}_&)U*s0Mu61Cn8;2hn@bUwhlSqMRn;iF4T3{Au_7V9&0mo9daIy>eA1wuK0nd z>yUG0RM#Q*R3vpBk}^bfX+SvC1@5!9s!W-vtt#@P)}^*My>*89nvbRhRgAl`Tq~pu>}Wm~7s|cGqX7j!iF_u+M)4fc!q`2g80K z#k<%>BClsBtbTlsjof|kjd3`b*Xt$Nwjs8`mJi7qNut5&$(tD$-S}2Ps$Bi+^$Gc@ zW3}9|x>%2^s&#rnsH*8!O;Q!kI@Xh_YWf_2s|x2FuRV0t^hmwy3UA*YN9YQN9(zx^ zvMos06+ZE1tm(==ldjSahW$W_cXzu^UUg;lyRM8o>MC)s%3|wOS!}y1D+x!HMd68h zC|Uhd!Mn2dc8`tMe@j{N$nEuYPg@W?x|M|^w>Yw;M`?cvAgS!99Gt#^VfVN?@Huea z5$A4M23uA*`lM79kW;g`AwGS#&7PqAcoE#Ou}ii0z@r{x&jlQK)3$qwE0@k}`q0na zxT0%5dFZDIWA|zm&CQ4=1$Zg&hSmH%4?%JuCKC8jk^7MGA`TY2Ky+@uZ+eFd}g-()gh&;SOVtdG*iHeWG$ifM;rsD{f_(!#NI8s5gZ)5yMG{mS(Q4~T$LeFRnfcN)~dn`1fhBH zz1_CK#a-D4rt{(}n;s(Z?{(r;*fZk#LE?7#NhbcUmW*bSHzhT6ykrh-8t{sUnZfD=zz$QRXMOzV!O?p#^ z(fk0>OHx>^0p@X$qF(=jGuG_7k!VXM@q39^Tr_*w1ya#&(NjY} zvdil=1cp7Z^sTwe0I)W%hxwoc_`Jc291_@2qH>8$^}~EXWO`Laj=w@0RknkoZF5_onGkY%fm;xD!-quNn}*RTL}BfrFX?*gL?E+Qc6d&A02ur zqTlpsz^QY#D00d@oknF0LG*pKs5_deQL==v4SbcY$i)VGB3&dXb*0(~3`u-cL<_}L z=p>!5E7j_|L<2I5fmkc}{9QDaw^_R|y!MxuilVP1Wnm3{PRhb)u$Qc?t`{?8Wg!Q# zcD=+mvX;O}^hbQH9iH)V6B$qB_ zg9?Pg@Iuj-aHxImOkR6v;(YclHX`+zT|~cUvkpXiu2iXoWlUT z5z&{}RQ4rGW2H0U>7p_D*rAz%A&pmLc3FO9GLBH|cIyi?s>^vGm_US%KT*3}g8s7d zDuK#mazh_d&XqUWWnXO7WjESt-?|AS_wyX7x4j{AcD*bngoCHM&s7f{QLRyYk&ueiA$lQsv!RP+JhWTx1Pu<^;wly$#1 zQ`xR*xr<2LxMZD6;5cji*z9)07&8vZ#ZzdPyICZDT+ifN191gMDcU<|Bfb?HX7w%% ziG*MK1JPE{EM(iMX4{dSr!rUXh>sCbO4lDoNNGnqynZ(ovX}vFg%?T^a?&9Wul|+7 zy6hQ+G>3Y;p6_Uq{99PR4EW=iNe9<0|F85eJ5%S1l+_@s@=sOP?y%X$FzVocwzeA|{ zyi7(ahf=TkhUW1W2!fF@-X2Hu{$`!af$;e(#8HuiLla&*MM@5%=S+=yDF^I@X8Ur$ zy&m35Kk`Z$NNJNz+=xWZ!ve6AGR6aWxLlm4F4^UN0Ob%4zgIMiW6^0DiYuXuj{6<0+9ELgR`U=LeF72s4Hjc>A?dKu_KXUV#SF+*&S9cWFP_V83wQLl zGF9(Lu;|6;7f3ps$1I_jQax??LFFLR;jC%C_=-&DBL+^!k+qBZc=9VLDSTDBd&B(dWO+^&1OijHJUDmOw zMA%f8il&k_o67pxRPwmg)Ml#^_BkT%Pj5iyt90hLMtO?j2_5y+>=?a2r_}Ny!Zb&d zcNQ|~&tccUr|KP8v3Xo8+o!cBZdRT~zCe};YZ8pN0XvGvW77kBj2imO$aPNg8qH#? z6zXW-wmYEh_HRxPStOPcPL~sGR!ysrdzNdqoEwpS>bu(E5sbAo@8Cc}|wb;Q+=XAy?$gQ#>BVg_4}=k~%< z2PB=_btsn=j6xmaTVIuN9m?eeTc-~3Eg9#oLj_9Gp(CzCB~hqDt)!U_g#}y_>N*rA z(F)U{v_jLyO^4D>Si9*^#BfWFIuub!qQC19!o*_1btb~DZTd!zz@iR|q+DekB4k~Y z4mB(pI=t=iEVB+_vLz`IPnxx)#7)w_lM*+{^ZvLN<(7&$(`V7bZXVkNFl5u<6Cyg~NzammIK(f6 zjN=UP=L8%cFQu)K2J;ahxy=}xW_(IXJlof`hfl?On) zA$7O;sSN5)-+~DJZTgH%XmGPGp(fLq4I-{<}+!R%#H}>Ot z3~8t$Ltd`Okf`d-1^&sv>vv>kC)yrPRNR9r^00Bx6?sUIJuC8%BP*fEDlvmlWR*og zC=!UA;BiF)xKbySD|+;}j45)rgj-jne2>theTNzia4kl8)ne2@Ed~>+MdvcK80~N^ zYD<$AT@$(vymKHwlrD`&*7G;*lf-BawrkXBGXKr1P}iWalgaU=+o-geK*te<`uaK|=td z20O@!Z#{;EgPvO@@Q?gNX7Pp~d?pVZlEkU25Y(ZM{{q^zgdD}fyX{>W$FW6x%SMkh zM5-8?LUC$U_}=A_DTo5nYePqHNK0olP2XmaG5wwn;2Uqli@f2z>{~DCdVPA)wPu&5 zB|S=>P76KW%T4hD)MMq+f_kjFw4fdjU0P6&hc7Lt$3r_4)p+RIf@&LH5IW3fq4=<$#~8hSi*c|koMzPz9!4_RJ(T$3t`Jt?yK^1>B~ zw5KZ+CjzfxkpaA4UrZhHnmXjJHimPRht9DuCq$$aZM$}V?GR8CKOiUkx-Nfqc_Km$ z0XI5`pHM6Ahn#8_R|0?4b$Kp#h)K_pbEE2w)JOJ8_asXLJR~>Nw`=#U!pz5keM~G3s6FwLjz8f zwtjzHA%D>w`=dL`MIbq8uu!iZD%=_L_WV4dzCe0 zR(n;Rr_^`<9C^8m?n`tE*^y&gAmiPU+#tSOf9K}!PQ7_b#?YtVem%Ca`#O^3cR^-DrIvd=dmGUaC}2^sB~utb?8Umn3+CUE9 zkYTYyqDx9za`c9dhSn+>%0`tH^RFZwU7n?eR?lz<;Cz42)hR<8t`oB}ak!!CB(QX{ zt{hByCS9hV6NwXLUTWz~9KxWvCI^D7-Ov(M6IrTAmU&)A?{~tkVXjFZCND=EiR-f8 z41`DGbe;jokHo=xMZ$iX%z)D!C(EQWasB@-?OL*I$5m|qj3P!5%eH>@Flr318!`WG zdGpyI-^_Z}t=7%6GlT>PSweus+5mY{ocA7l4p|p6VoXFHkL92RY0Fc~y&Ir;oROjz zb0VY@Jb2%c|K{Th#Cb?5an5O^#F;R>_g6_*yF_*-W!=b_r-jviNy@T3v8*gh6a&*dnNMyu$BbVSONaw^g?LP9be29^D676=JGvdt+QHXetx`^0 z71w1Js&yZ#=uhJ!7bz!BbI`IXG*K;g?+C4ltx{5))6S`V599G6=G08(A|=H+uaOkz z@>nq^%S%g(Y}Zl+A&ysi*P3pbj3c4r8-umcoO)55$v6^eaax-_$@n~s@st+l zy|1LjX(6B_V>=k;&QEc>CCj#Cv259vEf$NBC5xrmPK3)Y8^y9q(m8ugyN?VvA7UiN zIU6Z4u4_%c)J)4DWzt>hU?y9~d#zg@<0qhC#G8>T#ch{U)e z{=c=UYT0RNj^Tp6l*Orf^~cW5fk=!)U{acsc63;jm-kp-tWbTguC#H_e337}3>2SpM~ zo?Qg^XTE1IG4%_df>?zG= zi^b8)62;=^RfxjW0tpLcGp=DI&AbfuABkZ;#+ZZ;)|Sc*g}cEE3@!N^yu?rzs}qjw zHb+9N-fCr{9@lgb(9}Lfa%x|*^Oi-9qYnI)yZQvQl=oGoibPt%O0)Gmdhd_#f+QQzWErwzx#^HzZ-AF+?~~k9>fEe{`?;q!vgRCY#YP zNt*g#|2aEP$C%O!XVzYO!ml)~)Dx}U@$9WHC`LpIm<>N~MlzsUWhH|E#z8On3DBQFv} zxR9_J4Tq#trlI#AiG@DKjQA1HQw@iG2ve%zaCfO^8X6FpblxZu4No2dQ<7em&{8s4 z(oQejxG&_Sxw9n5%gi+zs0nWq2OUxl3-sPY?dSJwwa({KGTAom8T77w{VP7o zbdJ~DKt*z{n{Lh`z08rNt}a{LmCawtNvo5u3kh)&&paV0iIHt&4Eou<%=d6lyzLjP z#BozB45R)|Iq zABxirLomSQ8EqESR`EDqJ*V+DTP}Ul*Dah$Dq$eL@ag{V6Oh|nG;_NQ(k^o#vjglV zRO|%kP$0pok2)i&JWi(Q&5bHXWOf&T8D_L$4XU8*6YeRqJi;X%52>~ghpD=`yKUhx z1v0heeQZT!*Yx?Q`=%*ED>J!@dpBQY1`>p;eQl{MA}h0S*Nt)R%8}^m>B$13e1^_< z%WvfZ0t6Nx3kVRsh^In{a0!WKzJvs6UqYgPPUn60(FviMwU_d^?9}R>*m@kiSAOk@ zttcpdW`|Ebs^qmSognJrKB^#79>?{1w8z;A$koQAog=(Obq!09Q)jnZm}nqtS|9;%jYI$(i}6Q-RIp}^Q`#d6A?KC^d6#XVT;2yc3h zRf8@Dwf)R?nkoRY1%Ce|Rou=l*C@MlN;y zuo(`K;x8s;IcbW+IJ$R)tiIvPs;VTu{P?#d9&eP$7~v%{Ri9ZwwP*`fKQ#f#ksl(s zcQD4SRUm&5l8k^qh`(~P8f|-MC0@z#e_wiQjf};2Co+3UQSWcb*duI-%yz7VhnplU zwmirPuyr_TLv zVt`uteBx^+^iR!RjptNQue#DYJh>}Jg77KZv)s8OD_!k}q;PnnUkMJ8q9@VSZSeSzOU}7P zt7yRv&tQmf49}I&zkyHGQy4PR-6`aaI`7|htGQ8o3Qf`8$*4uWWW>4X^hs&ae9n;R+k>Bv%1tX zFsVyxKU>C${*9T0^I$-eRQ8{*XwoCJ$O(s(z4OqY4=}XlOgJJDHSi+mW{9nsC|9eB z5&ElE8^VY92`Jd`W@OX|yDXyb60t?Im|sWTWW&+m9j!qUy1A@^Na#jg1(R-0afoJH zI;{>w%tA*(8r~`ylUBLTQoUHg#FcS9&5L}5G2M_nXdBu%^bzIMKM`6JTZK81b}(}N zVLU#@K%9?cPR?!ElS^d9p6pf5w9+0&R1eu-tG-BdZzkgiRc|3sT7uX^pitC4^!$n5 z>-#`cd$cUgJH!f|)0$vGNNZf($Kes?^~=DRFu0d7FS1<>*}xHWmes+a>hVOy0P<*| zMM=OnqFjf7+4q8gs}^TV0=Cq{)H&*6wJRI86n&Y$ktqCfUTG~totJHz{6x6yvQaF% zBu#T<_q22lk#>K*Y_=<3rqx>cSDJ056Yr8gcqZ`>u!;GJ zMqDdVYD7)T)(X;(TuU-dbi$OLb%(np|w<`3w)wF)`7tq!y-kpt@&o{J>Y4>5pzBB2zZ$@67a_H21kNNx%^-KNe z(`{)!cdNm%G@o&bEYo)ZN5*S9MOYL}QgipJXrX2+3>~anUFakoB2+UQg_=p4x?uOz z7l%kSlZ{ZbMH}U2MV!`|bm3I+(3ry%7HTFGj1=my_lYvq%-&0mK+2+i?IX}4m!Y3w z_8s~eR@=0uFdY4x*bDVxHBy6%(~gUQXuM2XOxS(w&a6^)+QJd(DZogZ^+ym=-Y>HM z(4vnqBg#l9(V2D9FJpSj&~?E==J9^;S&6(PQ>Qbfz96g!m4R&kq7%qVb&qeW@8)a2 zBP;9ixW4D@g##42#l|F|YbZ>X`MKGWMG2q#W77vjw@8%vxm%IWI5nKd_dcoIftAS( z$M-qO6EEP+mQ7@d@UYoNX(3MITJ?LN)Y+KF=g10~Yo8XU->*QH$l#%>nT#WxeW;-~ z#)LGUC>XxcY}d!t3aM)~pMMEd4~1l-SW-xu>khj|hO~SsI7CNY24~<}D|0%`t-yIf zWI==#^^`{}XSjqvzH4UT6_sL3kPk2D`tSnr;YF*Z0oU*RajueUmc1e`Ll3l3kWsQr zL{3`+C^~oXFUbyBWo@5i=Y&Icj!<@NwIVxYZB5o&_kt+brMX!5Lt>vZ6-Q!t)%Nlk z@tr$k9-3{>-0EV0W_1C$)kPy(U66LMNB7QG3~`CmuJtGRkwkohZrBz+lDN^A>#Pvi zu}hxA+GvhV3bJJ~j!??SA*m`eVooszGoUJJK9R%#UF-xXb~XyJJ7r3pom1)@;1Zuz zug=1*j!r+`d%MEB_0!fQ2-B{Jgt)xbid`WjVvlc(Mqj9poLv>I*0e^rSlL0_I{ive zgx*%Pm1u_Dlt)pNVjgHRUR1HqC2%pC!A^T9;cn3khTQuPk7lsydI>?11_8#QJ8DhJ zz}`ZG2dtW!gqsdWIy}}ENt%&Eym*$SAsw5wOk&8V66iAgOG$E{6T!dy@?s_ zWdOV6qB*kUCB=ejAqY<9*cU<&oQ!?I6B$F+e72~qt+4|}#*sBL7DJH881izjZVDw+ z*lf+NE#6G{zS+ydfxb-y&ju`XgF+v-l)cTbRQ^D6Zth2q^%V|wd)i(9O^gtZz!?!HywS%iyaeeYxd*9t0);hC zyv;#BoD+5)qVL1}c`?3>C=cE$NykZ37-hkFErca2tBUI`lm!Ity-*eqB$g*-A&3Q+ z^MI_(N0YnxU?Py>eq=VP^dpmWNZGr0Rm2cOTh4|fAA55>x6;6%Ou5MMG%N6sVn#8< zPe8$kHzTV~*~P)mcRFt#f_|x;YciZ)#sOvR4BZ1H?Pxa6q#aGi2~)y5@i>m=dFV(; z!&}jqyl74qtM?zuIR7v&=ObB@mSxV`(0A%{|Bi$`d8=ekPCFPmALbKd%!u+qg9>h) zc1UE!qU`PZSY^E;PsrnC>{h806$7YvdrTfWwJUL}4-{JdMBm5zEAhR_-ZsrTd-6SZ zuAxASJY5nw<<|AfD2cGQm!(>;3rMm#v{ujBXzW?`OvVwid1#_blJU`u@sKflUy$*A z^d5csNR~~up{aAL0h26SgEq2Z@?MhaM@*}SazKn?(B1gq~#RH-cN8yzCMpA8h8Vk}{WF_8#l*L(nhJHpMJ}6V;+k)+Q>l zo6-QaBFsEMAoKXFsCED!54T9IEZ!oqZt)f&9Ri2P9Iz2r$};5Dprlf^TCpVf=&tEA zr9QgElopcC9B5oep37FDIi13P_aGEo*QX=dM3Pc z8hA*_ZpsQ36ACtV>d!cJ%2Y9X4;9a1UWzEmx*4`X4drx+naax1H`OQQG`agqn?&<7 zrWJ!oNW)vjCh?}dpVQu({f9C}K8q@#Y{VYXUV_icIBn-2-8)i}BSu@r9+9+z59|I& zu}nI`j3^heMI^7>B2peJTf}xrpc$CAum*bw-jSD{mogn%f4>5u;!&)*Pj+g7I(gPv zfKS@xAj9t3^Hi|6;gbl76jlacvnr3F{(J@L%DPG3KPLyr7>4;^?WH`19TeRmzZ>OpKMtouQg=1V?eIqz#J6E@FXEDpASkHYrGW;ZD ziEy13)?a&2eUV6;ycb#m=2%|#&tZqtAmhjinah21By&BzbyD`^oF*l%ul9Knxgp=$ zdUVPmi&=aE3E)+*(-3VGYb;4~jb-36-rDMvPh}`tkR9jK~s%bS*6)(J06`;UzN77J`G(J;QeJ z3-UvwtL2#-gncxDxU{0xiv0HDVZykqa>wEO7=rDx%|ndX5snW&o&5pmUS^LFmBV&C zJ3~}_fV+pNIN+uijgAOW*||;f5Ebj)xrza<@VS@Aeg`ot%ljRKwdjT;q<-4YVQDnu zv26+@gnZd1B_qB^qS!BPl3eT;U2-P&i@PQlyEjT=chVtt@1An!5LY-o_Q!?@Av4Px zA~YJ;Brp=DVG*Ig*lNYF;9Z;6rK8IkEB7?a4YWk}vJZD*h>DiWjcwJ-5E@k;B>|^c znI;NKhWxn`TZ&L=O&{F2H-lJTf4>~Kcgi}`8qOWJJtJjWW?I8ru(xLU7avEA$g2>H zhPaJe+(zZ@*nvxKFMb5L_hUofdBbmsA0C@Oz6Std|LnGPjRc+mEHiA=x;{Thq8}ir z5t+I*z}b7l%sAvN>5wAQ;>R=+1=gtECz)I1LDhiJ4W5#_j{*r8k~5FW2D)?rL7^Zp zE|F{P-VEc5*PGcTfOb!F1k$(s`}Ak(*hGW4v>Ol|TIeSF4ME$;D1aw7?<9bbjbq2q zaHP8>fSQZ<|1;nv5xryxF&foSj(0;bT9YMhof<ZZRp|5wSKw#HcP2XyV&)|FQ4>3a9_=pU9_aIMYP!#mYsB8!AEGE0Ml+xxK91WNQ7&I;?UCfPtIQ)2oZLp)au9NZHl;H3~5SDAIXG8vrODMXDblSk2k5|JH%M z6BL{i_a7ToT`^^|5dGR;#K>^NKPGM_BLC3l)kunz8$-bG?rXPff}NdqPa}*h|8D;G zG7PQNfdFHvaz&t7jFPozRviUDk5hnN$;l<&5(`hFIJ!kAk+p8$iv;wykD=C6Pt7~7 z&2;m3YEFkEFUb_yXzbW8X^k-_Y{*X__h!wij(IC0uew4piK!}+wDA&?tnDmoCxWV1@HPet!igTCnLfF zTP5i@>5$I#-e&8imX)>DB>FFFOKmA@OO0vLR+rrnAhjYO2>;7$IC5FpVzzP-en>h= z*}6~qvmqvJ85=}yLRBIibF+#7?@U=&o}@tCYQ+FQ0R9jj}DBl7p6oYTYMYT;g#K zglvQ{0jgV8GZ1V@**yWyXEq9R3JC=p)_qc#ODH44Mz$xH5bcQ)TCk^vaJnNe=ne0w zZ6%506`AtgCL;2iO2=>be4tM)6^m|=&JY^@u;=4^9XZoBO?QeJ*EaQ*lfl0O4alui)PpNjTT2UdV0R2B_ztd4NFX@ijz`mHeIf+$)u-kj>x)o4&t5;2}%$A!0N06RmvJL-+8nC8c_+ zC2J~G+*oNs7gVR1*g{QAd@xm^Q-1 zxiOKZGm17HV`^2T?0KeK#B&tWxfJnUn8Gs=veXTCZu-+Bdc1m`$iIG;M`|$gUwKllt`2E*egJ-Fs-t$G5oel1KU;n=ieF`|UIP7%I`QXTs z=uq_fIKRTzb?+j+kIcaCo3?rNqFaD&t*-PM2G4%)A98xQa502Dqe^9Tr(L%(&(z~YBS<{ zShu&U*-nM_W&;d^bAT4dHeqq3Op{~pG&zoNiP2%(f?(8;#MWt^x{S=*q?^#iO_3co zugkVTe%J)!oIq3x=`;Tvwk1_3v9<05RN5`A4D;FzUtxWj)TRp7|=&DU-55irF=*G6BZ>On?`w~J+^4d3Z(fATW z#PXG*kK;&}~JClw?wA?p* z4abl*!b2iNlDO^zzHEZl$efQ)5s@V_hn=T`j3aAgio_~qGKRzqJepkqWJKMZTtfg| zBm1HI(ak&Rt7Ra*Tt+J{mqFWYHv0F)F~+#CT=s%jeP>qnrQI|!99fbfP9n*BdlSZ6 zLTz!6(o3<;JICjQV|?t2s_<58BSxV9$Ws~VVv|4Z6`AqyC6e$O8GS$^$q%oa*VT2q z?&b^$`5ECPKkth2^HxjptL~Ej`1C5|CTqRy&bl{Ss4rhJ*SNmk&rTi zIH!wmbnd$(mpfwjO5P!Igduk#lsj96+)0~qXaAHt$GF65d+v!^|BF45_&uhX>V(6# z*i}eu{KcLKWwfR>rEhjM$e!@lSY7l8bX2Ob8)}Ucy=AWsDekOZlF=+GNA~R3cD0)5;^kkoS%Ny#yeW>p$-z3{`9UwRuEZLXcbK zdc<#hJYA3bdCB^mGzq9=P0EP((Bz4f^GTC8Qty$3CeKrRLe%8_8--euCXsA(9id75 zzP}uyNduB54WTAU!ZazJlu45q#~7hW8R!w31S{>#ngp}=X`7l9Y1B$hs=)T7NfGJ& zuWL?3zSmGC08F_xRi6IV9T8N1K3b>}@0+*K}zOiGbhUvLc7x zq=X`onulrXiX2kfEa~wE5CB9y4y~*hdNd&E(GcoUNbI3U^ilex$D!Ag)Z@@&67@JV z3n%FjtWJ7_ENTfo4t-lE=@Ih0mm8`L@)lMSaETGG=n=20omq`t->jt?kqdexi|iU4 zob?EQbxC^cy3wH`@l;)%6baY)$QFrg+ny{Ek>W>IByw*@GRXTk_N<{u1Ck;Qp(07b z6p23eS&?1ehNU9A1{_e4;G|3j30}9XNC@LditJj7Cn*vV-IGCf4f6YB01T`tvYj4x zoDdm_Yx3TT^z@CyZ^%At66FKy6`Dlacu6LC|Hj`XP2!OZ)q`oWX^cNL*)%{SsS;UH zR}EF-_mMP--+RxRG=!QI3ZY2pV*W;A6ypj-$}mHZ;G?yy$EHsuh8{%}d+70&7T&T+ zdW1ahuLjiydG9^x@dk?6u>N~4*UD3o%8xuC(*3w{Qo%Jtw{L)I9#kq_}@3SOA`LqebthL|NWyrN%+6se7b#5 z!WJFj$P$?(VGpt}WQ|Nn*vXvR`*PDH(=X4~ax(o|NY`>QAz~+E$ji$ETc*fVuUdlp z!PH+RO5u)8?{SB0ne-k`kh)8`I=zPz4>Y{Ek|~MLquj~VU5-og>9a594cSLyEBYy( z5V@pfGkjI$CYPi?fQ~YCuYis4<((f2{5R75m9MqVux?qO#~apt;#4F-MB%b?~Lxu(4AqT2k^S z1au?1rUj=PZ)5|}EZUc8N%ENX{UOJii@YQ~7dY~gjArK^N0q>3O>a`diu@#GlN^el zuW04StFA8D_UEn~A>m5`WK!P_taNmB+3R{E&CL;SV(O@he-23BJUs<{0>LG$rNY49 zbR4_*3G|PUKL8o)el?I{d%tLgEwMMOZ6-lTU#;01sC&fDiZ=gl9SFh(G89Xc1)YZ&?lC6*NI zzc`dz?GwN+$pwFETX`R{fWFByW(x3I%4IXWfxeGzCGT0Z>YlY@Y6f|olapcZ;9l(r$r@4xG*x$-f>X586MAdkTXbw*nCV69%;kUx zYQl^woyK8SI47zQ8L&%+6QG+y}D_))mFJ(cK`jQ-$jNv>r`Wc`~&v3F%^{ z#r{q6IXql$GXIK^TfL51_H?1osznR8UXsZQWzNhr+ioxq^0~2~i&m38Axz(m?_*+CFvurz+4}Z#CL_LR^boZiLn8A%YOoOy(UrI^5x$7FQHot`5`2h@} zWB*WMaS|`uPSfMS3)M2}T0tdW|5(tgU@c;`I@EcOy$dpBcXaq%w3ro}ZVJB$C%U^j z1+-1931($!p(@9PUxpp3t8iw|Q;%BRmF?w-ujFd!wI4jBLxP{v5~g)%3^-J~PpO}g z-dem>9z~=ukJjnMa?B7+rZ%`^J!ko@A*R-H=!;Or zRtP1=)XcebviQ1U$fIXS#SaOuVmduFsxG3=d5Y%GKk{4o^T}fJKw<=s+tJ_wOQFyB zJ@t{}vTgroW}#1+wQ`a(lQm}AS*N~64`Xp&q^kwIZ~{V+a`L&z$aN#|-H7%VSK11Q z9k5+t_MM#_wsz5iQr`f64!NaDXTeBSCI>6oD|YwUEL$i)Y8ASBx3}jw`Fxj>2?2Jy zUwUerEN)`eLQQQzKQmT8zD*RpA?`lt$r!zfoZ`$9Hojmf9c>ViLnQ2ZLZDXamaxiU8D$cz^gI4Tih5#6PkT|7@c<`q6RcEf&HkQEyR=d_VQ;dJE?u`8@6cyw4WEgle%eQdSeaZPSn3yi2lz#Bx z(!P4lx4El-q^P*qe&i?xeZ0N&lnEO_oX8Uq^DeQg)tukyD`0MY%r0~U9C;AIKx!14 zsT6-AWM)mScVv56@clb0!_7>y8Mp=-RVuscXJgnA6&K1`-LZH#j=i#OLU6I&M@H{6 z3#+<8ru3V~Pl`3CT~BF6F-z9>bCji*b^GvtSv$FvXyrI7+RJ}+{R8pM%{{2k zr#d9*>R+~6AJU|U6Uy!WSb3dq=sBlPn5B)Y=J$FhtY6$tfEG?)=nHnSkdsQneBGc@Nge%Mt>uwYgKCh>9t5`% zhnN>(6p~U=`{==NuivVA)1y8>;^LJkAU2GbSPL~GVhgTz)@yh|UMf8Zs~#)TET_qRg@I6jZB zd|Sqpi3Abn;c_c_ADhtC#7urOJ0fZz+%pAUjM>km`MNogkY_O42Pl7aYwsm(6M-c? z#GE!@G%w=jz4t|38mTO{FLvc1+K^FZK%?z$17F0ZFS%cJ;eA9}>fE;8>xDg64{DX{ zOnw7&W$#Q`t^Y84lSv*QyUGtSg15#%-kj1W-!YeS%vG9S@KL=;DED|pW}GW=-Cs3S zttg4bcC}2ZE;5nd!lP=kqbi~u9ONv@Ty!H2p1wYZk$e!ro9>sydY4RcSu_^Hr16>j)+m+y1?mBq*tIwVl|*cN5jq3imU$iAVA)^Z{0eu3r|gt+>PO z7UcZBbzV^Lq7x_GY#{M7iPP3E*fHCKdH!t&jpliRe|ZaCKqXE!-?C!b^WqrrVDTGQ z6c|`0zd~Nz4>*5#$7{2Ju(CTv&+mz8&%S)OW?ttB_U z2dglk1jQa!GJHsYU+6oLd>G>vF%0*8^<7-+or zXd1e9%00w5t6a9$aG=GnQC6h;ji0$W(}m&^9y&hj03*?Q7&x$M!C`_QG(4)@0vn~B z$}uLdQ*%9?q`KN@V7OnkANiX66iOX>uDYp57T|KOsmz{>!=w``;_U{9lt`)m3Uen5- zTlXQ7eWjvPPZ0I5^8j8O>TmFQ)HW|ZF2t@yGdO@xXJ^7mzR%9rfB)ft-Eq*n{Lyp_ zR^~WDSCTik%}$D9W_yGxzBMgqfLr-OZh#o>+GLbGZucf7woJ^;OL!6JkO4^{#W+@U zUX?>a*D;hI{fX|o{uPXdW+;aR{Zf3o*Tla-opk4E`RZyv z#n2n<5t;4?<`p2h1c~DE8eR2alL5hOtpddQ?!gJK_0b&*eQCGwY0el*uisr34ZhX% zFuPZH+4m2|F6U{pg> zL@?*bYjX@(t=vTBPhlUa`WR)N7Ib+}7hNr;+K}QLx@#*$t7Ujt!aJ2>!^>~&V6J2oPl%}ADqUE7*Gc(WstcJ{jh49teoiFtAWh)aZ#+&SxipY`&$tA zF+tMFMBFB)#7|Q#9OXixgw9^;atX>OdaaJ!t0$d9uUSG**P9c;D0FP;Q9RlnazHe+!~6-~yl0vsxl<)gxxLqt(#y^3Py5yVxVc8@ z66D|QS$zt%iKa-9tyN}{%7&*EUd1c(+N&qV%1F9vC*-J_>U3sW>Rwzc@Op~o2X(0c zUdSZ4i5LfUjIcz8YMa}BZt;;j3oc7Vow^QJ}E=61?@l*+?suN?#ZB=P8b~GU3VG)nAxYcTVFlsg+q8}+UuDm zsaf+FsRh4vRFGyHvhXk}{nBJHKY$pva;kpN5c{63FE8rJ z+ks-h$@dxa<@Hz6Qs2H;9fAU;6DSM#a2|{v!n;SSV~Rv5zwB|?Z>C}->!$@JO@Qc^ zZh3H>j4Iv6(Y8~K2bX35cRL#F#cl-uG?blF_+%E~=UEhWu~bPat-=z1MdDqQneCod z?cR>#Cs53vUTL{|oOo6ymJtw7NT61l)7^bz_ApLvZ+Ltt=-OBDP!u^$ybqW5BGkaK z@l&y#5Lg$q+?QW&#KcFL_-`Y>w&jphwkGX}N|{ixvu?lI;$5VQ7uOz|@6Cc;Fg71o zem~Z5oioX1(GMP7pS_pcj8Q1zUx+yDONbQ+!y|!3E?$GMcDrG z&g%Hf@!{v5`ddVl$RePF?M+%nf+UNDkd-sU;I6K%gN29@(!<|B<~nI<$dC?l47!@> zJzz48E$yJq#m_zxp~o(iwXFUO^}Mg8&=v*2^?B=2i%&X*S56B89ghToKk_@=i7|DZ z76f;`XXdLdbsQO-s;1b_wKAMQHN-HuR5V41d+8eIj7Jj~rJ=%3*~ZYU>2*VVyiGR< zMN^k!M|n#pSmzkJh`!iSe?K%8xf7bKaeGi0b1RnaRhy~Qpu&Fsg;?zy%~C^d8Laz> z1&F4p=XaC>)qloKCi|>a3gphYJ+4p`s!*(C=n{6h!+(@N=SGw&&Qw!5&swDVw9IL( zpV)n5(D7{lYd+t6U+dR={`n`19Es`lLS+~4%C047K5E}SR~-~sfoT04h%)zkPF}a< zO5gfn{C$jg<4s3IVeHtv_3UjGmBNv~6@Ef+H%ge&4uW*<+4xBA|IY2u&s`*lAv!Y7?NhiQRhKjO%jVX!}2Eob|;3G-t@f`;|w6c(I zCY!^5noG~|8G9@(I&p=+NgjwS!u5NnN1p_^5W&FWnRL}$wA3ONf-2O{AsS@i?C>&RlL zx| zMy;?)t$op3g~7b9WkF-lncYHeA8?c^-A*uc*+=)VN$+wnS@hkyZAmp7N+@bW&Zfo& zm?l$p((B{vvHZ)JkyLGC@1sn28P()=<63$qNX$=mE^eFE%Cus0ex4S`AGX(T8D7}j ziS7k?kgKMzxCd;0EBrr&Mhi;lS zh4}>rLtpusWr_cBmqVZg{ot3+>Zc#f1FAZnblbQCYHlI%9f98YRr|J!Z2RCxviC$Z z+wPpk4SZ)?k~&O`i>g(MxmG`pR5-8k{08(G`o@*Gk=Q?t5T?4RmUvK1-6U%V-IleB zWAd`v8LYK(3Ex!WO!v(wU@Y%6m0&?!=%HpkFO(f}mqx@WMP}8uBjFR}h(Y%X1{;Vt zWo{tU`dpi1DD`=tUp*6sk!1+U88R2Vu~NNKv$yOggPS=+%IjS)*7msP>0KhKTpTz56T^=EXyb zN_}Ajrf2gD=)Ax85(S4a8k?63HROWz`LtAa9Ry9p`3(6QwyN~|+D=Ti#uoY?--V@F z$6tQ_Nk|Bh>qEbj7*4le&afZQsQ93JWc zY~^dDKXQs)6z(|hBVP5skO&*}m9`i$YZ&6UBwcEU3Y>SBigb8@zd2R{uA$rj1F+_u z6+U-w*fIq1)Ai_9D8KIH5NE`crH%bLEG;6?PdPMzfy7;Ju~Qa^r`mjD0DY+wEz=mh zBA+<-^5X0)_iBy&>T*h!igK-QU9pD{FCaaHUyr6wpPB4wBc?CFoT32`9NG(4V!{>_ zohbQUjN7!%`<^F=<2PI4KT3?=kmCC0GTawTT<1kmLG)5*gjGi04iAR6*%J`@o?GoP z{bty-0L-5{e*PXeA3EbyLN6S&c2{TH@o>RfIkWxJsHSqUQjgM}R$fH?@M*OpY8Ph? zHCI_kA9;3d#$4*e>t#h#;*P%vM9AK*f+$$o7#73^NG!QGAeHCJdInwJv|S}6VluUcWNFSa$1W zvY+lmM4hnF(cfxFCo=324(hsKEz6}LF&`P}J0$mhPh+uwDBhOYdLZE~#8&%`K05&z z(%T^E8AFKZ+4hTc;@q7sJ*hW&w@NxvtEsp~D{-yTqU@STsK8+qUv3=FsjSPxHk9LD7=N3e5Z07C&X zfU}IEB1prX;N?pBMjL@tao%`)oS}va$k^8v_n#7w5y;pb^T5Lum(MGc99tV+jZl43v`uY2&dTmf$l~z%Nn&#IHQ~KcHXo7+O=P zdQmuo{fGUtc0B%vFj$UK;~$xS75yW@FP_jCPmD9+-oLQV=>LO_rl`)7;4a~cb@03o z00W_5fHc6z#|QWe0JJB#NP_g;30NBO@*e`qc)W@ZkT&`XBZly5k%eWB_0!14Z5cBmf)+ zlZ62s0RMqO5D+9qh_eKE@DGfVKeNQ|FgV2q|Av7PNEtbbX8#S7fx%&CYW`m^2m%VD z82&dHOy>8xNH7HUd%G|=CH+EJ+R%iGx?yDEI literal 0 HcmV?d00001 diff --git a/library/contrib_symbols_dl1eic/Symbols_Microcontroller_Philips-NXP_RevA_06Oct2013.dcm b/library/contrib_symbols_dl1eic/Symbols_Microcontroller_Philips-NXP_RevA_06Oct2013.dcm new file mode 100644 index 00000000..6f968c64 --- /dev/null +++ b/library/contrib_symbols_dl1eic/Symbols_Microcontroller_Philips-NXP_RevA_06Oct2013.dcm @@ -0,0 +1,33 @@ +EESchema-DOCLIB Version 2.0 Date: So 06 Okt 2013 22:15:04 CEST +# +$CMP LPC2104_Detached_RevA_06Oct2013 +D LPC2104, 32Bit, Single Chip, Microcontroller, NXP, 128kB Flash, 16kB RAM, Detached presentation, +K LPC2104, 32Bit, Single Chip, Microcontroller, NXP, 128kB Flash, 16kB RAM, Detached presentation, +$ENDCMP +# +$CMP LPC2104_REVA_06OCT2013 +D LPC2104, Single Chip, Microcontroller, 32Bit, NXP, 128kB Flash, 16kB RAM, +K LPC2104, Single Chip, Microcontroller, 32Bit, NXP, 128kB Flash, 16kB RAM, +$ENDCMP +# +$CMP LPC2105_Detached_RevA_06Oct2013 +D LPC2105, 32Bit, Single Chip, Microcontroller, NXP, 128kB Flash, 32kB RAM, Detached presentation, +K LPC2105, 32Bit, Single Chip, Microcontroller, NXP, 128kB Flash, 32kB RAM, Detached presentation, +$ENDCMP +# +$CMP LPC2105_REVA_06OCT2013 +D LPC2105, 32Bit, Single Chip, Microcontroller, NXP, 128kB Flash, 32kB RAM, +K LPC2105, 32Bit, Single Chip, Microcontroller, NXP, 128kB Flash, 32kB RAM, +$ENDCMP +# +$CMP LPC2106_Detached_RevA_06Oct2013 +D LPC2106, 32Bit, Single Chip, Microcontroller, NXP, 128kB Flash, 64kB RAM, Detached presentation, +K LPC2106, 32Bit, Single Chip, Microcontroller, NXP, 128kB Flash, 64kB RAM, Detached presentation, +$ENDCMP +# +$CMP LPC2106_REVA_06OCT2013 +D LPC2106, 32Bit, Single Chip, Microcontroller, NXP, 128kB Flash, 64kB RAM, +K LPC2106, 32Bit, Single Chip, Microcontroller, NXP, 128kB Flash, 64kB RAM, +$ENDCMP +# +#End Doc Library diff --git a/library/contrib_symbols_dl1eic/Symbols_Microcontroller_Philips-NXP_RevA_06Oct2013.lib b/library/contrib_symbols_dl1eic/Symbols_Microcontroller_Philips-NXP_RevA_06Oct2013.lib new file mode 100644 index 00000000..8d951305 --- /dev/null +++ b/library/contrib_symbols_dl1eic/Symbols_Microcontroller_Philips-NXP_RevA_06Oct2013.lib @@ -0,0 +1,445 @@ +EESchema-LIBRARY Version 2.3 Date: So 06 Okt 2013 22:15:04 CEST +#encoding utf-8 +# +# LPC2104_Detached_RevA_06Oct2013 +# +DEF LPC2104_Detached_RevA_06Oct2013 U 0 40 Y Y 5 F N +F0 "U" -50 1800 60 H V C CNN +F1 "LPC2104_Detached_RevA_06Oct2013" -100 -1800 60 H V C CNN +F2 "~" 500 -1900 60 H V C CNN +F3 "~" 500 -1900 60 H V C CNN +DRAW +T 900 -100 1200 47 0 1 0 GPIO~0-7 Normal 0 C C +T 900 -50 250 47 0 2 0 GPIO~8-15 Normal 0 C C +T 900 50 1150 47 0 3 0 GPIO~16-23 Normal 0 C C +T 900 50 500 31 0 4 0 GPIO~24-31 Normal 0 C C +T 900 -550 -400 59 0 5 0 Clock Normal 0 C C +T 900 -450 -450 59 0 5 0 Debugger Normal 0 C C +T 900 500 -750 59 0 5 0 GND Normal 0 C C +T 900 -700 -1350 59 0 5 0 No~Con. Normal 0 C C +T 900 150 -850 59 0 5 0 Power Normal 0 C C +T 900 -300 -450 59 0 5 0 Reset Normal 0 C C +T 900 500 -350 59 0 5 0 XTAL Normal 0 C C +S 0 700 -950 1650 1 1 0 N +X P0.3/SDA/MAT0.0 21 -1250 1200 300 R 50 50 1 1 B +X P0.4/SCK/CAP0.1 22 -1250 1100 300 R 50 50 1 1 B +X P0.0/TxD0/PWM1 13 -1250 1500 300 R 50 50 1 1 B +X P0.5/MISO/MAT0.1 23 -1250 1000 300 R 50 50 1 1 B +X P0.1/RxD0/PWM3 14 -1250 1400 300 R 50 50 1 1 B +X P0.6/MOSI/CAP0.2 24 -1250 900 300 R 50 50 1 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 1 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 1 1 B +X P0.2/SCL/CAP0.0 18 -1250 1300 300 R 50 50 1 1 B +X P0.7/SSEL/PWM2 28 -1250 800 300 R 50 50 1 1 B +S -950 700 0 -200 2 1 0 N +X P0.9/RxD1/PWM6 30 -1250 500 300 R 50 50 2 1 B +X P0.13/DTR1/MAT1.1 41 -1250 100 300 R 50 50 2 1 B +X P.014/DCD1/EINT1 44 -1250 0 300 R 50 50 2 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 2 1 B +X P0.10/RTS1/CAP0.1 35 -1250 400 300 R 50 50 2 1 B +X P0.15/RI1/EINT2 45 -1250 -100 300 R 50 50 2 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 2 1 B +X P0.11/CTS1/CAP1.1 36 -1250 300 300 R 50 50 2 1 B +X P0.12/DSR1/MAT1.0 37 -1250 200 300 R 50 50 2 1 B +X P0.8/TxD1/PWM4 29 -1250 600 300 R 50 50 2 1 B +S 0 700 950 1650 3 1 0 N +X P0.19/MAT1.2/TCK 1 1250 1200 300 L 50 50 3 1 B +X P0.20/MAT1.2/TDI 2 1250 1100 300 L 50 50 3 1 B +X P0.21/PWM5/TDO 3 1250 1000 300 L 50 50 3 1 B +X P0.22/TRACECLK 32 1250 900 300 L 50 50 3 1 B +X P0.23/PIPESTAT0 33 1250 800 300 L 50 50 3 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 3 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 3 1 B +X P0.16/EINT0/MAT0.2 46 1250 1500 300 L 50 50 3 1 B +X P0.17/CAP1.2/TRST 47 1250 1400 300 L 50 50 3 1 B +X P0.18/CAP1.3/TMS 48 1250 1300 300 L 50 50 3 1 B +S 0 700 950 -200 4 1 0 N +X P0.27TRACEPKT0/TRST 8 1250 300 300 L 50 50 4 1 B +X P0.28/TRACEPKT1/TMS 9 1250 200 300 L 50 50 4 1 B +X P0.29/TRACEPKT2/TCK 10 1250 100 300 L 50 50 4 1 B +X P0.24/PIPESTAT1 34 1250 600 300 L 50 50 4 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 4 1 B +X P0.30/TRACEPKT3/TDI 15 1250 0 300 L 50 50 4 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 4 1 B +X P0.31/EXTIN0/TDO 16 1250 -100 300 L 50 50 4 1 B +X P0.25/PIPESTAT2 38 1250 500 300 L 50 50 4 1 B +X P0.26/TRACESYNC 39 1250 400 300 L 50 50 4 1 B +S -950 -200 950 -1650 5 1 0 N +X NC 4 -1250 -1200 300 R 50 50 5 1 N +X Vdd-1,8_CorePowerSupply 5 -1250 -700 300 R 50 50 5 1 W +X RST 6 -1250 -500 300 R 50 50 5 1 I +X Vss-GND 7 1250 -600 300 L 50 50 5 1 W +X NC 20 -1250 -1300 300 R 50 50 5 1 N +X Vdd-3.3_PadPowerSupply 40 -1250 -1000 300 R 50 50 5 1 W +X XTAL1 11 1250 -300 300 L 50 50 5 1 I +X Vss-GND 31 1250 -800 300 L 50 50 5 1 W +X XTAL2 12 1250 -400 300 L 50 50 5 1 O +X NC 42 -1250 -1500 300 R 50 50 5 1 N +X Vss-GND 43 1250 -900 300 L 50 50 5 1 W +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 5 1 B +X NC 25 -1250 -1400 300 R 50 50 5 1 N +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 5 1 B +X RTCK 26 -1250 -300 300 R 50 50 5 1 B +X Vdd-3.3_PadPowerSupply 17 -1250 -900 300 R 50 50 5 1 W +X DBGSEL 27 -1250 -400 300 R 50 50 5 1 I +X Vss-GND 19 1250 -700 300 L 50 50 5 1 W +ENDDRAW +ENDDEF +# +# LPC2104_RevA_06Oct2013 +# +DEF LPC2104_RevA_06Oct2013 U 0 40 Y Y 1 F N +F0 "U" -50 1800 60 H V C CNN +F1 "LPC2104_RevA_06Oct2013" -100 -1800 60 H V C CNN +F2 "~" 500 -1900 60 H V C CNN +F3 "~" 500 -1900 60 H V C CNN +DRAW +S -950 1650 950 -1650 0 1 0 N +X P0.19/MAT1.2/TCK 1 1250 1200 300 L 50 50 1 1 B +X P0.20/MAT1.2/TDI 2 1250 1100 300 L 50 50 1 1 B +X P0.21/PWM5/TDO 3 1250 1000 300 L 50 50 1 1 B +X NC 4 -1250 -1200 300 R 50 50 1 1 N +X Vdd-1,8_CorePowerSupply 5 -1250 -700 300 R 50 50 1 1 W +X RST 6 -1250 -500 300 R 50 50 1 1 I +X Vss-GND 7 1250 -600 300 L 50 50 1 1 W +X P0.27TRACEPKT0/TRST 8 1250 300 300 L 50 50 1 1 B +X P0.28/TRACEPKT1/TMS 9 1250 200 300 L 50 50 1 1 B +X P0.29/TRACEPKT2/TCK 10 1250 100 300 L 50 50 1 1 B +X NC 20 -1250 -1300 300 R 50 50 1 1 N +X P0.9/RxD1/PWM6 30 -1250 500 300 R 50 50 1 1 B +X Vdd-3.3_PadPowerSupply 40 -1250 -1000 300 R 50 50 1 1 W +X XTAL1 11 1250 -300 300 L 50 50 1 1 I +X P0.3/SDA/MAT0.0 21 -1250 1200 300 R 50 50 1 1 B +X Vss-GND 31 1250 -800 300 L 50 50 1 1 W +X P0.13/DTR1/MAT1.1 41 -1250 100 300 R 50 50 1 1 B +X XTAL2 12 1250 -400 300 L 50 50 1 1 O +X P0.4/SCK/CAP0.1 22 -1250 1100 300 R 50 50 1 1 B +X P0.22/TRACECLK 32 1250 900 300 L 50 50 1 1 B +X NC 42 -1250 -1500 300 R 50 50 1 1 N +X P0.0/TxD0/PWM1 13 -1250 1500 300 R 50 50 1 1 B +X P0.5/MISO/MAT0.1 23 -1250 1000 300 R 50 50 1 1 B +X P0.23/PIPESTAT0 33 1250 800 300 L 50 50 1 1 B +X Vss-GND 43 1250 -900 300 L 50 50 1 1 W +X P0.1/RxD0/PWM3 14 -1250 1400 300 R 50 50 1 1 B +X P0.6/MOSI/CAP0.2 24 -1250 900 300 R 50 50 1 1 B +X P0.24/PIPESTAT1 34 1250 600 300 L 50 50 1 1 B +X P.014/DCD1/EINT1 44 -1250 0 300 R 50 50 1 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 1 1 B +X P0.30/TRACEPKT3/TDI 15 1250 0 300 L 50 50 1 1 B +X NC 25 -1250 -1400 300 R 50 50 1 1 N +X P0.10/RTS1/CAP0.1 35 -1250 400 300 R 50 50 1 1 B +X P0.15/RI1/EINT2 45 -1250 -100 300 R 50 50 1 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 1 1 B +X P0.31/EXTIN0/TDO 16 1250 -100 300 L 50 50 1 1 B +X RTCK 26 -1250 -300 300 R 50 50 1 1 B +X P0.11/CTS1/CAP1.1 36 -1250 300 300 R 50 50 1 1 B +X P0.16/EINT0/MAT0.2 46 1250 1500 300 L 50 50 1 1 B +X Vdd-3.3_PadPowerSupply 17 -1250 -900 300 R 50 50 1 1 W +X DBGSEL 27 -1250 -400 300 R 50 50 1 1 I +X P0.12/DSR1/MAT1.0 37 -1250 200 300 R 50 50 1 1 B +X P0.17/CAP1.2/TRST 47 1250 1400 300 L 50 50 1 1 B +X P0.2/SCL/CAP0.0 18 -1250 1300 300 R 50 50 1 1 B +X P0.7/SSEL/PWM2 28 -1250 800 300 R 50 50 1 1 B +X P0.25/PIPESTAT2 38 1250 500 300 L 50 50 1 1 B +X P0.18/CAP1.3/TMS 48 1250 1300 300 L 50 50 1 1 B +X Vss-GND 19 1250 -700 300 L 50 50 1 1 W +X P0.8/TxD1/PWM4 29 -1250 600 300 R 50 50 1 1 B +X P0.26/TRACESYNC 39 1250 400 300 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# LPC2105_Detached_RevA_06Oct2013 +# +DEF LPC2105_Detached_RevA_06Oct2013 U 0 40 Y Y 5 F N +F0 "U" -50 1800 60 H V C CNN +F1 "LPC2105_Detached_RevA_06Oct2013" -100 -1800 60 H V C CNN +F2 "~" 500 -1900 60 H V C CNN +F3 "~" 500 -1900 60 H V C CNN +DRAW +T 900 -100 1200 47 0 1 0 GPIO~0-7 Normal 0 C C +T 900 -50 250 47 0 2 0 GPIO~8-15 Normal 0 C C +T 900 50 1150 47 0 3 0 GPIO~16-23 Normal 0 C C +T 900 50 500 31 0 4 0 GPIO~24-31 Normal 0 C C +T 900 -550 -400 59 0 5 0 Clock Normal 0 C C +T 900 -450 -450 59 0 5 0 Debugger Normal 0 C C +T 900 500 -750 59 0 5 0 GND Normal 0 C C +T 900 -700 -1350 59 0 5 0 No~Con. Normal 0 C C +T 900 150 -850 59 0 5 0 Power Normal 0 C C +T 900 -300 -450 59 0 5 0 Reset Normal 0 C C +T 900 500 -350 59 0 5 0 XTAL Normal 0 C C +S 0 700 -950 1650 1 1 0 N +X P0.3/SDA/MAT0.0 21 -1250 1200 300 R 50 50 1 1 B +X P0.4/SCK/CAP0.1 22 -1250 1100 300 R 50 50 1 1 B +X P0.0/TxD0/PWM1 13 -1250 1500 300 R 50 50 1 1 B +X P0.5/MISO/MAT0.1 23 -1250 1000 300 R 50 50 1 1 B +X P0.1/RxD0/PWM3 14 -1250 1400 300 R 50 50 1 1 B +X P0.6/MOSI/CAP0.2 24 -1250 900 300 R 50 50 1 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 1 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 1 1 B +X P0.2/SCL/CAP0.0 18 -1250 1300 300 R 50 50 1 1 B +X P0.7/SSEL/PWM2 28 -1250 800 300 R 50 50 1 1 B +S -950 700 0 -200 2 1 0 N +X P0.9/RxD1/PWM6 30 -1250 500 300 R 50 50 2 1 B +X P0.13/DTR1/MAT1.1 41 -1250 100 300 R 50 50 2 1 B +X P.014/DCD1/EINT1 44 -1250 0 300 R 50 50 2 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 2 1 B +X P0.10/RTS1/CAP0.1 35 -1250 400 300 R 50 50 2 1 B +X P0.15/RI1/EINT2 45 -1250 -100 300 R 50 50 2 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 2 1 B +X P0.11/CTS1/CAP1.1 36 -1250 300 300 R 50 50 2 1 B +X P0.12/DSR1/MAT1.0 37 -1250 200 300 R 50 50 2 1 B +X P0.8/TxD1/PWM4 29 -1250 600 300 R 50 50 2 1 B +S 0 700 950 1650 3 1 0 N +X P0.19/MAT1.2/TCK 1 1250 1200 300 L 50 50 3 1 B +X P0.20/MAT1.2/TDI 2 1250 1100 300 L 50 50 3 1 B +X P0.21/PWM5/TDO 3 1250 1000 300 L 50 50 3 1 B +X P0.22/TRACECLK 32 1250 900 300 L 50 50 3 1 B +X P0.23/PIPESTAT0 33 1250 800 300 L 50 50 3 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 3 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 3 1 B +X P0.16/EINT0/MAT0.2 46 1250 1500 300 L 50 50 3 1 B +X P0.17/CAP1.2/TRST 47 1250 1400 300 L 50 50 3 1 B +X P0.18/CAP1.3/TMS 48 1250 1300 300 L 50 50 3 1 B +S 0 700 950 -200 4 1 0 N +X P0.27TRACEPKT0/TRST 8 1250 300 300 L 50 50 4 1 B +X P0.28/TRACEPKT1/TMS 9 1250 200 300 L 50 50 4 1 B +X P0.29/TRACEPKT2/TCK 10 1250 100 300 L 50 50 4 1 B +X P0.24/PIPESTAT1 34 1250 600 300 L 50 50 4 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 4 1 B +X P0.30/TRACEPKT3/TDI 15 1250 0 300 L 50 50 4 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 4 1 B +X P0.31/EXTIN0/TDO 16 1250 -100 300 L 50 50 4 1 B +X P0.25/PIPESTAT2 38 1250 500 300 L 50 50 4 1 B +X P0.26/TRACESYNC 39 1250 400 300 L 50 50 4 1 B +S -950 -200 950 -1650 5 1 0 N +X NC 4 -1250 -1200 300 R 50 50 5 1 N +X Vdd-1,8_CorePowerSupply 5 -1250 -700 300 R 50 50 5 1 W +X RST 6 -1250 -500 300 R 50 50 5 1 I +X Vss-GND 7 1250 -600 300 L 50 50 5 1 W +X NC 20 -1250 -1300 300 R 50 50 5 1 N +X Vdd-3.3_PadPowerSupply 40 -1250 -1000 300 R 50 50 5 1 W +X XTAL1 11 1250 -300 300 L 50 50 5 1 I +X Vss-GND 31 1250 -800 300 L 50 50 5 1 W +X XTAL2 12 1250 -400 300 L 50 50 5 1 O +X NC 42 -1250 -1500 300 R 50 50 5 1 N +X Vss-GND 43 1250 -900 300 L 50 50 5 1 W +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 5 1 B +X NC 25 -1250 -1400 300 R 50 50 5 1 N +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 5 1 B +X RTCK 26 -1250 -300 300 R 50 50 5 1 B +X Vdd-3.3_PadPowerSupply 17 -1250 -900 300 R 50 50 5 1 W +X DBGSEL 27 -1250 -400 300 R 50 50 5 1 I +X Vss-GND 19 1250 -700 300 L 50 50 5 1 W +ENDDRAW +ENDDEF +# +# LPC2105_RevA_06Oct2013 +# +DEF LPC2105_RevA_06Oct2013 U 0 40 Y Y 1 F N +F0 "U" -50 1800 60 H V C CNN +F1 "LPC2105_RevA_06Oct2013" -100 -1800 60 H V C CNN +F2 "~" 500 -1900 60 H V C CNN +F3 "~" 500 -1900 60 H V C CNN +DRAW +S -950 1650 950 -1650 0 1 0 N +X P0.19/MAT1.2/TCK 1 1250 1200 300 L 50 50 1 1 B +X P0.20/MAT1.2/TDI 2 1250 1100 300 L 50 50 1 1 B +X P0.21/PWM5/TDO 3 1250 1000 300 L 50 50 1 1 B +X NC 4 -1250 -1200 300 R 50 50 1 1 N +X Vdd-1,8_CorePowerSupply 5 -1250 -700 300 R 50 50 1 1 W +X RST 6 -1250 -500 300 R 50 50 1 1 I +X Vss-GND 7 1250 -600 300 L 50 50 1 1 W +X P0.27TRACEPKT0/TRST 8 1250 300 300 L 50 50 1 1 B +X P0.28/TRACEPKT1/TMS 9 1250 200 300 L 50 50 1 1 B +X P0.29/TRACEPKT2/TCK 10 1250 100 300 L 50 50 1 1 B +X NC 20 -1250 -1300 300 R 50 50 1 1 N +X P0.9/RxD1/PWM6 30 -1250 500 300 R 50 50 1 1 B +X Vdd-3.3_PadPowerSupply 40 -1250 -1000 300 R 50 50 1 1 W +X XTAL1 11 1250 -300 300 L 50 50 1 1 I +X P0.3/SDA/MAT0.0 21 -1250 1200 300 R 50 50 1 1 B +X Vss-GND 31 1250 -800 300 L 50 50 1 1 W +X P0.13/DTR1/MAT1.1 41 -1250 100 300 R 50 50 1 1 B +X XTAL2 12 1250 -400 300 L 50 50 1 1 O +X P0.4/SCK/CAP0.1 22 -1250 1100 300 R 50 50 1 1 B +X P0.22/TRACECLK 32 1250 900 300 L 50 50 1 1 B +X NC 42 -1250 -1500 300 R 50 50 1 1 N +X P0.0/TxD0/PWM1 13 -1250 1500 300 R 50 50 1 1 B +X P0.5/MISO/MAT0.1 23 -1250 1000 300 R 50 50 1 1 B +X P0.23/PIPESTAT0 33 1250 800 300 L 50 50 1 1 B +X Vss-GND 43 1250 -900 300 L 50 50 1 1 W +X P0.1/RxD0/PWM3 14 -1250 1400 300 R 50 50 1 1 B +X P0.6/MOSI/CAP0.2 24 -1250 900 300 R 50 50 1 1 B +X P0.24/PIPESTAT1 34 1250 600 300 L 50 50 1 1 B +X P.014/DCD1/EINT1 44 -1250 0 300 R 50 50 1 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 1 1 B +X P0.30/TRACEPKT3/TDI 15 1250 0 300 L 50 50 1 1 B +X NC 25 -1250 -1400 300 R 50 50 1 1 N +X P0.10/RTS1/CAP0.1 35 -1250 400 300 R 50 50 1 1 B +X P0.15/RI1/EINT2 45 -1250 -100 300 R 50 50 1 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 1 1 B +X P0.31/EXTIN0/TDO 16 1250 -100 300 L 50 50 1 1 B +X RTCK 26 -1250 -300 300 R 50 50 1 1 B +X P0.11/CTS1/CAP1.1 36 -1250 300 300 R 50 50 1 1 B +X P0.16/EINT0/MAT0.2 46 1250 1500 300 L 50 50 1 1 B +X Vdd-3.3_PadPowerSupply 17 -1250 -900 300 R 50 50 1 1 W +X DBGSEL 27 -1250 -400 300 R 50 50 1 1 I +X P0.12/DSR1/MAT1.0 37 -1250 200 300 R 50 50 1 1 B +X P0.17/CAP1.2/TRST 47 1250 1400 300 L 50 50 1 1 B +X P0.2/SCL/CAP0.0 18 -1250 1300 300 R 50 50 1 1 B +X P0.7/SSEL/PWM2 28 -1250 800 300 R 50 50 1 1 B +X P0.25/PIPESTAT2 38 1250 500 300 L 50 50 1 1 B +X P0.18/CAP1.3/TMS 48 1250 1300 300 L 50 50 1 1 B +X Vss-GND 19 1250 -700 300 L 50 50 1 1 W +X P0.8/TxD1/PWM4 29 -1250 600 300 R 50 50 1 1 B +X P0.26/TRACESYNC 39 1250 400 300 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# LPC2106_Detached_RevA_06Oct2013 +# +DEF LPC2106_Detached_RevA_06Oct2013 U 0 40 Y Y 5 F N +F0 "U" -50 1800 60 H V C CNN +F1 "LPC2106_Detached_RevA_06Oct2013" -100 -1800 60 H V C CNN +F2 "~" 500 -1900 60 H V C CNN +F3 "~" 500 -1900 60 H V C CNN +DRAW +T 900 -100 1200 47 0 1 0 GPIO~0-7 Normal 0 C C +T 900 -50 250 47 0 2 0 GPIO~8-15 Normal 0 C C +T 900 50 1150 47 0 3 0 GPIO~16-23 Normal 0 C C +T 900 50 500 31 0 4 0 GPIO~24-31 Normal 0 C C +T 900 -550 -400 59 0 5 0 Clock Normal 0 C C +T 900 -450 -450 59 0 5 0 Debugger Normal 0 C C +T 900 500 -750 59 0 5 0 GND Normal 0 C C +T 900 -700 -1350 59 0 5 0 No~Con. Normal 0 C C +T 900 150 -850 59 0 5 0 Power Normal 0 C C +T 900 -300 -450 59 0 5 0 Reset Normal 0 C C +T 900 500 -350 59 0 5 0 XTAL Normal 0 C C +S 0 700 -950 1650 1 1 0 N +X P0.3/SDA/MAT0.0 21 -1250 1200 300 R 50 50 1 1 B +X P0.4/SCK/CAP0.1 22 -1250 1100 300 R 50 50 1 1 B +X P0.0/TxD0/PWM1 13 -1250 1500 300 R 50 50 1 1 B +X P0.5/MISO/MAT0.1 23 -1250 1000 300 R 50 50 1 1 B +X P0.1/RxD0/PWM3 14 -1250 1400 300 R 50 50 1 1 B +X P0.6/MOSI/CAP0.2 24 -1250 900 300 R 50 50 1 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 1 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 1 1 B +X P0.2/SCL/CAP0.0 18 -1250 1300 300 R 50 50 1 1 B +X P0.7/SSEL/PWM2 28 -1250 800 300 R 50 50 1 1 B +S -950 700 0 -200 2 1 0 N +X P0.9/RxD1/PWM6 30 -1250 500 300 R 50 50 2 1 B +X P0.13/DTR1/MAT1.1 41 -1250 100 300 R 50 50 2 1 B +X P.014/DCD1/EINT1 44 -1250 0 300 R 50 50 2 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 2 1 B +X P0.10/RTS1/CAP0.1 35 -1250 400 300 R 50 50 2 1 B +X P0.15/RI1/EINT2 45 -1250 -100 300 R 50 50 2 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 2 1 B +X P0.11/CTS1/CAP1.1 36 -1250 300 300 R 50 50 2 1 B +X P0.12/DSR1/MAT1.0 37 -1250 200 300 R 50 50 2 1 B +X P0.8/TxD1/PWM4 29 -1250 600 300 R 50 50 2 1 B +S 0 700 950 1650 3 1 0 N +X P0.19/MAT1.2/TCK 1 1250 1200 300 L 50 50 3 1 B +X P0.20/MAT1.2/TDI 2 1250 1100 300 L 50 50 3 1 B +X P0.21/PWM5/TDO 3 1250 1000 300 L 50 50 3 1 B +X P0.22/TRACECLK 32 1250 900 300 L 50 50 3 1 B +X P0.23/PIPESTAT0 33 1250 800 300 L 50 50 3 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 3 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 3 1 B +X P0.16/EINT0/MAT0.2 46 1250 1500 300 L 50 50 3 1 B +X P0.17/CAP1.2/TRST 47 1250 1400 300 L 50 50 3 1 B +X P0.18/CAP1.3/TMS 48 1250 1300 300 L 50 50 3 1 B +S 0 700 950 -200 4 1 0 N +X P0.27TRACEPKT0/TRST 8 1250 300 300 L 50 50 4 1 B +X P0.28/TRACEPKT1/TMS 9 1250 200 300 L 50 50 4 1 B +X P0.29/TRACEPKT2/TCK 10 1250 100 300 L 50 50 4 1 B +X P0.24/PIPESTAT1 34 1250 600 300 L 50 50 4 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 4 1 B +X P0.30/TRACEPKT3/TDI 15 1250 0 300 L 50 50 4 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 4 1 B +X P0.31/EXTIN0/TDO 16 1250 -100 300 L 50 50 4 1 B +X P0.25/PIPESTAT2 38 1250 500 300 L 50 50 4 1 B +X P0.26/TRACESYNC 39 1250 400 300 L 50 50 4 1 B +S -950 -200 950 -1650 5 1 0 N +X NC 4 -1250 -1200 300 R 50 50 5 1 N +X Vdd-1,8_CorePowerSupply 5 -1250 -700 300 R 50 50 5 1 W +X RST 6 -1250 -500 300 R 50 50 5 1 I +X Vss-GND 7 1250 -600 300 L 50 50 5 1 W +X NC 20 -1250 -1300 300 R 50 50 5 1 N +X Vdd-3.3_PadPowerSupply 40 -1250 -1000 300 R 50 50 5 1 W +X XTAL1 11 1250 -300 300 L 50 50 5 1 I +X Vss-GND 31 1250 -800 300 L 50 50 5 1 W +X XTAL2 12 1250 -400 300 L 50 50 5 1 O +X NC 42 -1250 -1500 300 R 50 50 5 1 N +X Vss-GND 43 1250 -900 300 L 50 50 5 1 W +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 5 1 B +X NC 25 -1250 -1400 300 R 50 50 5 1 N +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 5 1 B +X RTCK 26 -1250 -300 300 R 50 50 5 1 B +X Vdd-3.3_PadPowerSupply 17 -1250 -900 300 R 50 50 5 1 W +X DBGSEL 27 -1250 -400 300 R 50 50 5 1 I +X Vss-GND 19 1250 -700 300 L 50 50 5 1 W +ENDDRAW +ENDDEF +# +# LPC2106_RevA_06Oct2013 +# +DEF LPC2106_RevA_06Oct2013 U 0 40 Y Y 1 F N +F0 "U" -50 1800 60 H V C CNN +F1 "LPC2106_RevA_06Oct2013" -100 -1800 60 H V C CNN +F2 "~" 500 -1900 60 H V C CNN +F3 "~" 500 -1900 60 H V C CNN +DRAW +S -950 1650 950 -1650 0 1 0 N +X P0.19/MAT1.2/TCK 1 1250 1200 300 L 50 50 1 1 B +X P0.20/MAT1.2/TDI 2 1250 1100 300 L 50 50 1 1 B +X P0.21/PWM5/TDO 3 1250 1000 300 L 50 50 1 1 B +X NC 4 -1250 -1200 300 R 50 50 1 1 N +X Vdd-1,8_CorePowerSupply 5 -1250 -700 300 R 50 50 1 1 W +X RST 6 -1250 -500 300 R 50 50 1 1 I +X Vss-GND 7 1250 -600 300 L 50 50 1 1 W +X P0.27TRACEPKT0/TRST 8 1250 300 300 L 50 50 1 1 B +X P0.28/TRACEPKT1/TMS 9 1250 200 300 L 50 50 1 1 B +X P0.29/TRACEPKT2/TCK 10 1250 100 300 L 50 50 1 1 B +X NC 20 -1250 -1300 300 R 50 50 1 1 N +X P0.9/RxD1/PWM6 30 -1250 500 300 R 50 50 1 1 B +X Vdd-3.3_PadPowerSupply 40 -1250 -1000 300 R 50 50 1 1 W +X XTAL1 11 1250 -300 300 L 50 50 1 1 I +X P0.3/SDA/MAT0.0 21 -1250 1200 300 R 50 50 1 1 B +X Vss-GND 31 1250 -800 300 L 50 50 1 1 W +X P0.13/DTR1/MAT1.1 41 -1250 100 300 R 50 50 1 1 B +X XTAL2 12 1250 -400 300 L 50 50 1 1 O +X P0.4/SCK/CAP0.1 22 -1250 1100 300 R 50 50 1 1 B +X P0.22/TRACECLK 32 1250 900 300 L 50 50 1 1 B +X NC 42 -1250 -1500 300 R 50 50 1 1 N +X P0.0/TxD0/PWM1 13 -1250 1500 300 R 50 50 1 1 B +X P0.5/MISO/MAT0.1 23 -1250 1000 300 R 50 50 1 1 B +X P0.23/PIPESTAT0 33 1250 800 300 L 50 50 1 1 B +X Vss-GND 43 1250 -900 300 L 50 50 1 1 W +X P0.1/RxD0/PWM3 14 -1250 1400 300 R 50 50 1 1 B +X P0.6/MOSI/CAP0.2 24 -1250 900 300 R 50 50 1 1 B +X P0.24/PIPESTAT1 34 1250 600 300 L 50 50 1 1 B +X P.014/DCD1/EINT1 44 -1250 0 300 R 50 50 1 1 B +X P0.30/TRACEPKT3/TDI 15 -5400 -7650 300 R 50 50 1 1 B +X P0.30/TRACEPKT3/TDI 15 1250 0 300 L 50 50 1 1 B +X NC 25 -1250 -1400 300 R 50 50 1 1 N +X P0.10/RTS1/CAP0.1 35 -1250 400 300 R 50 50 1 1 B +X P0.15/RI1/EINT2 45 -1250 -100 300 R 50 50 1 1 B +X P0.31/EXTIN0/TDO 16 -5400 -8050 300 R 50 50 1 1 B +X P0.31/EXTIN0/TDO 16 1250 -100 300 L 50 50 1 1 B +X RTCK 26 -1250 -300 300 R 50 50 1 1 B +X P0.11/CTS1/CAP1.1 36 -1250 300 300 R 50 50 1 1 B +X P0.16/EINT0/MAT0.2 46 1250 1500 300 L 50 50 1 1 B +X Vdd-3.3_PadPowerSupply 17 -1250 -900 300 R 50 50 1 1 W +X DBGSEL 27 -1250 -400 300 R 50 50 1 1 I +X P0.12/DSR1/MAT1.0 37 -1250 200 300 R 50 50 1 1 B +X P0.17/CAP1.2/TRST 47 1250 1400 300 L 50 50 1 1 B +X P0.2/SCL/CAP0.0 18 -1250 1300 300 R 50 50 1 1 B +X P0.7/SSEL/PWM2 28 -1250 800 300 R 50 50 1 1 B +X P0.25/PIPESTAT2 38 1250 500 300 L 50 50 1 1 B +X P0.18/CAP1.3/TMS 48 1250 1300 300 L 50 50 1 1 B +X Vss-GND 19 1250 -700 300 L 50 50 1 1 W +X P0.8/TxD1/PWM4 29 -1250 600 300 R 50 50 1 1 B +X P0.26/TRACESYNC 39 1250 400 300 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +#End Library