add files

This commit is contained in:
simon 2023-06-11 10:13:14 +02:00
parent a6fb18a10b
commit ceab1612ad
21 changed files with 46959 additions and 0 deletions

584
TCM3105-Modem-cache.lib Normal file
View File

@ -0,0 +1,584 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_DIN-5_180degree
#
DEF Connector_DIN-5_180degree J 0 40 Y Y 1 F N
F0 "J" 125 225 50 H V C CNN
F1 "Connector_DIN-5_180degree" 0 -250 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
DIN*
$ENDFPLIST
DRAW
A -1 -1 201 -811 3 0 1 10 N 30 -200 200 0
A 0 0 200 1 1799 0 1 10 N 200 0 -200 0
A 1 -1 201 1797 -989 0 1 10 N -200 0 -30 -200
C -120 0 20 0 1 0 N
C -90 90 20 0 1 0 N
C 0 130 20 0 1 0 N
C 90 90 20 0 1 0 N
C 120 0 20 0 1 0 N
P 2 0 1 0 -200 0 -140 0 N
P 2 0 1 0 0 200 0 150 N
P 2 0 1 0 200 0 140 0 N
P 3 0 1 0 -200 100 -170 100 -110 90 N
P 3 0 1 0 200 100 170 100 110 90 N
P 4 0 1 10 -30 -195 -30 -165 30 -165 30 -195 N
X ~ 1 -300 0 100 R 50 50 1 1 P
X ~ 2 0 300 100 D 50 50 1 1 P
X ~ 3 300 0 100 L 50 50 1 1 P
X ~ 4 -300 100 100 R 50 50 1 1 P
X ~ 5 300 100 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_01x02
#
DEF Connector_Generic_Conn_01x02 J 0 40 Y N 1 F N
F0 "J" 0 100 50 H V C CNN
F1 "Connector_Generic_Conn_01x02" 0 -200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 50 50 -150 1 1 10 f
X Pin_1 1 -200 0 150 R 50 50 1 1 P
X Pin_2 2 -200 -100 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_01x05
#
DEF Connector_Generic_Conn_01x05 J 0 40 Y N 1 F N
F0 "J" 0 300 50 H V C CNN
F1 "Connector_Generic_Conn_01x05" 0 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 50 -250 1 1 10 f
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_2 2 -200 100 150 R 50 50 1 1 P
X Pin_3 3 -200 0 150 R 50 50 1 1 P
X Pin_4 4 -200 -100 150 R 50 50 1 1 P
X Pin_5 5 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_01x06
#
DEF Connector_Generic_Conn_01x06 J 0 40 Y N 1 F N
F0 "J" 0 300 50 H V C CNN
F1 "Connector_Generic_Conn_01x06" 0 -400 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 50 -350 1 1 10 f
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_2 2 -200 100 150 R 50 50 1 1 P
X Pin_3 3 -200 0 150 R 50 50 1 1 P
X Pin_4 4 -200 -100 150 R 50 50 1 1 P
X Pin_5 5 -200 -200 150 R 50 50 1 1 P
X Pin_6 6 -200 -300 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C
#
DEF Device_C C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "Device_C" 25 -100 50 H V L CNN
F2 "" 38 -150 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 20 -80 -30 80 -30 N
P 2 0 1 20 -80 30 80 30 N
X ~ 1 0 150 110 D 50 50 1 1 P
X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Crystal
#
DEF Device_Crystal Y 0 40 N N 1 F N
F0 "Y" 0 150 50 H V C CNN
F1 "Device_Crystal" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Crystal*
$ENDFPLIST
DRAW
S -45 100 45 -100 0 1 12 N
P 2 0 1 0 -100 0 -75 0 N
P 2 0 1 20 -75 -50 -75 50 N
P 2 0 1 20 75 -50 75 50 N
P 2 0 1 0 100 0 75 0 N
X 1 1 -150 0 50 R 50 50 1 1 P
X 2 2 150 0 50 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Jumper_NO_Small
#
DEF Device_Jumper_NO_Small JP 0 30 N N 1 F N
F0 "JP" 0 80 50 H V C CNN
F1 "Device_Jumper_NO_Small" 10 -60 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SolderJumper*Open*
Jumper*
TestPoint*2Pads*
TestPoint*Bridge*
$ENDFPLIST
DRAW
C -40 0 20 0 1 0 N
C 40 0 20 0 1 0 N
X 1 1 -100 0 40 R 50 50 0 1 P
X 2 2 100 0 40 L 50 50 0 1 P
ENDDRAW
ENDDEF
#
# Device_L
#
DEF Device_L L 0 40 N N 1 F N
F0 "L" -50 0 50 V V C CNN
F1 "Device_L" 75 0 50 V V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Choke_*
*Coil*
Inductor_*
L_*
$ENDFPLIST
DRAW
A 0 -75 25 -899 899 0 1 0 N 0 -100 0 -50
A 0 -25 25 -899 899 0 1 0 N 0 -50 0 0
A 0 25 25 -899 899 0 1 0 N 0 0 0 50
A 0 75 25 -899 899 0 1 0 N 0 50 0 100
X 1 1 0 150 50 D 50 50 1 1 P
X 2 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_LED
#
DEF Device_LED D 0 40 N N 1 F N
F0 "D" 0 100 50 H V C CNN
F1 "Device_LED" 0 -100 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
LED*
LED_SMD:*
LED_THT:*
$ENDFPLIST
DRAW
P 2 0 1 10 -50 -50 -50 50 N
P 2 0 1 0 -50 0 50 0 N
P 4 0 1 10 50 -50 50 50 -50 0 50 -50 N
P 5 0 1 0 -120 -30 -180 -90 -150 -90 -180 -90 -180 -60 N
P 5 0 1 0 -70 -30 -130 -90 -100 -90 -130 -90 -130 -60 N
X K 1 -150 0 100 R 50 50 1 1 P
X A 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R
#
DEF Device_R R 0 0 N Y 1 F N
F0 "R" 80 0 50 V V C CNN
F1 "Device_R" 0 0 50 V V C CNN
F2 "" -70 0 50 V I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -40 -100 40 100 0 1 10 N
X ~ 1 0 150 50 D 50 50 1 1 P
X ~ 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R_POT
#
DEF Device_R_POT RV 0 40 Y N 1 F N
F0 "RV" -175 0 50 V V C CNN
F1 "Device_R_POT" -100 0 50 V V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Potentiometer*
$ENDFPLIST
DRAW
S 40 100 -40 -100 0 1 10 N
P 2 0 1 0 100 0 60 0 N
P 4 0 1 0 45 0 90 20 90 -20 45 0 F
X 1 1 0 150 50 D 50 50 1 1 P
X 2 2 150 0 50 L 50 50 1 1 P
X 3 3 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Diode_1N4148W
#
DEF Diode_1N4148W D 0 40 N N 1 F N
F0 "D" 0 100 50 H V C CNN
F1 "Diode_1N4148W" 0 -100 50 H V C CNN
F2 "Diode_SMD:D_SOD-123" 0 -175 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS 1N4148W BAV16W 1N4448W MMSD4148
$FPLIST
D*SOD?123*
$ENDFPLIST
DRAW
P 2 0 1 10 -50 50 -50 -50 N
P 2 0 1 0 50 0 -50 0 N
P 4 0 1 10 50 50 50 -50 -50 0 50 50 N
X K 1 -150 0 100 R 50 50 1 1 P
X A 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Diode_B260
#
DEF Diode_B260 D 0 40 N N 1 F N
F0 "D" 0 100 50 H V C CNN
F1 "Diode_B260" 0 -100 50 H V C CNN
F2 "Diode_SMD:D_SMB" 0 -175 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS B230 B240 B250 B260
$FPLIST
D*SMB*
$ENDFPLIST
DRAW
P 2 0 1 0 50 0 -50 0 N
P 4 0 1 10 50 50 50 -50 -50 0 50 50 N
P 6 0 1 10 -75 25 -75 50 -50 50 -50 -50 -25 -50 -25 -25 N
X K 1 -150 0 100 R 50 50 1 1 P
X A 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Diode_BAV99
#
DEF Diode_BAV99 D 0 20 Y N 1 F N
F0 "D" 0 200 50 H V C CNN
F1 "Diode_BAV99" 0 100 50 H V C CNN
F2 "Package_TO_SOT_SMD:SOT-23" 0 -500 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SOT?23*
$ENDFPLIST
DRAW
C 0 0 10 1 1 0 F
P 2 0 1 0 -200 0 200 0 N
P 2 1 1 0 0 0 0 -100 N
P 3 1 1 8 -50 -50 -50 50 -50 50 N
P 3 1 1 8 150 50 150 -50 150 -50 N
P 6 1 1 8 -150 50 -50 0 -150 -50 -150 50 -150 50 -150 50 N
P 6 1 1 8 50 50 150 0 50 -50 50 50 50 50 50 50 N
X K 1 -300 0 100 R 50 50 1 1 P
X A 2 300 0 100 L 50 50 1 1 P
X K 3 0 -200 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Diode_BZX84Cxx
#
DEF Diode_BZX84Cxx D 0 40 N N 1 F N
F0 "D" 0 100 50 H V C CNN
F1 "Diode_BZX84Cxx" 0 -100 50 H V C CNN
F2 "Diode_SMD:D_SOT-23_ANK" 0 -175 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
D*SOT?23*ANK*
$ENDFPLIST
DRAW
P 2 0 1 0 50 0 -50 0 N
P 3 0 1 10 -50 -50 -50 50 -30 50 N
P 4 0 1 10 50 -50 50 50 -50 0 50 -50 N
X K 1 -150 0 100 R 50 50 1 1 P
X A 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Diode_Z2SMBxxx
#
DEF Diode_Z2SMBxxx D 0 40 N N 1 F N
F0 "D" 0 100 50 H V C CNN
F1 "Diode_Z2SMBxxx" 0 -100 50 H V C CNN
F2 "Diode_SMD:D_SMB" 0 -175 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
D?SMB*
$ENDFPLIST
DRAW
P 2 0 1 0 50 0 -50 0 N
P 3 0 1 10 -50 -50 -50 50 -30 50 N
P 4 0 1 10 50 -50 50 50 -50 0 50 -50 N
X K 1 -150 0 100 R 50 50 1 1 P
X A 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# RF_Module_ESP32-WROOM-32
#
DEF RF_Module_ESP32-WROOM-32 U 0 20 Y Y 1 F N
F0 "U" -500 1350 50 H V L CNN
F1 "RF_Module_ESP32-WROOM-32" 50 1350 50 H V L CNN
F2 "RF_Module:ESP32-WROOM-32" 0 -1500 50 H I C CNN
F3 "" -300 50 50 H I C CNN
ALIAS ESP32-WROOM-32D
$FPLIST
ESP32?WROOM?32*
$ENDFPLIST
DRAW
S -500 1300 500 -1300 0 1 10 f
X GND 1 0 -1400 100 U 50 50 1 1 W
X IO25 10 600 -500 100 L 50 50 1 1 B
X IO26 11 600 -600 100 L 50 50 1 1 B
X IO27 12 600 -700 100 L 50 50 1 1 B
X IO14 13 600 400 100 L 50 50 1 1 B
X IO12 14 600 600 100 L 50 50 1 1 B
X GND 15 0 -1400 100 U 50 50 1 1 P N
X IO13 16 600 500 100 L 50 50 1 1 B
X SHD/SD2 17 -600 -200 100 R 50 50 1 1 B
X SWP/SD3 18 -600 -300 100 R 50 50 1 1 B
X SCS/CMD 19 -600 -500 100 R 50 50 1 1 B
X VDD 2 0 1400 100 D 50 50 1 1 W
X SCK/CLK 20 -600 -400 100 R 50 50 1 1 B
X SDO/SD0 21 -600 0 100 R 50 50 1 1 B
X SDI/SD1 22 -600 -100 100 R 50 50 1 1 B
X IO15 23 600 300 100 L 50 50 1 1 B
X IO2 24 600 1000 100 L 50 50 1 1 B
X IO0 25 600 1200 100 L 50 50 1 1 B
X IO4 26 600 800 100 L 50 50 1 1 B
X IO16 27 600 200 100 L 50 50 1 1 B
X IO17 28 600 100 100 L 50 50 1 1 B
X IO5 29 600 700 100 L 50 50 1 1 B
X EN 3 -600 1200 100 R 50 50 1 1 I
X IO18 30 600 0 100 L 50 50 1 1 B
X IO19 31 600 -100 100 L 50 50 1 1 B
X NC 32 -500 -1100 100 R 50 50 1 1 N N
X IO21 33 600 -200 100 L 50 50 1 1 B
X RXD0/IO3 34 600 900 100 L 50 50 1 1 B
X TXD0/IO1 35 600 1100 100 L 50 50 1 1 B
X IO22 36 600 -300 100 L 50 50 1 1 B
X IO23 37 600 -400 100 L 50 50 1 1 B
X GND 38 0 -1400 100 U 50 50 1 1 P N
X GND 39 0 -1400 100 U 50 50 1 1 P N
X SENSOR_VP 4 -600 1000 100 R 50 50 1 1 I
X SENSOR_VN 5 -600 900 100 R 50 50 1 1 I
X IO34 6 600 -1000 100 L 50 50 1 1 I
X IO35 7 600 -1100 100 L 50 50 1 1 I
X IO32 8 600 -800 100 L 50 50 1 1 B
X IO33 9 600 -900 100 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# Regulator_Linear_LM1117-3.3
#
DEF Regulator_Linear_LM1117-3.3 U 0 10 Y Y 1 F N
F0 "U" -150 125 50 H V C CNN
F1 "Regulator_Linear_LM1117-3.3" 0 125 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS LM1117-2.5 LM1117-3.3 LM1117-5.0 TLV1117-15 TLV1117-18 TLV1117-25 TLV1117-33 TLV1117-50
$FPLIST
SOT?223*
TO?263*
TO?252*
TO?220*
$ENDFPLIST
DRAW
S -200 -200 200 75 0 1 10 f
X GND 1 0 -300 100 U 50 50 1 1 W
X VO 2 300 0 100 L 50 50 1 1 w
X VI 3 -300 0 100 R 50 50 1 1 W
ENDDRAW
ENDDEF
#
# Regulator_Switching_MCP16301
#
DEF Regulator_Switching_MCP16301 U 0 20 Y Y 1 F N
F0 "U" -300 250 50 H V L CNN
F1 "Regulator_Switching_MCP16301" 0 250 50 H V L CNN
F2 "Package_TO_SOT_SMD:SOT-23-6" 50 -350 50 H I L CNN
F3 "" -300 350 50 H I C CNN
ALIAS MCP16301H
$FPLIST
SOT?23*
$ENDFPLIST
DRAW
S -300 200 300 -300 0 1 10 f
X BOOST 1 400 100 100 L 50 50 1 1 O
X GND 2 0 -400 100 U 50 50 1 1 W
X Vfb 3 400 -200 100 L 50 50 1 1 I
X EN 4 -400 0 100 R 50 50 1 1 I
X Vin 5 -400 100 100 R 50 50 1 1 W
X SW 6 400 0 100 L 50 50 1 1 O
ENDDRAW
ENDDEF
#
# Transistor_BJT_BC847
#
DEF Transistor_BJT_BC847 Q 0 0 Y N 1 F N
F0 "Q" 200 75 50 H V L CNN
F1 "Transistor_BJT_BC847" 200 0 50 H V L CNN
F2 "Package_TO_SOT_SMD:SOT-23" 200 -75 50 H I L CIN
F3 "" 0 0 50 H I L CNN
ALIAS BC818 BC846 BC847 BC848 BC849 BC850 MMBT3904 MMBT5550L MMBT5551L
$FPLIST
SOT?23*
$ENDFPLIST
DRAW
C 50 0 111 0 1 10 N
P 2 0 1 0 25 25 100 100 N
P 3 0 1 0 25 -25 100 -100 100 -100 N
P 3 0 1 20 25 75 25 -75 25 -75 N
P 5 0 1 0 50 -70 70 -50 90 -90 50 -70 50 -70 F
X B 1 -200 0 225 R 50 50 1 1 I
X E 2 100 -200 100 U 50 50 1 1 P
X C 3 100 200 100 D 50 50 1 1 P
ENDDRAW
ENDDEF
#
# power_+3.3V
#
DEF power_+3.3V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3.3V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+BATT
#
DEF power_+BATT #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+BATT" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +BATT 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GNDPWR
#
DEF power_GNDPWR #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -200 50 H I C CNN
F1 "power_GNDPWR" 0 -130 50 H V C CNN
F2 "" 0 -50 50 H I C CNN
F3 "" 0 -50 50 H I C CNN
DRAW
P 2 0 1 0 0 -50 0 0 N
P 3 0 1 8 -40 -50 -50 -80 -50 -80 N
P 3 0 1 8 -20 -50 -30 -80 -30 -80 N
P 3 0 1 8 0 -50 -10 -80 -10 -80 N
P 3 0 1 8 20 -50 10 -80 10 -80 N
P 3 0 1 8 40 -50 -40 -50 -40 -50 N
P 4 0 1 8 40 -50 30 -80 30 -80 30 -80 N
X GNDPWR 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# tcm3105_TCM3105
#
DEF tcm3105_TCM3105 IC 0 40 Y Y 1 L N
F0 "IC" 0 625 50 H V L BNN
F1 "tcm3105_TCM3105" 0 500 50 H V L BNN
F2 "tcm3105-DIL16" 0 150 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 1 0 0 -400 -1000 -400 400 N
P 2 1 0 0 -400 -1000 200 -1000 N
P 2 1 0 0 200 400 -400 400 N
P 2 1 0 0 200 400 200 -1000 N
X VDD 1 -100 500 100 D 40 40 1 1 W
X CDL 10 -500 -700 100 R 40 40 1 1 B
X TXA 11 300 -900 100 L 40 40 1 1 O
X TXR2 12 300 -400 100 L 40 40 1 1 I
X TXR1 13 300 -300 100 L 40 40 1 1 I
X TXD 14 -500 300 100 R 40 40 1 1 I
X OSC1 15 300 100 100 L 40 40 1 1 B
X OSC2 16 300 200 100 L 40 40 1 1 B
X CLK 2 300 -100 100 L 40 40 1 1 O C
X CDT 3 -500 200 100 R 40 40 1 1 O
X RXA 4 300 -700 100 L 40 40 1 1 I
X TRS 5 300 -500 100 L 40 40 1 1 I
X RXT 6 -500 -300 100 R 40 40 1 1 B
X RXB 7 -500 -600 100 R 40 40 1 1 B
X RXD 8 -500 100 100 R 40 40 1 1 O
X VSS 9 -100 -1100 100 U 40 40 1 1 w
ENDDRAW
ENDDEF
#
#End Library

5772
TCM3105-Modem.kicad_pcb Normal file

File diff suppressed because it is too large Load Diff

5766
TCM3105-Modem.kicad_pcb-bak Normal file

File diff suppressed because it is too large Load Diff

1231
TCM3105-Modem.net Normal file

File diff suppressed because it is too large Load Diff

260
TCM3105-Modem.pro Normal file
View File

@ -0,0 +1,260 @@
update=Di 23 Mai 2023 19:41:32 CEST
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=Pcbnew
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=TCM3105-Modem.net
CopperLayerCount=2
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.2
MinViaDiameter=0.4
MinViaDrill=0.3
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.4
TrackWidth2=0.6
ViaDiameter1=0.8
ViaDrill1=0.4
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.12
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.2
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.05
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0
SolderMaskMinWidth=0
SolderPasteClearance=0
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=0
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=0
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.3
TrackWidth=0.4
ViaDiameter=0.8
ViaDrill=0.4
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25
[pcbnew/Netclasses/1]
Name=PWR
Clearance=0.3
TrackWidth=0.6
ViaDiameter=0.8
ViaDrill=0.4
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25

1568
TCM3105-Modem.sch Normal file

File diff suppressed because it is too large Load Diff

1568
TCM3105-Modem.sch-bak Normal file

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,145 @@
%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1+deb11u1*%
%TF.CreationDate,2023-06-11T09:45:45+02:00*%
%TF.ProjectId,TCM3105-Modem,54434d33-3130-4352-9d4d-6f64656d2e6b,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Bot*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1+deb11u1) date 2023-06-11 09:45:45*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,4.600000*%
%ADD11C,1.800000*%
%ADD12O,1.700000X1.700000*%
%ADD13R,1.700000X1.700000*%
%ADD14C,2.499360*%
%ADD15C,1.500000*%
%ADD16O,3.600000X2.080000*%
%ADD17O,2.400000X1.600000*%
%ADD18R,2.400000X1.600000*%
G04 APERTURE END LIST*
D10*
%TO.C,RV1*%
X191700000Y-92500000D03*
X191700000Y-82500000D03*
D11*
X195000000Y-90000000D03*
X195000000Y-87500000D03*
X195000000Y-85000000D03*
%TD*%
D10*
%TO.C,RV3*%
X191700000Y-112500000D03*
X191700000Y-102500000D03*
D11*
X195000000Y-110000000D03*
X195000000Y-107500000D03*
X195000000Y-105000000D03*
%TD*%
D12*
%TO.C,J3*%
X124000000Y-99460000D03*
X124000000Y-102000000D03*
X124000000Y-104540000D03*
X124000000Y-107080000D03*
D13*
X124000000Y-109620000D03*
%TD*%
D12*
%TO.C,J5*%
X126000000Y-77300000D03*
X126000000Y-79840000D03*
X126000000Y-82380000D03*
X126000000Y-84920000D03*
X126000000Y-87460000D03*
D13*
X126000000Y-90000000D03*
%TD*%
D14*
%TO.C,J4*%
X103002300Y-98998740D03*
X103002300Y-109001260D03*
X115499100Y-99003820D03*
X112997200Y-111498080D03*
X112999740Y-104000000D03*
X115499100Y-108996180D03*
X112997200Y-96501920D03*
%TD*%
D15*
%TO.C,Y1*%
X181880000Y-77000000D03*
X177000000Y-77000000D03*
%TD*%
D10*
%TO.C,RV2*%
X191700000Y-72500000D03*
X191700000Y-62500000D03*
D11*
X195000000Y-70000000D03*
X195000000Y-67500000D03*
X195000000Y-65000000D03*
%TD*%
D12*
%TO.C,JP2*%
X174540000Y-85000000D03*
D13*
X172000000Y-85000000D03*
%TD*%
D12*
%TO.C,JP1*%
X139000000Y-72540000D03*
D13*
X139000000Y-70000000D03*
%TD*%
D16*
%TO.C,J1*%
X110000000Y-69080000D03*
G36*
G01*
X108449999Y-62960000D02*
X111550001Y-62960000D01*
G75*
G02*
X111800000Y-63209999I0J-249999D01*
G01*
X111800000Y-64790001D01*
G75*
G02*
X111550001Y-65040000I-249999J0D01*
G01*
X108449999Y-65040000D01*
G75*
G02*
X108200000Y-64790001I0J249999D01*
G01*
X108200000Y-63209999D01*
G75*
G02*
X108449999Y-62960000I249999J0D01*
G01*
G37*
%TD*%
D17*
%TO.C,IC1*%
X179620000Y-92000000D03*
X172000000Y-109780000D03*
X179620000Y-94540000D03*
X172000000Y-107240000D03*
X179620000Y-97080000D03*
X172000000Y-104700000D03*
X179620000Y-99620000D03*
X172000000Y-102160000D03*
X179620000Y-102160000D03*
X172000000Y-99620000D03*
X179620000Y-104700000D03*
X172000000Y-97080000D03*
X179620000Y-107240000D03*
X172000000Y-94540000D03*
X179620000Y-109780000D03*
D18*
X172000000Y-92000000D03*
%TD*%
M02*

View File

@ -0,0 +1,15 @@
%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1+deb11u1*%
%TF.CreationDate,2023-06-11T09:45:45+02:00*%
%TF.ProjectId,TCM3105-Modem,54434d33-3130-4352-9d4d-6f64656d2e6b,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1+deb11u1) date 2023-06-11 09:45:45*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 APERTURE END LIST*
M02*

View File

@ -0,0 +1,15 @@
%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1+deb11u1*%
%TF.CreationDate,2023-06-11T09:45:45+02:00*%
%TF.ProjectId,TCM3105-Modem,54434d33-3130-4352-9d4d-6f64656d2e6b,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Legend,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1+deb11u1) date 2023-06-11 09:45:45*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 APERTURE END LIST*
M02*

View File

@ -0,0 +1,26 @@
%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1+deb11u1*%
%TF.CreationDate,2023-06-11T09:45:45+02:00*%
%TF.ProjectId,TCM3105-Modem,54434d33-3130-4352-9d4d-6f64656d2e6b,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Profile,NP*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1+deb11u1) date 2023-06-11 09:45:45*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%TA.AperFunction,Profile*%
%ADD10C,0.050000*%
%TD*%
G04 APERTURE END LIST*
D10*
X100000000Y-55000000D02*
X100000000Y-120000000D01*
X200000000Y-55000000D02*
X100000000Y-55000000D01*
X200000000Y-120000000D02*
X200000000Y-55000000D01*
X100000000Y-120000000D02*
X200000000Y-120000000D01*
M02*

15719
gerber/TCM3105-Modem-F_Cu.gbr Normal file

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,17 @@
M48
; DRILL file {KiCad 5.1.9+dfsg1-1+deb11u1} date Sun Jun 11 09:45:27 2023
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2023-06-11T09:45:27+02:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.9+dfsg1-1+deb11u1
; #@! TF.FileFunction,NonPlated,1,2,NPTH
FMAT,2
INCH
T1C0.0550
%
G90
G05
T1
X4.0552Y-3.8976
X4.0552Y-4.2914
T0
M30

View File

@ -0,0 +1,156 @@
M48
; DRILL file {KiCad 5.1.9+dfsg1-1+deb11u1} date Sun Jun 11 09:45:27 2023
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2023-06-11T09:45:27+02:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.9+dfsg1-1+deb11u1
; #@! TF.FileFunction,Plated,1,2,PTH
FMAT,2
INCH
T1C0.0157
T2C0.0315
T3C0.0394
T4C0.0550
T5C0.0551
T6C0.0906
%
G90
G05
T1
X4.0157Y-2.2835
X4.0157Y-4.6063
X4.685Y-4.0945
X4.9803Y-2.8346
X5.0591Y-3.8583
X5.0787Y-2.9528
X5.1772Y-4.5079
X5.2165Y-3.4843
X5.2165Y-3.7008
X5.2165Y-3.937
X5.2362Y-4.0098
X5.2362Y-4.2717
X5.3543Y-2.9331
X5.3937Y-3.5433
X5.4134Y-3.7598
X5.4134Y-3.937
X5.4134Y-4.0945
X5.4528Y-4.2717
X5.4528Y-4.3701
X5.4724Y-4.5079
X5.4921Y-2.9921
X5.4921Y-3.1102
X5.4921Y-3.248
X5.5118Y-3.3465
X5.5315Y-4.0945
X5.5512Y-3.5433
X5.5709Y-2.2835
X5.5709Y-2.5984
X5.5906Y-3.2087
X5.6102Y-3.7795
X5.6102Y-3.8583
X5.6693Y-3.9961
X5.7087Y-3.2087
X5.7087Y-4.252
X5.7283Y-3.7795
X5.7441Y-3.6535
X5.7677Y-4.1535
X5.7874Y-2.7165
X5.7874Y-3.0709
X5.8071Y-3.3071
X5.8661Y-3.9764
X5.8701Y-3.8819
X5.9055Y-3.6575
X5.9055Y-4.3307
X5.9055Y-4.5276
X5.9843Y-4.1535
X5.9941Y-4.3406
X6.0236Y-2.7165
X6.0236Y-3.2283
X6.0236Y-4.4291
X6.0335Y-4.3012
X6.1614Y-4.2126
X6.1811Y-3.7795
X6.2205Y-3.8386
X6.2205Y-4.4488
X6.2992Y-3.6811
X6.378Y-3.6614
X6.3976Y-3.9173
X6.4173Y-2.7165
X6.4173Y-3.2283
X6.4173Y-3.5039
X6.6142Y-4.252
X6.6165Y-3.5063
X6.6437Y-2.687
X6.6535Y-2.9921
X6.6535Y-3.2283
X6.6535Y-4.1339
X6.6711Y-2.8171
X6.6732Y-2.874
X6.8504Y-2.2835
X6.8504Y-2.5984
X6.8504Y-3.4646
X7.0866Y-3.248
X7.185Y-3.4843
X7.7953Y-2.2835
X7.7953Y-4.6063
T2
X6.7717Y-3.622
X6.7717Y-3.722
X6.7717Y-3.822
X6.7717Y-3.922
X6.7717Y-4.022
X6.7717Y-4.122
X6.7717Y-4.222
X6.7717Y-4.322
X6.9685Y-3.0315
X7.0717Y-3.622
X7.0717Y-3.722
X7.0717Y-3.822
X7.0717Y-3.922
X7.0717Y-4.022
X7.0717Y-4.122
X7.0717Y-4.222
X7.0717Y-4.322
X7.1606Y-3.0315
T3
X4.8819Y-3.9157
X4.8819Y-4.0157
X4.8819Y-4.1157
X4.8819Y-4.2157
X4.8819Y-4.3157
X4.9606Y-3.0433
X4.9606Y-3.1433
X4.9606Y-3.2433
X4.9606Y-3.3433
X4.9606Y-3.4433
X4.9606Y-3.5433
X5.4724Y-2.7559
X5.4724Y-2.8559
X6.7717Y-3.3465
X6.8717Y-3.3465
X7.6772Y-2.5591
X7.6772Y-2.6575
X7.6772Y-2.7559
X7.6772Y-3.3465
X7.6772Y-3.4449
X7.6772Y-3.5433
X7.6772Y-4.1339
X7.6772Y-4.2323
X7.6772Y-4.3307
T4
X4.4487Y-3.7993
X4.4487Y-4.3897
X4.4488Y-4.0945
X4.5472Y-3.8978
X4.5472Y-4.2912
T5
X4.3307Y-2.5197
X4.3307Y-2.7197
T6
X7.5472Y-2.4606
X7.5472Y-2.8543
X7.5472Y-3.248
X7.5472Y-3.6417
X7.5472Y-4.0354
X7.5472Y-4.4291
T0
M30

View File

@ -0,0 +1,128 @@
{
"Header":
{
"GenerationSoftware":
{
"Vendor": "KiCad",
"Application": "Pcbnew",
"Version": "5.1.9+dfsg1-1+deb11u1"
},
"CreationDate": "2023-06-11T09:45:45+02:00"
},
"GeneralSpecs":
{
"ProjectId":
{
"Name": "TCM3105-Modem",
"GUID": "54434d33-3130-4352-9d4d-6f64656d2e6b",
"Revision": "rev?"
},
"Size":
{
"X": 100.050,
"Y": 65.050
},
"LayerNumber": 2,
"BoardThickness": 1.600
},
"DesignRules":
[
{
"Layers": "Outer",
"PadToPad": 0.300,
"PadToTrack": 0.300,
"TrackToTrack": 0.300,
"MinLineWidth": 0.400,
"TrackToRegion": 0.508,
"RegionToRegion": 0.508
}
],
"FilesAttributes":
[
{
"Path": "TCM3105-Modem-F_Cu.gbr",
"FileFunction": "Copper,L1,Top",
"FilePolarity": "Positive"
},
{
"Path": "TCM3105-Modem-B_Cu.gbr",
"FileFunction": "Copper,L2,Bot",
"FilePolarity": "Positive"
},
{
"Path": "TCM3105-Modem-F_Paste.gbr",
"FileFunction": "SolderPaste,Top",
"FilePolarity": "Positive"
},
{
"Path": "TCM3105-Modem-B_Paste.gbr",
"FileFunction": "SolderPaste,Bot",
"FilePolarity": "Positive"
},
{
"Path": "TCM3105-Modem-F_SilkS.gbr",
"FileFunction": "Legend,Top",
"FilePolarity": "Positive"
},
{
"Path": "TCM3105-Modem-B_SilkS.gbr",
"FileFunction": "Legend,Bot",
"FilePolarity": "Positive"
},
{
"Path": "TCM3105-Modem-F_Mask.gbr",
"FileFunction": "SolderMask,Top",
"FilePolarity": "Negative"
},
{
"Path": "TCM3105-Modem-B_Mask.gbr",
"FileFunction": "SolderMask,Bot",
"FilePolarity": "Negative"
},
{
"Path": "TCM3105-Modem-Edge_Cuts.gbr",
"FileFunction": "Profile",
"FilePolarity": "Positive"
}
],
"MaterialStackup":
[
{
"Type": "Legend",
"Notes": "Layer F.SilkS"
},
{
"Type": "SolderPaste",
"Notes": "Layer F.Paste"
},
{
"Type": "SolderMask",
"Notes": "Layer F.Mask"
},
{
"Type": "Copper",
"Notes": "Layer F.Cu"
},
{
"Type": "Dielectric",
"Material": "FR4",
"Notes": "Layers L1/L2"
},
{
"Type": "Copper",
"Notes": "Layer B.Cu"
},
{
"Type": "SolderMask",
"Notes": "Layer B.Mask"
},
{
"Type": "SolderPaste",
"Notes": "Layer B.Paste"
},
{
"Type": "Legend",
"Notes": "Layer B.SilkS"
}
]
}

BIN
gerber/TCM3105-Modem.zip Normal file

Binary file not shown.

19
tnc_client.py Normal file
View File

@ -0,0 +1,19 @@
#!/usr/bin/python3
import socket
import sys
def encode(data):
return b"\xc0\x30%s\xc0" % data.replace(b"\xdb", b"\xdb\xdd").replace(b"\xc0", b"\xdb\xdc")
def main():
sock = socket.socket()
sock.connect(("192.168.4.1", 8000))
while True:
line = sys.stdin.readline()
data = encode(line.encode("utf8"))
print("sending %r" % data)
sock.send(data)
if __name__ == "__main__":
main()