From dc4d072d3ef2799773de8d824c169e75461dab6f Mon Sep 17 00:00:00 2001 From: Stefan Helmert Date: Tue, 7 Jan 2014 00:15:04 +0100 Subject: [PATCH] timeout; minor changes --- bin/Debug/UDPclient | Bin 25830 -> 25623 bytes main.c | 6 ++---- obj/Debug/main.o | Bin 18376 -> 17992 bytes 3 files changed, 2 insertions(+), 4 deletions(-) diff --git a/bin/Debug/UDPclient b/bin/Debug/UDPclient index b1dbef69c18dce32c269f6879df24b5d6631531e..191e73bab35be326f8ff0f3a937cbdf2dd0b4036 100755 GIT binary patch delta 6503 zcmZ`;3v^UPny$LH)4AO@Nq3U&bRIxDb^;N{JRlH!(ouWpAdkpHUIK}d04t9uQDG4~ z5E|%>anja!%y4FoVK}4cC=(_aP3n;} z`jG}&Khls{KeGEJZs#{|R|36BSl3lEi4qmgx%IHzPLxzW!QXSqi>Re!1l zCu+UUM78K!oXr*Skj3-# z5{uZcy7+WS{9M-KW&NV8$IALXS^H&ufNJ5iWEZkkEAMY?TJdmw-LjH(YfH-5(y5Ow zomIbl<+`T&hwoffw{BhiI#FdU&wKzZP4#SD{hDP>YuN*<)~>4;{Ym*E*P17uwD#O% zhLLgk$Cwin_2PLXccqOnmAN3Hv3s6V?frNvsg2#WLh2`|+Y5i!eYdY{)4Yz9O7Pm`QwrFs;m?;eQbS zM}#SsLjw}OPdJ@$pTvJAOsjY(Ch-}SOdq=wXlC4ZK$L=+{pS0LNW# zv65Pbu}YxeP5lplbTY|@E~m6ETKr~1Lqmxpe=DprWcs$?b=~fi3X8Q1CI>$hGo2I0 zo}}*XAL8+&l-0r0=(V>7F7sIMfxckd^sd&x#bD%5k>0_##a?I7`Zr=P7nhycB^p>k z1?lrk5GNCZ`!O~*82K2UJA-Y3;UhE}1j`NLj`W+XKaljxVsm;0pVHlvZsFW1-pKIs z0`Wn{-8Vj-=f_mSU61D8&Q&-`aR?(7Z6Km9ac=o4der=aJq#@vLhMe@Yy6`K*6|iq|wz<<3|B znkQ^-U%K~Nu>lhE5%GSvFSqv_=xm*P307&n9l@5C0dssSo^$^yGzm*Na`-Z7h`c(C zrZ;erd})zmN|Wp8AjRPH*YUXYaVUb#2VG7XIb@Bd*%~IvU`q_E326xrkWC}Pt7(dD z&oDC@AiP!}Q}$jka`Nk-cq1z-B#A9C3o_9eY@3TGa4Hx%`}#$PpIu4tNq7*BHQA58 zG6Mb-1HDdu9-*`0C{=^+U@Q_i-x@d{3Ae*NM^D&}W=CKrTxuqNdr1PDz)$;~HT23+ zWgcq{TR@J4x02L>uqK-r*2kZ}ipLuw;VmTG_6#Y7Z!z*MCH*SjZn(y`HVDCDyZARx zv78$eeP@ixsI|`B6O6bDk(91rWKJPsHuoTPoU|fOA&_XcAW)IOz+f)cJHpc6&=R=7 z9R~sz8X|!XY8^e#(BvFFXClXs{h0s#50SoLN3eD4TOH&i0yR-`&HlgHelFP#o;;-4o+%GISH=({gXF|vilP!UZyk*lx^@+bA>^cT^gl9Qi!5c)C4fk!SJ)Nl`Ioj%Yy_npYCg;#b}(J-vF22NdBN)^x&Vx%X(QsPXO)!#Db8tpt$@=(21# z+XRmO4@?&gD)cs`(roj~mR_b*I&#I9k`f*h`%3cpSaG&wa``1wSK?-uY;elk9(8vl z`{hVtyirt@mU6Fns5D=_VD*bC@9L+^vh#XOODE0tU9yEVm-dQ9vef0O+& z6U9@+_v3fl;wIcEc2|_!H>Mh_(Dq?PvF~HtM-*nmTs6KNH7`TztKmSxk^MR1`Ux|V ze{TWbQ%l5fd5(B?LSAStuw~pGU{ti^Gzcmh-He#U142^y+vp}|qT6px=f8wXZ8a)u z2A@X~KBn!2h}FY|gqpU9sM#iTYKI_c%`suNwil|cxhC{#dleR9);tpzYhPj&Sx1<# zOnaYHk2K*Vt%+2RGNE64nwY&N3~KX8b%6M*Iup*)_f~gzq+SC)a);Jk7*= zxJKV9*6Akh;#xA{8N5@IR?tm%L(PyN}7wMO#!P#Fa8eL@g&^kjtPj6;@FN_ zbyQ&3;@F9PlB1m>=V$@H-BFx`#nRmDb$klD%}!=X1JOQ`mVvzk98P`zSTuSCRXwDf z+*$=D{QwaZpJGh!W&jzORAwoHu9R|`q~$jhxN)S;@-uLxRNO+{IP|1UqTpH%Cxswb z7XZ<68FHy@G-rF5{7rr8S@iY2G~E6Wy0Fdcei(PAp8J4EpMWj(Zwa?2Fa-C zTZ#7Z;}Ti)Ttb7C1mvFC?}5(ZG}{*F3h5DmG~L|$`eeA3Ko&hnv=oD;Iae>g0#fw7 z#AuS7`tGZcOJ8>t%GT4aLL>C|uR>nE0P|;QsT4oOSEyGKN~72*z9MEJKhqpESNm=7 z-OICkDE2kz^IYS2VtNahc;18N+$_Y%N3?-2y@_I_@w;qz;pzFbDt?-n=368*1q3=Q zG+ig5Af-X|?QrS~2v(C*s_$Q%EM*aTIZz@~9A_3%%%>hB8;2;xoNtJbjYg8&NGYUs zGE5d9rwyX`EY6jUjBlbes=lp?UWyKX0tQ25Q86rrOpA*CExFfhz*dD>$O_+F2pbvv z->IK3kBY>5-~*>0A!BTS;)Qo!X5GWf!6Q$fXh+CZ|0v@=BB;^MkUN_c6v{|M?+dv@ zV2i+Z{dOkU$ME&kpDUb_Dof;i@!d*wME|htW9tpY6sIbo$-5|+bA-4?s zeKPFP--g@;u*OaJQpjCNa4{`THToj_rXGpPa7;!xXo+O-(d0l2rv;Ii5`BDA=?Ccu zB(kQFA1$cJ1 zU2ta+S1vk4@UGL7wyAnk^toN1Dl=T4GR4BJ+E>Ee10%ZaLC!^H^#tXg>vspLdH39@ zFI%#Du}Y{Y>``Z;k6ZK7>3QAbWCHWDyc4>OzXK03;QRxt;XP4SLa{UI%70R56>3dALCs&TLw?*}wQu}}Z z4lTCKnP?v#X~+zZ>^?qc8^?!B^}VIGSXr%Vla-Dc;`sdAZGH0% zl2h0gRN4k=4OA(r7aV{)e4!^N5nF>|)GB^G_loj`9^Q!Bt=icRQ3up4uocx5)``{w zL&wT8XQ(UN%a4yL5 z2b?obmd#?KYH4Xs;%FX&Glj}OKqY;*ipbI_{FFGmv{Z@Z2&J~9Jn=ba#*}Tq)^f5O zmdK%@fUz*fNkxjNtM%k0zU&@1$-g$G21K;hL!0rX+S_wJl7rd*OVyCrx75Q`QC{aM ncf&(cn>eTCcR7^Ooeuvzx$?Q3a03~v^K`e@{Yep zNE_m&tzicpoyokMLD5Yn8bBRr6%7OXxzU}`d^-9C6*pmV#Mg?hn*E)+w-Nr>seD~k zzw@e7=bXB){QLy}_%FOYm|C3@X4K4{v4-l{RZk?($R!$MGje0^6uAZ!6I~E~{y-|r zHWAAXo0IJBCwVd-mjUF&Ps1-2KRbRw{6^pxz|VtUqUqwal4ef_OcQT#UHH_n_-VD% z?gqsnmaEtC4@H-%^QWj6eT!`3SvA0Y;xuSQ)QdmbgZyPF^SXFx*%hKkP31|VYq`#E zm(&wdvwAT!mk0P+Nz0KvBPlVUN}Hl@foXfAx;H-ESktLTf1^)-Bv=Sge+;oCE_D{Y5Iz$rY&`i z8ycI~*3}zUHEm=o*KORoTGTq8pQADgHpkymj6VEw@w+p#(Z!g`MsWZ%4yi7T#HXe= zHX^(>HXeMUneqx7)!mq+E0K6fJxQ@7{3s=fW;CC34t7Il@CK|p=Biumjp@Ctvf=t5X)KH(qsf1|@Fs~rAWUU8R4?&6gb^DyR4wtFgp&z}B|ZrpX-FYLi6p#C z0#s*1xe`B5m}+y#C-I*MdkHg%pCn8*J#_vD5DI=nm|{FMAn~Jw5jaFZ;)e-S{SU<@ zey||7jy0qap;Hp>CjmMTLmd*|O_&bJP&;txCsolmYK`azM)ODKs~1%D#``kE%;R4U`zATIllEhx)L+KSyVv+_oVSZRQggXq>`lFS+;`v_ ztu3A34G$a9w@7RH*EFrX@LJ2s?}vwdy)AL@I(Bn*_y=K2E7E;gu=gBE)nz8bS>lsGo^ATknOS0HU^L$$HU>hD`7m+BDLxD2D7R*bBY}|Lcez?U zsfCD{IwF*L=u6maU3dYjQvDsp(U$=m{!+{t@pxn#Dm(h;7s*2OxlRndmFLKpW=T_; z0>>IC21mXa9+o~1y@Im{TYa=WMDRaoL`=y*a~#!#w6t{4nr4L8(-yH)(?wz&$&)F2 z+lapQg(2=pPmf4ubKGV`Tj)$8uRFD zJ1UJq>|2QaKfdOC?jWU>YOz=^l%at9VaO+f+`6xU9E=9?jn;j14Ktz(@)78L+pM{d zq7g%YG2D!pMJopeKg5YaVfU|Tt~|@NLzQRYWDVqLs`c!noohWOqc6YoZO+%L$3bnEGRA$! z>8#K-uic2Q%kQ5RU6nszw2k|PGcA|RYAg6zns_VYYJQziGH=K3)@PRRNcS%@M=8$S zf>m@wVd8jJe8s?j!y-W0Kg<)g7h^wgFUAWP=fIU9&gdzO6&NR@6lySzMg4BWxD4Zd zj22DLaQB6id+eitXr&#Utoj#gXhB zgt`B$I9MXPVcyTbb^0tZr7-0o9!(4+dlTVC}`S z72>{}(e{pShliE!uACP<;{3Sqyc@uz2EJ^wtTBP<$%I-0(~}4_x~o!)yTWozJn;ob ziu;R-c)#c>%Hfm68%0w~eRQbN=srk|?(o!fb6}lGVL40C_ls%8#oQ2^i*tBDbS+5} z@tkE3mjp#c$(}ri^$eSCjian_qBY(q{7cis0Jn+0k~PU?Zqu~GhDXnIv8dFSOxGl8 zO0p#FF3q?ob*)bvDDCBqV)OXVT?y5_V%;_6uDzC_UUBf6Ny)tyn&T0lUh`Ym9FJ-8 zl=wwiY4Rxx!P;A8g`pzUlfqnxpOdde&u>IHs^CDvktvxXZ^AA7U9oAxwUG_TqisAT zC8@$T;cpn7??A~`E~mHQf^Ms*1(mrTL3akfWD+iCwsA0Po74lKIFr24QJi)FW-Eb7 zdnq}PG8WT*ho8R%S$8u!M=D=T8X@L>6atQj&V|HI_hw=TE$DNffvO|Jfe^f<=eSCK%U>k zV-oM@?vaFVwr~e`UrBhDh5yLiV+hZ-a3^<{*drj^!aJma!`wZEHd`(IS3_~`o=yt$ z_(bWzan3w>B+%oX3PRBFA_Ya8gwFA2Gd@iFIiaIwc%0f3gkCl??qd$;d$a*3m4+;* z67@E#o`_6EBFQ&GOIBGL^L_)@d`USQLDD|PJSp!U^r#>0I#@}%a<@cIQ<2^Uq~b7h zjRWIf2#eVjSrPBOkPf=i&yt<#w7yQOkexBHFq zGx-WC1Mf?a@TEMv3WGKqT}lrbC%5)NDCH0_ln{{Z$Dj>E%=2Nwtr-yUeAFQY74322o#~Otrcs@G1}O(^ccZtWQov{*jvx0j%Rw)GMe)V!CVEbX03P_CAT{WGtJ z;-`f2wQ@pUik%WFU^ep8tI=M)^Wl3g51yxeZ@`>qnpY>LHA9Gx{Jpg|8wv8PUdW|A zj?U-gGp6IkQX558abseeuaeSCFd`HYEsvB8N`o5Of)QF# zF;|ROD=OM|Nf^6C@Y zA4y+*7vmvfsIiWSo(p#b-j$^zO$ge7D>X{OFz=q$wZ zin)me<|g`gq;Ft+5jm#D4o1=&Euh3^>332#7MngB`ZKh<8gfR7h4trXb4$pa8XMT5 z(+S{9Wu9Y4Bl?Fb*5|jbmh8@mF2lY|hCTLFM9;%&+=5R=^m2mBsW{cxfrxn>BUKrW zsR##^NPtf!2bwt*L}E+y_D-!ItP9Wx-cEirQw2wmlI{9Q!Y#y7Vh#Ep3j1y<95{Wi zPM_5H13yS7`|j0Cp~W9CxqbJfQ(f&bnelt{9kh6q)>dPk+u_p>kd~JyugmgOgO6{L z$tUS<5@8AFreua8i)TZudo`X^g6&es3i%zuSrQTlF5jUi6Fm476x7(^h`x<{wjd(; z7{RB{NbdO^x`*6)hRQ{a_3hA468GOITxuj1-$2>yH1oGiUqKn`GEuc2r@;J)5~jqK z>hcVCo4f`35#m{BhCYF8K1-KO?0kd1i{Nu+;?J*7Z&+8#cn@9h)L4AI{t5YWh>FCo z#0)cPhpnh}zdvOO$J0+r%C#xirSLR7cX^oVB~S(6;=C%2sL6S(DyCxoyBlxV z!j;KB@!s^mT>pTY(iHpEum4NACEyIbz!V#ID4|q`v(ecQJLy~!d%<~^Nz4ba!TE)n zb-%hM_oOm8Ew<$8?)PU*RP1$I8}D8%QfJP-_(4^E8ExvWojIB()zz(7*SL0r*kw!< z$Bgf_#tob5tnaQQ*8M`&)3$UDpQ$PAF5ks@a`%o~VqEyDJ9ww)s*dm)Q98HS-)|2~ z*?wf7+GMdA)Id^Lq5v*W)W(ahxy3HSq8egoZnsI8svC z+oB6XKYni)XXj5$-e!G%-re%_m zNCYe)Oq)o>|0+(2u4Tn|<37Di&!8``)Nrkk?J@Ku1OJwPsvv1fZLKoaFK(+X%1C&H zD~fEVa2506qx%VQptd+8aUU3sD;BjMz$Ht2#b>oMd7qe6SFD6H#qD)P8Hsnz8dH*O z$Lc-?zeQJ_epBLJ*#!gC_JK==60e;)Ci#!CI}%dsh0`Dl4<$ zp`RoEK0XG`lM|q_vgSip#yk!+{A2r&Wn~8UV9n(+=CSmKW2aJ|8}9mZ9Gx?_WzCb? zfE66FdKc}=n#Zin!OS3adp~Qwf0=o5{am5C^?;RG>bA12Lr{C6J_PjyeL_op?*nby@Q9##FAlnIn^{orv$Q5# z!UOg5JCm8g5aZ3&ec;QQZ(7?u{aePM22cMG(9a%rWnRlX``SC1 zLz(@a-R8lfp-{#=m^R32ysbm^-N)PBG=&?|d_1ZgD=8#on4v~tn z8xIbehnyOwa1F&HXpoi^nTM#iYvZe){+^9s?pE_DXl>%Hy`Fw^^H%f81)hHUNl*Wc zd!f`=OcS=P z%o{KSnPZ-Kq35N4ojT=^*w&O0>54YPXhL)uF3FR-$1D^qM`EvT+`Lr!`_nfcz( zV9x>Q$2JV7L8j+$$;lzMiTjt^x@LD7DlqZ*)NJ^iKQw*n{( z5c?YLX>&_pH+tsef8m5YaU7~a^BBaLr8%Z2D~EHN=jV|OF09@j??-$J#S+T)U{A0d zws6>4dk+5{nZrx0_dfOyKm_B-^Z7jf*2=uVJvwe>KF+#fb>TWg=X-m&G&VElPOG;S z{+aNCc!j&3w`unbB#Va)6nq`j{~RA8mCSdu=DV4mZD23#PQ_4dHNOsHY(@tLK@8j( ztjFA9u$~dsS+=JD>P*iTczSmCxOp`|8%8M8b2|W##;6la8~9(C1_N?w3{mC<9?88T zLq6g&`v|-Tjxq-CwJi5uZcY^FZ0kc-rn1_~w(hbrE!Fs@+=C6T%rKJAn1{uTWXwaM zw0Ts6z4Iu%Ew*Fr>Aw%%AKpJRL)LaHyX6<#(GLjaoX?QDmrIF#k&l#@8S{O2B}vJ&SpidS-EAi6CZk zVktaf;(6}$K7r;!ay2~Zh2&|@42k!<0Yq*(UI(Sv)zg0hTBs3Tga>FOXb!+@@F)*u zcG2N%>+6}ztMfO;ta(7mc+ZwYu#;>PQ*qn#xPY&}@wu*KN8Apy8R2MGDrqE=fq2qx zV@-i@#BMW^F(cMxM-98%jwX#ZyE`1Teau`NPKKlH)J637F{vnShax#Wj4d;p78*^< z8Wx$x^y$!8sF_#;0JJ=1#Oyog7KZpZawRW1( zxUgCD0~WNGn+t&f?d9gOYnL=NAyLfYtOjhX;jYwho9kF@-6g&m@Lw&PR68eOOsbnb zC&8K*FKumEU|!1v$<6b(E@zWc3>BKoQ26?r=G{1NQb&rlG%qrjw6?HG9Y6>}7%Oh+ z2q%oLc&t4h=ro`ijwT^UB512^Bc6&Hv8a*ku#KgSMj&AXBVi08gftk7CgZUP=OyfT zw;h*~9X5nD5`#xF5MARlii?fb1yBuLs|5+(yABAYsO zs?h|l*^yWx0aKr}gW%lx#==-4X@rmg&sZl|8w`Le;Z7UoAd)J^zF3xV0Xr}rkPMDy<9=6%D>`>{F3UFiM~sCA)_%e!8mFS{1hVN<6u9qPx_z6=a* z%AvwDvc69joSk%l18A##c!ClWbBke?@V zt{~4PQoQO44I(YUJ)g*>g1msp6@skReh2pJRjUMfp>~*)9fF)f9(4(F8j(ps`ZSw6 zxK@yL+AJVlRhz}!70%R}Iek0Pv$X#N-L9%M(Q~zbaC$4z4O$nM-$wM+S|6vk6MYTQ zJBeOM^g~21B6=6mi?yA+{~n^3a_e1H14J*=PV(`e*BGA6Sz$k48WRei#*o0?3(zwn zIz;Ug!Ep=Telgo#R_Oi?bSQLVT9jf&p=(;}u6M7$3+x_Pp5uab;uA zzZHZbbp&<69h`EDj;8E*ij__WMysU9iX?ZRiGk<&>plyO5`Gko-zC)-or!}>^+gj< zgI?w3gB6`6sBwZidllzZvT{(w3QDH@185JnHU8B3)5V_!{5gg{3;DB{KR0hSMC}z; z6@MNL6i%1?Y${mF$13SZ-O{R(-N?|Zbm8ruUlJC1p6;n ztu;Bl)UHAXghTKwoC8!EcPi1O(@CCt*UepU`qs%h&oxgl=F z`I9-Kt;ZFkR~2}}T}<16bLgttBIZWhNCOhNi3TKcvmmoNjdxo$3^7i;iO%CY2fC-0 za@gi80`YdHoyRw$zU`YT?QW)3A;Z{+B?h(;>cL66EL7_fvdXi!95T1Iu@9N3+O3oXKhLmMlj zOuH8Ca`ipBsWME2-nUQ~d7UuX64VN`MenN@)Jjz6>N~tqkYD66@7qUlxc*|!-9_XL zJm!6mOqd) z*CD7oFlWHz4TAj2^W4TENeb@Jt(BNkTLp9ARw0|gpa_a9@J~ ziQ6wSg7Raxh|%#i5F?xhrk$ZpDz7MUm7i07hBi*~g0XrvD=E1GAW%b12x7r_<|?08 za#=}p1)Rn_AOi18tdJgCp}ERSN`O5^1JYe4WXCjD%!SRXNOpy-EGJlkf+ZvfN>C6s zljaJ<%g$%b6*VwId4W{S1cgVsEUd_qe z?3V4{3}ar|{s{693+j^X6FKa3TP5$G4jI`#IgEK_dypRhg?`ySki$;bKaJah7UhRY z_Cb!b{2F}HSqP$}X`hsr!BfMCAzjlE>$Gdc_g~G@cwb?bR z!^wm|BH`6F?ZIHp+PQQ5b7oEN3QmuNqp7vi+oP$PS-v^GnX*rwf;^%okm#J=Zb$8S zIGE4is`4TD5)H#Y#ySJxs4s|SC)ah^310^|#S+Q3Hai4u*k$R4A)gzL0TVJ9%$803 z7!|$Y+iiLzGG`W0FfaUG0GhC6Bx1oefws1|5JSpNQajlOy`T*`!JZEM6vNMQ{3-_u z1IvmiiD17uPkZXyYt!x@weN71eap4h(5ugM{}?_gwZb>{7P@Znxr&S(?(|Mq`M0*X zE8N%Ay4%<9d`zES(GD_tA3pS{XK?we3&ZJQa7qqL4TIG=aL106zMw+CsKVu0;ojHDCN%rk{ z@K5^U%0F>K+ij37ain(s+%3@sh>!7ea%<6-#t=obiho{zyd=;r1xp?cilV zILb``-8`ZE;?Bem=QtcYRXd0A>k$^RyWuv9r&_4Zp$v79I&dk@+U=yc%weCgT5D)^ z_(O0p7mW>v2ChvQb{7MnZht5eKvN)i=pPFBgQ>Vb7>lGjqr%nYEz8V?MLcT$R04t= zim()PuAKvJ$#lo)fs&i!;iS!{Kr(PVWX2+GI8zB&y|Tu60FC*f2?e7FKs2IoZv=A} zipZ!Ti-*e(5%=RI5X2_xzr~J&k5PMVl6ArKvF<=5Wpisf1MxL>TzIi;!J_qCpDA!Vwk~uI4=FCWhOaU~-usuGH4hEY|TU0`FM}vNtbsm~VVmAb&98 z7`9NLGaOm>S$PC{Ab&6p$!@SA=$K)QJ?6liSv2uz2Q{0T7n(RplbaH!HrO;KJdvj#2Fj;C*Ql(iZ%nD^|b1E6`R8F@dU1 zR5L|2OH{L=;;RXE3mHqCjZ_r;fG$CdJ0wB3aIf~Hl6_ZMB?#7YD2mA4!yAqUh*8DOS~CaOWqpjO#BtZe;nWJlJ8HCxvQYp zg?iT#e=;0Ea(veXF4SNEw4L}vPQIOXxxUXu81p68bwX}Jos5gRL$=~}B;ZTpCgNq4kQLyZw2kIeiJP>WSQOhvK6P5TdG=sk# zbaq0_nJ*ek+CIqr>G0}=Jx6r1JN!Ib$gzL3GN3<(7U#oR>WNSfwen*`%ANxa6+_;D zPe@p#81nsgnajGA+?!4pD=zHN;$ra-_?MrI7|73p|SH#q{i(NXZ@X6RyqRKs0`;k^{N8yJq5?$P69A0hVpC#1sae0n3{w}oe$J(DMX2!8 zA{J^3tjjy5I5F%b6g4WWv8o;L4yQ8H*-ZDiN{mF7ZWO#}B^vs1qkp{QgVEa{bqYBM}G> zFL0;MOEEm1yVN+sanHuQho4LGGS7kIJ3-Qq#f;ieP@iDZn5yy9- z#IGb=+S3d?EDId+&bX~};AexJ)DuO;P_V+IoWwU0F7>Yh9+n*rc|6lg`41HNUvX*1 z{^gMWyhHv>dYMZ7@FRF$niTvn;nxt3>Tu7N<6f`GA0_z>4*ByO@^>lnxPGxbuHc^v zn7uZNp0^eG0*dcgdId|r$_SrCINI;DXO<%GCHa*KekS3!kD{l~fji^#eML_d>G`FC z%k%$nhaRV$rxf`aq^Ft|t@KywX(n9tH{iexh=rWT3+Ve#>X}RWClii(ocUbuz%Ov< zS>?d-Xf5^s$f2MA>;!mN_B!ONfR^&lIpopge~~>09P&@gHWy{97*T?u#&!S5yvC9)Zat6)U$&0e8VB{)bpqVpX$&<;pg^{$-oug zVpyYo_`5EPQy$~H1B=8@2$Ju~PQ0Ghxtt?R12U2KIG_4ST;7AAk62JY{*z?}HRQOT zkp4>){81X@3I)H6a8to=Bz&QQzfJUV1wWVK5K!>h=m?aMsB-ZklF9d@wEq#(vtE%O zi%AOQE27Hjk^VfO;2TNLg9<*4=tmX&J&N0t3NFVRQ1I7@{)K}7kn9{%@ViO>9~Arw ziqA0xr?h9ED7f5j@&7}?BIEW1`Foav50X7o6#P!2FH!Ia+1aGvwiu4JD!55>n}XvX zpRptqJVf@~uHes+{e234knGv1;CR--@|c33L-alcFCaa?R`4GX{icF{f#_ojK9lS` zso-**l#<_aUOpvyqJsaF`cG5vcS--{3jP7%3l;otgx{dx|0F!3;POzsUcn!w_}r!7 z6KTG_so-gn|E_||eS4RJk0pAaf`5bPUn%$jqRVI;8P8Ip&r)!kX!)Hf{yg=csNlDfp34;cCc>K(yqn_r1qHu>Xjw~pZlQ7875O(vzdRR6`EQZG8x;A& zB%e`mJZoavuHaXZ{C5=`e^7|!Ckp-);R6cJ|Bp71Kd9iJQXKxE;F}0PqToLv{U0g# zwcHx`1}A%E9KK0%hJsg;9-o3wA$ghCQcsNX_G(4mCixW#{(F*_?;ok>0U9@{$Xg_z zR&W`IyA^yI$$wqJZy@~-DfojVzgxkjp8X0g?RZ(ie@$`zgM!QZgbx(_FEo!IEBLKs z&)IbFmGP0|PEzpgq^C~7?;$<%drIngo#by&;Tzo+0okb}_2gN(y76!!}i+(&s*OSrUC z+Huzl=kZg3J5m z#ST5#l;3^VIdF{6Qt~UL;2#n${_m#Y^Sr!gNh|V0w7=b>;PU+Nn1aiDl{XYz?k{f< zF8!5$9Z_)k9r{;?9&E0l`Tf{|qrcsh4@Hjeam1$*K0(2MM}AFKaCvT+qu>vLE-a>k z-$i;>Dfj@FW-O}UM=1X56hEXglHG vce0Hxc*zerUqt4F87%m6kP5z>lIwCiw6{3=Ffiv+}dh} literal 18376 zcmbtc3wTsTmacm{kIu6jf&l@CiwO@AIwU+q#!nNH4mZkcNTR5tO_SVCdgyd#`VoW5 zLt~V-Ck`29hS{A@alg^eQFLH-3=dHwItI~U;$s|U$C+h(tpfXfxa!LIV$Z2M)wwAW z_uIAn(pBd_r_MQb>Qvpj)s36I%W8E^W3p)MayCRslriVDp>`#2RH}!>nQh*TO1pQ!AcI4~G+wva<2^0M!+iqv zXUyaw?oY74a*2zX+TcsiqkL6kRYSdb+o>I3#7sWjdiLzu0qsvB{LR=?Tv zWIHxf-u@J;+K+NoJY>9ipc3eG91aEsyhkzKT-`}e%KMhN!_{|3CTei?4Fdh#aYyp? zdY^*UX5KpB>ho^d>fK-C z>a+H{`ffM?jkc#mpdrW?Hx9b?CXVu`q&6N)Ek9V3Y%X;sCzpDYA66w{B5X;9V}_LI zclG@w*U6Zx?@02{bDvN7>>n6mv*|T+pk@$o#y-6L>$7M11mAXQm4naKzAtf3p4<)9 z0Th90!nT=w6HJgh-ZL+DANlI+S@%G9Kk# z(j7=HaR&yv4?{n;aVQOv-7f(0;m44VBD;FJPlHotPtS3{LE~|x?4vV#x&?NK8{MCS zUUK(7e%ST1L$06nCtscUT=KB%nf~tn#0;K8*hi>KJD5EBEwq-5r1gK%nEj1@R?E@b z{p`8h32}iS45IT&5D4RQBBH292IqM6$jlmP47VN1MT_5RD5EIO8%c4h@eFv4GyV+j z!s#6A?ss*c!a=9Hc}Dg;i4#|~FP{q?>UulF?0zloP8BEJW>4yLWzq%%LR)3kmsxv41L`$3L0L7x{=zo5{~pPFP*I&T#NO-CP=* z$?mnVotQn|E$LA9B(^{X5e$!wSH-%f)!f}5A1`*5##7t@PH-=|+%q~De-=MPqIus< zdEZTTulfvk+wLPUsIA@Cag*JZfSCgz3F958#N=bJ;1ShXs`~{HO?KB{zrEdufpG2Z zCm#aI?j_i_XFI9|=li9X!yY)_;3|ZGcg27vU*tnLAoA%mo(GS?Q{x0<@Dxn(Sjnx7 zrX{sqJc`DZnyKylQIu>bg{j%zi6euyTSk*QCcVeSL?*q*0$tt{8f>{IU>Iva9-FSd z?HHP&oi{mX?l4n#{B8{n5C*dF8>H^zQhX31Cx)iv8+zdhK=h=9JXz}6+js&V>GFB_ z1wIe)Sg~X4eVGg#>GGa}C*mn>)0?u(#lY@q*F`6jpDOqR@FY9{lZVWv7l-%E-t-6A za|Z93D|)6HkMrjqw|Gu3$EMdIbzbJFQwVMV@d+nfg7}mZZj4mVcAiu{Pole!cvbt| zkX3cbL2)uLfJo2C8=wcfy86zLMtFoCCQH!lhX?2h9?I16h5K8M|Y_+#`nNhpCYPr{#GY1;WeJhr% zUTjQT&^+5%-OvE7OG1g+#*%9ry!A%ZYU%)F9`c}{55$2i>6~p;FRK&%fCcS(?=oOO zyWYF{>Xo&%NaV68D+3?P_)yBY&lRk^;u6nX_^+HzD_)EsfLxtYeD17~mi*Hywtu?_K>Xv&~Ha4(ntw0Dz7|U&F4aSW2Xrv|TZ!@47 z496izB53O@Bbo>sk+2bOwTxBOhCgOBg@PDF2x(I!9FImqoENjA9adCIwptL@Py{~W z{_uK_k(+BY)>kd{E;br#R*?cxc|b`Z*a8C8k@gMIU`uP1l3)B#2w!5>EyUCY4#UW*N0GL@N}D#UKUZ zRuc?zsTJKk6#yJl2iGka0SfpGWUU~l zYZE!yD#)2MqIN;fCNeHak7n@!b_%jWn-8R;WQ!)~d0HK(Zzp=bW`J%-Nf*(Jw7Hz# zN_3Uh&gHieeWljR=^aF0Mf6Uhml6F4(aVY6P4o(FC-1+H=vCZ%M@c`?tF?vP{tFr_ z06~`3SBTYwtb-U57l)n}(IM&?5ge!B9TKzcW?9a^LJnj(F)es1;kbAzA&U9I(OQWX zF>c76JtZOyWly~Y>_O^q)!7%`$tkDkxLI~Q%W~!brx!6644z zJ|WfTj7Q^Aea-~bpqIG0v7GY-HC9j)|B3U)F?@oujJ%n1LGc)DYy4B^pAP<+!9O$k zXBPj=<)2%&7@~FyuW~Oy2gRWCe%lNRxvjiD)GfWr+lvgnL>GgO1HofhAavxH2pg*>gA4+;m34J+DHWn=aV)7&O5&c~$WDV%T{E>m9pS z1@G?Ug1X?n*T<<0L4ANTqn9{^l9`1$2c?q2JlYDlprk~%!hFGVj%{mUlE@c=#1bCv!x*6<3U2k})>e&a{m4I1zSToi@KfQM?%fSF6!GoZ|K7ms~{w&upL?e}6=usR82UhY04T`8s z!>B`OgomCOLW3B>u}#Hcrd^GGIeK?(E)Ei*_bwAoUL#yK1+@l!(R(WebuFrM^d8?N z$m@8_d!L~=TyJsiZX&%Fj6@K zJtiPS>%!!P>DVB=>PC*<+bXC#F=xQ#je@-EYwqKqBn5ZymSRk)t%BKqi;zvCX-Dtw z4dBw(V1n=Cg>aL^9d$_;ALUOL^h7Ne!*NNt_~LPMmC zXbjmBz9EDsu6Y+eK&a5k11VJy5W?&ag3v#utA+;#z&X8(2cg##MI=O(c zu^{FYexg_rSCT1x8|Trk$QR?7kzZGYL$1t1H_ppUchjL;@%&*VJi_JRkvjo|DHcek z3*WDR(%DVo{0(inRRHFe?bFmlXC3@Go>l-2$@?fRbCXlHKN-T@vOR^2qAuAs(%4Nf zbHj_|O|{9$cIgo2mhD&JfP`fvUnTFgw9HLT+5Y1Y=9cZxATy;d**=rT&h=ID{$Z1m z?JtKgw`^-P8R%=eoyN}9KbwyWJsKXSVUvn%DmXTs1t3bA_C;X=c0wah4bgMH_ZtJ!->v0 zE#XAje9uD9JlSWMf?-6NKh`#<#R^-|VAF60S2Y}hm);=!G1le}hCNN_c6>v-74x*> zJ7X-~+-wD)4cjr@iVWulBfx|V2DfDs-*-iCcs-mG3N4%u6wC|1f`BG$Cb3A7xza27eQDtETry7SlioT3(x(R=YjpEZWdUzru` z8Ukmg!Nd?)ng$%vZP4hxZ@8_IQ-}npz=CSj>l$$M9wfGRk7H;LR3@ z;>5#cSzCLI`FycN%!2!v<{0XTwML@xb%_AtAj_JA&^I28gn6!U8^HCg^T#Y!zq0x& z--?whmMnt?gPWc3x+vrKKl~#Rjs;u7aHWKI55X|^1WfSc@`@(Au0y&}C25C&Mp=s$7gtK`8y0dIEgW9}t_Q=Bp@6}q4a4rj z0P6MyLVolNLW;vh0bf%h>T8OG5^Z5I^7@9=-m2w1mcB#`LLUgR1avN62(HSNfH4In zH${VSi%*PXpgm+pLd`gTF<98L#@PXl!y^@F3L^l)3B#=w%xEAagNQ61Js(Vf53isg zHeuh*RuskuHN!zK=|_`pAyB!38|lgEk2 z54|{dp5uvV)QbB;RzPG~BoVjy#$zO0h4J}fm}vZ_lP4zQ$!82VPl>~P?GZ5_F@J|8 z@((gI5)84h7;-uS+{Iu^Ele`=!NuNsn#~G6RS*RhfTSK4K}9@ zg7FR93qEr(zx2i@rViJP*o%F*$*0#z+&-$IdE`q3^MdaIVgXXvak(3q@nYhv5G+1l zN8Gb;>IDJ!B4TPfQRk==5kS0h-#jw z=8I|pRD6}eE+S)#vy%wJIGQUUgJ2#YDLTY3tCy|xuJKk2qGl<49gu!5`e2h4nU`Mw zi)fzL`J2`!+C{2@t|m0q?2r4;88*oCt#ozOHDsc?dKKeo!tr|V_bAYOyTo10mTEjC zkgu32ph>P5wzRr>u`zQg+}cMXBKk&qxu<;IY@4c=+yqam9 zZf#P2wsRXi!tr%#GV%DywyMy5r(RtECj*WzBHmoAC2u`+CjJ`Y@4@4M*{qE^W7iR1R? z;bHfzi^Z5H8W9BNHe2fwEii?F2*~iZf8Dw$ECHfmAwmz-L)@r8++v}YA&jRg@xe5M z?>5@nAnME$j>IhwWd9s^)WR+#I$5ngo-Z`8Z&?}8A47}tVK0?Ls3&3h1|wxBK|{rm zceqSQtR=;mA81FOvnL2Pn@%`RpS{%BU#n~k)fS%MQRn}+e>wC;ztZPSXk#(S{vQ;E z^j}CZ_|=){FKJnihc{6y70Ni!mc3jGggPeV&s->^j??=g#t%a&?aTOG37D!Ad)D!n zf5yBDQz6-!QTpFQ2KFf(6q2=$(!X{hdchc9*P_Wk)&5O@jx>JfQqf8F$MIt*)$!j7 zn5q+dc94C%-nSQ3_9kGCWPcIamvKh>_`Qj0e=A_9Q~C)_UY1=T>SASKN&B+C7sN*D zzpg~|$NaVz*-zHL0H$o)>k3DFtKgaf1jd2j$gvNpfOVXR#E&qNf6^V z2L5D!8CS#{BxA44Qb9ds2cfO{kF@GLcG)@$KN&N~x$~fn7vHLVxGWse{v#e>K$)Z% zR7fE-!Y9gQu#e7;zyfr)*<|sH` zf{!FWZxkH&^O59h0Z0E&LycvwsMvC-N0Pq|aFjPFRrvV_upf*f9~%X~ne@n;I(|+9 z?2b|7?;ZueZxsBOqu`GMj{eIVJbwNH?AN2n9~=cgItu>RQSf(1!B32We>4jILPGALa!n|&ZW0X zsPLsD5@_~s81@o?3h{~te~QA|qwqQwu-#|`xEZ|q6PGzYUl88?F1^$ji#7S-(jowQ zW86_V{0HGDJK_#5?E=3%K~5SGKbPU}FmUbA8jplyED;M?R=ao`ui!^y{HPFTReX1h zN7DV*2SXtUFcdl_@twxLn~fE0zPDq%;b)_~EVkjedq~_QJQr%zQ%p3jFD&?su&Y~CzulktioBcTuT}8zgx@}jo?aVnkI%!3 zo)XgYvVvbs_-UISyPszj`MD%tN{d#GSL&%FTph39h8qwIIgc08Ia%siMEYkCj(Y6* zTxr8EwCR!WnRC&A{%Di8 z>v`XXUu@Gu;ph7a4H3BFT@Gv158p|%os{45L@IH7u4BQy8jHklr1dZ72*t=nE;p5b ziuV=7##R`2fY| zeFgsu(H|@LJw$)5;3tSatKfg4@#4S7!6NIO6emD8KMZrU2IPAv?em&9mE4Vx#Jg(sIPZ)SPsNf%w|1T@} zDe~vv6kM*)4;8$e=ram_A?eAc`Im8fn&gTVyoK=T3jRIvbD@IE^;WCklgaMY3jPx5 zm-#6D8B6i$P~^`i{7wZo2>+>q&mjC^1@{o%r{G7(&leT^N|OJRfteg&83r)>)U9Od&rD|kE2@52g?KSIUw8wG!o z=zaxn5#sEqg4a_#-%{|IG~Sa6{wdL)DR_+X=PL#O0qK|fqKxMYgy+yWBz`H`bt(8; zB!8ZQ|DNzk3f@8VR0Y3*;yzL4afS8zPbVtGx$6XgHj6kM){ zQwsiP^7C^A$Dhb!5&w{4=s7ix@bP3v`oEpxQ=;I5q`zFjZxHI)6$&ojL#|Ws3ewZ6 z;I-s;hl2NzpLZzuy(Iq=1^)-h?^N&uWcLXLzmephR&W`I!wSBH5AqC$} zdTv(m6D0pr1&4oMz{^7lF8%qfg3EK{3koj%8C38;lij}%jwG4ncHdF()50Bg(xykA z#gH|IUMgh#e^2sL6#RE&WU+#uqWpNT;3DkV$*|u%5%uQHXPq~ zKBYL^KZ>4*68{+|wb4IilIL5kb? z3VsR2XQqPR#e2iQ5>s%wu4@%suIn}hm-jiHgv}du6n($W?T%I33RPb7gzuWeHjsD7Q@IQU5PVf3